WO2000042618A1 - Maskless, microlens euv lithography system - Google Patents

Maskless, microlens euv lithography system Download PDF

Info

Publication number
WO2000042618A1
WO2000042618A1 PCT/US2000/000619 US0000619W WO0042618A1 WO 2000042618 A1 WO2000042618 A1 WO 2000042618A1 US 0000619 W US0000619 W US 0000619W WO 0042618 A1 WO0042618 A1 WO 0042618A1
Authority
WO
WIPO (PCT)
Prior art keywords
scan
pixel
printhead
exposure
lens
Prior art date
Application number
PCT/US2000/000619
Other languages
English (en)
French (fr)
Inventor
Kenneth C. Johnson
Original Assignee
Johnson Kenneth C
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Johnson Kenneth C filed Critical Johnson Kenneth C
Priority to AU27240/00A priority Critical patent/AU2724000A/en
Publication of WO2000042618A1 publication Critical patent/WO2000042618A1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0052Optical details of the image generation
    • G02B21/0056Optical details of the image generation based on optical coherence, e.g. phase-contrast arrangements, interference arrangements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/0038Processes for creating layers of materials not provided for in groups B81C1/00357 - B81C1/00373
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0028Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders specially adapted for specific applications, e.g. for endoscopes, ophthalmoscopes, attachments to conventional microscopes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0036Scanning details, e.g. scanning stages
    • G02B21/004Scanning details, e.g. scanning stages fixed arrays, e.g. switchable aperture arrays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0036Scanning details, e.g. scanning stages
    • G02B21/0044Scanning details, e.g. scanning stages moving apertures, e.g. Nipkow disks, rotating lens arrays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/06Means for illuminating specimens
    • G02B21/08Condensers
    • G02B21/14Condensers affording illumination for phase-contrast observation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B3/00Simple or compound lenses
    • G02B3/0006Arrays
    • G02B3/0037Arrays characterized by the distribution or form of lenses
    • G02B3/0056Arrays characterized by the distribution or form of lenses arranged along two different directions in a plane, e.g. honeycomb arrangement of lenses
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B3/00Simple or compound lenses
    • G02B3/0006Arrays
    • G02B3/0037Arrays characterized by the distribution or form of lenses
    • G02B3/0062Stacked lens arrays, i.e. refractive surfaces arranged in at least two planes, without structurally separate optical elements in-between
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25JLIQUEFACTION, SOLIDIFICATION OR SEPARATION OF GASES OR GASEOUS OR LIQUEFIED GASEOUS MIXTURES BY PRESSURE AND COLD TREATMENT OR BY BRINGING THEM INTO THE SUPERCRITICAL STATE
    • F25J2215/00Processes characterised by the type or other details of the product stream
    • F25J2215/36Xenon

Definitions

  • This invention relates primarily to microlithography, and more specifically to extreme ultraviolet (EUV) lithography.
  • the invention is applicable to semiconductor lithography and lithographic patterning of microstructures such as micromechanical systems, micro-optics, porous membranes, etc.
  • EUV lithography is a leading candidate technology for next-generation semiconductor lithography, which will require sub-100-nm printing resolution.
  • An industry consortium (the EUV Limited Liability Company) is focusing development efforts on an engineering approach that is basically an evolutionary extension of UN and DUV projection lithography, the main difference being that the EUV system requires all-reflective optics due to the lack of EUV-transmitting lens materials (Ref. 1).
  • the basic system design has nine reflective surfaces between the EUV source and the wafer, including four condenser mirrors, the photomask, and four projection camera mirrors. Seven of the reflectors (including the photomask) comprise multilayer coatings that operate at near-normal incidence and are optimized for peak reflectance at a wavelength of 13.4 nm.
  • Mirror coatings are one of the key enabling technologies that have made EUV lithography possible.
  • multilayer Mo/Si (molybdenum/silicon) multilayer mirrors routinely achieve peak reflectance efficiencies of 67.5% at the 13.4-nm operating wavelength, with a spectral reflectance bandwidth of 0.56-nm FWHM (Refs. 2, 3).
  • the lithography system's optical efficiency is significantly limited by the compound reflectance losses of seven multilayer mirrors operating in series.
  • the mirror surface figure tolerances scale in proportion to the operating wavelength, which is an order of magnitude smaller than DUV wavelengths at the 13.4-nm EUV wavelength.
  • tolerance requirements for mirrors are generally much more stringent than lenses.
  • the four EUV projection camera mirrors which image the mask onto the wafer must be fabricated to extremely tight (i.e., atomic-scale) absolute figure tolerances over large aperture areas.
  • EUV masks are expected to cost approximately $50,000 at 100-nm print resolution (Ref. 4).
  • micromirror systems Another limitation of micromirror systems is that the SLM must operate at a very high frame rate (e.g., multi-megahertz) in order to achieve requisite throughput requirements. (The system's total data throughput requirement is at least l ⁇ ' 2 bits per second.)
  • LPP laser-produced plasma
  • a Fresnel zone plate microlens system (Refs. 16-18), eliminates the need for projection optics as well as the mask.
  • the system is designed primarily for x-ray lithography at a wavelength of 4.5 nm, but the basic design principle could be applied equally well to EUV.
  • the device comprises an array of zone plate microlenses that focus an incident x-ray (or alternatively, EUV) beam onto an array of diffraction-limited points on a wafer surface.
  • Each microlens transmission is modulated by a micro-actuated shutter as the wafer is raster-scanned across the focal point array to build up a synthesized, high-resolution image.
  • the zone plate system does not use projection optics, it could conceivably use a very large number of zone plate lenses in multiple, large-area arrays, all operating in parallel. Based on printing throughput requirements, the required modulation frame rate would scale in inverse proportion to the number of lenses, so with a sufficiently large number of lenses the system could conceivably use an LPP EUV source operating at a moderate frame rate (e.g., 6 kHz, Ref. 8).
  • a moderate frame rate e.g. 6 kHz, Ref. 8
  • the proposed method for manufacturing the zone plates lenses is not very practical for large-quantity production, and there are also other complicating factors that preclude the use of an LPP source with the zone plate system.
  • the plasma source in the LPP has a fairly large spatial extent (approximately 200 ⁇ m diameter, Ref. 7), and since each focus point on the wafer is a diffraction-limited image of the source, optical resolution would be limited by the source's geometric image size. Furthermore, the LPP would be used in conjunction with EUV mirrors that have a 2% combined spectral bandwidth, and the zone plate's chromatic dispersion within this band could also significantly limit focus resolution.
  • the source's geometric image size on the wafer and the image's chromatic spread should preferably both be significantly smaller than the diffraction-limited image of an ideal monochromatic point source.
  • zone plate lens elements must be sufficiently large to accommodate the shutter mechanism, data paths, and supporting framework, while also maintaining an acceptably high aperture fill factor. Due to this aperture size limitation, a practical zone plate system would probably perform poorly with an LPP, and a more costly synchrotron source would thus be required.
  • zone plate lithography Another limitation of zone plate lithography is that the printing resolution and contrast can be significantly degraded by extraneous diffracted orders. Continuous-profile, refractive microlenses such as those shown in Ref. 13 would not have this limitation, but for EUV application such microlenses would be either too highly absorbing or too small to be of practical utility when used in the mode described in Ref. 13.
  • the present invention overcomes the limitations of prior-art microlens printing systems by using multiple microlenses in series to focus exposure illumination onto a printing surface such as a semiconductor wafer.
  • the microlenses are used in pairs, each pair consisting of first- and second-stage microlenses, respectively designated as lens L ⁇ and lens L , wherein L ⁇ focuses illuminating radiation onto Z-2 > an d -£-2 thence focuses the radiation to a focal point on the printing surface.
  • Each such microlens pair forms a printer pixel, and multiple such pixels are combined to form a printhead, which focuses the radiation onto multiple focal points on the printing surface.
  • a modulator mechanism modulates the focal points' exposure intensity levels, and as the points are modulated, the printhead is scanned relative to the printing surface (either the surface or the printhead, or both, can be moved for the scanning) to build up a synthesized, high- resolution exposure image on the print surface.
  • a printer pixel can comprise a sequence of two or more microlenses L ⁇ , L- , ... Lfl ( N being an integer greater than 1 ), wherein each lens L m (l ⁇ m ⁇ N) focuses the illuminating radiation onto the next lens L m+ ⁇ in the sequence, and the last lens Lfl focuses the radiation onto a focal point on the printing surface.
  • L m l ⁇ m ⁇ N
  • the last lens Lfl focuses the radiation onto a focal point on the printing surface.
  • Ei is a comparatively large element with low optical power, and the succeeding lenses are progressively smaller and have progressively higher optical power.
  • the modulator mechanism preferably comprises modulator elements that are incorporated as components of the printer pixels, with each modulator element providing independent, digital control of the exposure level at a corresponding focal point.
  • a single modulator could be used to modulate the radiation before it is conveyed to the printhead. This option would be useful for applications that do not require independently modulated pixels, for example, in the fabrication of hole arrays for microfiltration membranes.
  • the preferred embodiments use binary-state (i.e., ON/OFF) modulators, but gray scale (continuous-level) modulation may also be employed.
  • the disclosed embodiments relate primarily to the EUN lithography application, although the disclosed design methods and configurations are also applicable or adaptable to other wavelength ranges such as DUN.
  • molybdenum microlenses are used to focus an incident EUV beam (at a wavelength of 11.3 nm) onto an array of diffraction-limited (58-nm FW ⁇ M) focal points on a wafer surface.
  • a modulator mechanism such as an SLM comprising microshutters proximate to the microlens apertures, modulates the beam intensity at each focal point. As the points are modulated, the focal point array is raster-scanned across the wafer to build up a digitally synthesized, high- resolution image.
  • the microlenses are preferably continuous-profile, refractive elements.
  • a refractive EUV lens with sufficient optical power to achieve the requisite focus spot resolution would have to be very small — on the order of 1 ⁇ m diameter or less; otherwise the lens would be too thick to allow acceptable EUV transmittance.
  • Each first-stage lens's diffraction-limited focused spot overfills the 1- ⁇ m aperture of a corresponding second-stage lens; and the second-stage lens focuses the illumination down to a much smaller (58-nm FWHM) focus spot on the wafer.
  • This design approach makes it possible to use a sparsely distributed array of second-stage lens elements without incurring significant fill factor losses.
  • the sparse distribution simplifies fabrication processes, and the wide spacing between second-stage lens apertures provides accommodation for structural support and for the SLM data paths and actuators.
  • the disclosed two-stage embodiments of the invention have a number of advantages over prior-art EUN lithography systems, including some or all of the following: (1) The need for EUV photomasks is eliminated.
  • the optical tolerance requirements for the microlenses are very moderate compared to all-reflective EUV projection optics.
  • Proximate image points are exposed sequentially — not simultaneously — so they do not interact coherently, and the printed image would thus be devoid of the kind of coherent proximity effects that are exhibited by projection systems.
  • the second-stage lens apertures function as spatial filters that eliminate stray radiation and scatter from sources such as flare in the EUN illumination optics.
  • the EUN illumination source is imaged onto the second-stage microlens apertures, not onto the wafer; so the source size and spatial energy distribution do not significantly affect optical resolution (although these factors do have a minor influence on optical efficiency).
  • the refractive lens elements could produce very clean and highly resolved focus spots free of spurious diffraction orders and scatter, and exhibiting negligible chromatic dispersion.
  • the wafer exposure process is commonly referred to as "printing", and the combination of each associated first- and second-stage (or more generally, first- through N-th stage) microlenses and their associated modulator component is referred to herein as a "printer pixel".
  • the SLM need not be integrated with the microlens arrays and the focus spot intensities need not be controlled by separate modulator elements. In this case the pixels would not include the modulator components.
  • the pixels are assembled into arrays termed “printheads”; and the printheads are typically assembled into larger arrays, termed “wafer print modules", each of which covers and exposes a full 300-mm wafer.
  • the complete exposure system typically comprises multiple wafer print modules covering separate wafers, which are all supplied EUN illumination from a single EUN source.
  • each printhead comprises approximately 1.3 x 10 7 pixels distributed over a 20-mm square area.
  • each printhead can be equipped with peripheral microsensors that sense its position relative to an alignment pattern on the wafer, such as a periodic tracking pattern formed in the wafer scribe lines.
  • Each printhead can also be provided with its own micro- positioning actuators that dynamically maintain focus, tilt, and overlay alignment in response to the position sensor feedback from a large number of microsensors.
  • This design approach has two advantages relative to more conventional "through the lens” or "off axis" alignment sensors employed in projection lithography systems (Ref. 19, Chap. 5).
  • Thermal expansion differences between the printhead and the wafer could result in significant effective magnification errors and overlay misregistration.
  • a 0.1 °C temperature difference could induce a 5-nm overlay error (which is most of the alignment tolerance budget).
  • Magnification errors can be substantially eliminated by forming each second-stage microlens on an individually controllable micromechanical actuator which makes nanometer-scale lateral positioning adjustments in response to the tracking sensor feedback.
  • the microlens actuators could also be used to correct a variety of other critical error factors.
  • the allowable tolerance range on tilt and coma in the second- stage microlenses can be more than doubled by applying a calibrated position offset to each actuator.
  • the system is designed for use with a high-power (1700 W), xenon LPP source operating at a 6 kHz repetition rate (Ref. 8).
  • the system's total data throughput requirement > 10 12 bits per sec
  • the low (6 kHz) frame rate necessitate the use of a very large number of printer pixels (> 10 ).
  • an even higher number of pixels is needed if the system is designed to optimize optical efficiency.
  • the microlens efficiency is affected by the source collimation, and efficiency would be significantly compromised if the EUN illumination's angular spread were much more than 1 mrad.
  • the collimation optics should preferably have a minimum effective focal length of at least 200 mm (i.e., 200 ⁇ m / 0.001 rad). With a focal length this large, acceptable optical efficiency can only be achieved by collecting radiation over a very large area; and hence a very large number of microlenses is used to efficiently utilize the source.
  • the high microlens number requirement is achieved by distributing the EUN illumination over a large number of printheads covering multiple wafers.
  • the printer comprises a total of 360 printheads (i.e., 4.6 ⁇ 10 9 pixels), which are divided among eight wafer print modules, each module comprising 45 printheads distributed over a 300-mm wafer. Eight wafers are simultaneously exposed with a single LPP illumination source.
  • This "massively parallel" design approach is feasible because the SLM is not imaged through projection optics. Aside from considerations of LPP source compatibility, this approach has the advantage that the SLM frame rate and tracking speed, which scale in inverse proportion to the number of pixels, would be very moderate. (The micromechanical and tracking servo design requirements for a 6 kHz system would be much simpler than alternative multi-megahertz SLM systems.) The SLM power consumption and radiative heat gain are also minimized with this approach. Additionally, the large number of printheads (360) makes the data flow easier to handle. The system's total data rate for pattern generation is 2.8x10° bits per sec.
  • the EUN illumination encounters four mirror surfaces between the source and the printheads. These include a deep, aspheric condenser element, a shallow, spherical collimator element, and two sets of flat, terraced fold mirrors that partition the collimated beam into individual rectangular illumination fields on the printheads.
  • the condenser and collimator mirrors are multilayer Mo/Be (molybdenum/beryllium) mirrors optimized for peak reflectivity at a wavelength of 11.3 nm, whereas the fold mirrors operate at glancing incidence and can therefore use a much simpler broadband reflective coating (such as a ruthenium film).
  • the Mo/Be mirrors have a reflectance bandwidth of 0.27-nm FWHM per mirror, about half that of Mo/Si mirrors optimized for 13.4 nm (Ref. 2). Nevertheless, the total reflected EUV power is much higher with Mo/Be mirrors because the xenon LPP source's emission spectrum has a sharp peak near 11 nm (Ref. 7).
  • the narrow bandwidth of Mo/Be mirrors is problematic with EUV projection systems because it is difficult to accurately match spectral reflectance peaks between seven mirrors (Ref. 3). But with just two multilayer mirrors the maskless system's matching tolerance is much less critical, and it is easier to take advantage of the higher source emission at 1 1 nm.
  • the system's printing resolution is estimated at 70 nm for mixed positive- and negative-tone images.
  • Printing throughput is estimated at 62 300-mm wafers per hour, based on an assumed resist solubility threshold of 20 mJ/cm . (The maximum attainable flood- exposure level is 80 mJ/cm .)
  • Projection EUV system designs typically assume a more stringent exposure sensitivity of 10 mJ/cm 2 , based on 100-nm print resolution and a 13.5-nm exposure wavelength. But the microlens system is designed for higher resolution (70-nm feature size) and operates at a shorter (11.3-nm) exposure wavelength, making the print quality more susceptible to line edge roughness induced by shot noise (Ref. 20).
  • the microlens elements can be manufactured with nanometer-scale profile control by adapting shadow-mask deposition and etching processes that are used, for example, in shadow-mask molecular beam epitaxy (MBE), Refs. 21-24. Interference lithography methods can also be used to scale up the shadow-mask processes for high- volume production. Since the refractive index of molybdenum is very close to one at EUV wavelengths, the optical surface tolerances are comparatively loose in relation to mirror optics.
  • the estimated figure tolerances are 4 nm RMS for the first-stage (5- ⁇ m diameter) element and 2 nm RMS for the second-stage (1- ⁇ m diameter) element, assuming that a calibrated lateral position offset is applied to the second-stage elements.
  • EUV projection mirrors are required to meet a 0.25-nm RMS figure tolerance across aperture dimensions exceeding 100 mm, Ref. 1.
  • the microlens system is very tolerant of isolated pixel defects. For example, an isolated nonfunctional pixel (i.e., a pixel that is permanently stuck in either the ON or OFF state) would only induce an estimated 1 % uncorrected dimension shift on a 70-nm feature.
  • Fig. 1 is a cross-sectional schematic view of a printer pixel comprising two EUV microlenses and a modulator;
  • Fig. 2 is a cross-sectional schematic view of a printhead comprising multiple pixels
  • Fig. 3 is a plan view of a printhead comprising a printer pixel array and two position sensor arrays;
  • Fig. 4 is a cross-sectional schematic view of a wafer print module comprising multiple printheads;
  • Fig. 5 is a plan view of a wafer print module and wafer
  • Fig. 6 is a cross-sectional view of the EUV collection optics' condenser and collimator mirrors
  • Fig. 7 illustrates the optical geometry of a "refractive conjugate surface"
  • Fig. 8 illustrates the relationship between optical surface positioning error and resulting optical phase error
  • Fig. 9 is a cross-sectional view of a first-stage EUV microlens
  • Fig. 10 is a cross-sectional view of a second-stage EUV microlens
  • Fig. 11 is a wafer-plane amplitude profile plot for the focused beam produced by a second-stage EUN microlens;
  • Fig. 12 is a wafer-plane, peak-normalized intensity plot for the focused beam produced by a second-stage EUN microlens, in comparison to that of an ideal, unapodized, circular-aperture lens;
  • Fig. 13 is a tabular summary of the lens design parameters;
  • Figs. 14A and 14B illustrate an alternative phase Fresnel lens design for the first-stage microlens
  • Fig. 15 illustrates an alternative triplet design for the second-stage microlens
  • Fig. 16 illustrates an alternative pixel configuration comprising three microlenses
  • Fig. 17 illustrates a micromechanical actuator design for adjusting a second- stage microlens 's lateral position
  • Figs. 18A-18F illustrate a method for fabricating three-element second-stage lenses
  • Fig. 19 illustrates a modulator mechanism comprising a micromechanical shutter
  • Figs. 20A and 20B illustrate an alternative modulator mechanism comprising two proximate diffraction gratings, one of which is micromechanically actuated;
  • Fig. 21 illustrates an EUV illumination system comprising a condenser mirror, collimator mirror, and two sets of fold mirrors;
  • Fig. 22 illustrates the collimator mirror's aperture geometry
  • Fig. 23 shows dimensional details of the first fold mirror set, in the projected view of Fig. 22;
  • Fig. 24 shows the first fold mirror set, from a perspective looking into the reflected beams
  • Fig. 25 shows the fold mirrors, wafer print module, and wafer, from a perspective looking at the second set of fold mirrors edge-on;
  • Fig. 26 illustrates the aperture geometry of the second fold mirror set, from a perspective looking into the beams reflected by the second set;
  • Fig. 27 illustrates the illumination system's optical clearances around the EUV plasma source
  • Fig. 28 illustrates the series reflectivity spectra for the two fold mirror sets (assuming bare ruthenium coatings), and also illustrates series reflectivity spectra of the condenser and collimator mirrors for two different incidence angles;
  • Fig. 29 illustrates design construction details for the condenser and collimator mirrors
  • Fig. 30 illustrates the EUV plasma source's angular emission spectrum
  • Fig. 31 illustrates the EUV plasma source's on-axis spectral radiant intensity
  • Fig. 32 illustrates an incremental solid angular range bounding a set of rays emitted from the plasma source
  • Fig. 33 illustrates an annular portion of the collimator's reflected beam corresponding to the solid angular range of Fig. 32;
  • Figs. 34A and 34B illustrate a simple sequential dot row exposure pattern in which each pixel exposes a contiguous sequence of dots;
  • Figs. 35A and 35B illustrate an interleaved dot exposure pattern
  • Figs. 36A and 36B illustrate a variant of the interleaved scan technique in which a printhead comprising a pixel row with a finite number of pixels is iteratively stepped and scanned to cover a dot row of indefinite extent;
  • Fig. 37 illustrates exposure gaps in the "stitch zone" at the boundary of a scan field
  • Fig. 38 illustrates the positional relationship between two adjacent printheads
  • Fig. 39 illustrates a printhead and scan path for the "lens-scan" scanning method
  • Fig. 40 illustrates the image dot positional coordinates
  • Fig. 41 illustrates the printer pixel positional coordinates
  • Fig. 42 illustrates a scan field covered by a printhead
  • Fig. 43 illustrates four juxtaposed scan fields covered by a single printhead in separate scans
  • Fig. 44 illustrates four proximate scan fields covered by separate printheads in a single scan
  • Fig. 45 summarizes the lens-scan design parameters
  • Figs. 46A and 46B illustrate a transitionless scan method
  • Fig. 47 illustrates a "wafer-scan" scanning method comprising a transitionless scan
  • Fig. 48 illustrates the pixel layout design used in the wafer-scan method
  • Fig. 49 shows a magnified portion of Fig. 48, illustrating the wafer-scan design parameters
  • Fig. 50 summarizes the wafer-scan design parameters
  • Fig. 51 illustrates a radial "point exposure profile" for a single, isolated image dot, and a cross-sectional "line exposure profile" of an isolated dot row;
  • Fig. 52 illustrates a dot pattern exposing a positive-tone disk image
  • Fig. 53 illustrates a dot pattern exposing a negative-tone disk image
  • Fig. 54 illustrates a positive-tone line image
  • Fig. 55 illustrates a negative-tone line image
  • Fig. 56 shows cross-sectional exposure intensity profiles for the disk images of Figs. 52 and 53
  • Fig. 57 shows cross-sectional exposure intensity profiles for the line images of Figs. 54 and 55;
  • Figs. 58A and 58B illustrate the printing effect of a defective pixel
  • Fig. 59 illustrates the sensitivity of the image size to defocus of the first-stage microlens, for the positive- and negative-tone disk images of Figs. 52, 53;
  • Fig. 60 illustrates the sensitivity of the image size to defocus of the second- stage microlens, for the positive- and negative-tone disk images of Figs. 52, 53;
  • Fig. 61 is a cross-sectional view of an exposure test pattern that would be used to characterize second-stage lens centration errors, pixel defects, and alignment and stitching errors;
  • Fig. 62 is a block diagram illustrating the control system's main architectural components and interactions.
  • Fig. 1 is a cross-sectional, schematic illustration of a printer pixel 101.
  • the pixel comprises a first-stage microlens L ⁇ , a second-stage microlens L 2 > an ⁇ " a modulator 102, which may be a digitally controlled, micromechanical shutter 103a.
  • the lenses are preferably composed of molybdenum. Although the lenses function as focusing elements, each lens has a meniscus form (i.e., it is thinner at the center than at the edge) because the refractive index of molybdenum is less than 1 at EUV wavelengths.
  • a collimated incident EUV beam 104 is focused by lens L ⁇ toward a point 105 at the center of lens L 's aperture, but the diffraction-limited focus spot (illustrated by the amplitude profile 106) actually overfills the lens aperture.
  • the illumination source's geometric image at point 105 is much smaller than the diffraction-limited spot size; although the geometric image could dominate the diffraction limit in alternative embodiments.
  • Lens L 2 further condenses the beam onto a point 107a on the surface of a wafer 108.
  • the diffraction-limited, wafer- plane focused amplitude distribution is illustrated as profile 109.
  • the "focusing" action of the microlenses is more analogous to that of a condenser lens than of an imaging lens, in that each microlens functions to condense incident illuminating radiation onto a target illumination spot.
  • the microlenses do not necessarily have well-defined focal planes, or if they do, they need not operate at exactly their design focal lengths.
  • the wafer plane is positioned slightly above lens L 's design focal plane in the present design because the diffraction- limited focus is not actually optimum at the design focal plane (as defined by geometric optics).
  • lens ] is not operated at its best (diffraction-limited) focus position because this would (according to optical simulations) significantly increase sensitivity to lens aberrations. (Tolerance issues are addressed in ⁇ 11.)
  • the shutter 103a is shown in its open position in Fig. 1. In an alternative position 103b it blocks the radiation, switching off the pixel.
  • the shutter is preferably located proximate to lens L in order to minimize its positional travel range. The travel range could, in principle, be reduced by an order of magnitude by positioning the shutter very close to the wafer, but in this design it is positioned above L 2 so that it does not affect the working distance between the printhead and the wafer. (Alternative modulator mechanisms are discussed in ⁇ 4.)
  • Lens L 2 is preferably provided with a micromechanical actuator for fine- adjusting its lateral position. As illustrated in Fig. 1, focus point 107a on the wafer can be moved to proximate point 107b by moving the lens.
  • the lens actuation mechanism is used to make nanometer-scale adjustments to maintain overlay alignment, and can also be used to counterbalance critical tolerance factors such as thermally induced magnification errors and asymmetry in lens L 2 's surface form.
  • FIG. 2 schematically illustrates a portion of a printhead 201 in cross-section.
  • a silicon plate 202 supports the first-stage microlenses (such as lens ⁇ ) on its top side and the modulators (such as modulator 102) and second-stage microlenses (such as lens L ) on its bottom side.
  • Tapered or terraced holes, such as hole 203, are etched through plate 202 to accommodate the converging ⁇ UV beam paths.
  • the spaces between second-stage lens apertures provide accommodation for structural support and for the modulators' and second-stage microlenses' associated positional actuators and data paths (not shown).
  • the printhead optics could potentially be degraded by hydrocarbon outgassing from the wafer (Ref. 25). This is particularly a concern for the second-stage lenses due to their close proximity to the wafer.
  • Corrosion-resistant optical coatings may be used to mitigate degradation, but a more practical alternative may be to cover the bottom of each printhead with an EUN-transparent pellicle 204, such as a diamond membrane or a beryllium layer with a corrosion-resistant coating, which can be periodically cleaned or replaced.
  • the printheads could also function as vapor barriers to prevent hydrocarbon deterioration of the EUN illumination mirrors.
  • the printhead pellicles need not be self-supporting over a large aperture area, and can hence be very thin and highly transmitting.
  • a pellicle transmission efficiency ⁇ p ellicle °f 0.95 is assumed,
  • ⁇ pellicle °- 95 E( i ⁇
  • a diamond film of thickness 7 nm, or a beryllium film of thickness 45 nm would have 95% transmittance at an operating wavelength of 11.3 nm.
  • a practical pellicle material could be beryllium with a protective coating similar to coatings used for EUV mirrors (Ref. 26).
  • Fig. 3 is a plan view of printhead 201.
  • the first-stage microlenses e.g., lens Z-i
  • the first-stage microlenses are distributed in a triangular centering pattern across a square printhead aperture 301.
  • the lens apertures are preferably circular for two reasons: First, for a given lens aperture area and focal length, the circular shape maximizes lens transmittance efficiency. Second, the circular symmetry minimizes the number of degrees of freedom that need to be controlled in the manufacturing process. Given that the apertures are circular, the triangular array layout illustrated in Fig. 3 is preferred because this optimizes the lens fill factor.
  • the center spacing ⁇ ens between adjacent lens centers (Fig. 3) is approximately 6 ⁇ m, lens ⁇ 6 ⁇ m Eq. 1.2
  • N pixel/head W x W y l( c d ⁇ z ⁇ s )
  • N pixel The total number of pixels per printer system, N pixel , is equal the number per printhead
  • the EUV illumination covers a square illumination field 302 having dimensions W x ' and W' of 22 mm in the respective X and Y directions and overfilling aperture 301,
  • Two position sensor arrays 303a and 303b comprising position sensors (such as sensor element 304) border illumination field 302.
  • the sensor elements could, for example, be confocal-imaging microlens arrays (Ref. 13) operating at a visible wavelength (e.g., 633 nm), or capacitance sensors, or a combination of such elements.
  • the sensor arrays would detect focus height (e.g., by means of the confocal elements' depth response). Also, they would detect lateral alignment (X-Y position, rotation, and magnification) by sensing the printhead 's lateral position relative to an alignment pattern such as a periodic tracking patterns 305a and 305b formed in the wafer scribe lines.
  • Fig. 4 schematically illustrates a portion of a wafer print module 401 in cross-section
  • Fig. 5 is a pian view of module 401.
  • Multiple printheads are attached to a structural framework 402 comprising a network of joist elements.
  • printhead 201 is supported by joists 403a and 403b (Figs. 4,5).
  • the center spacing between the printheads is 2 W x in the X direction and 2 W y in the Y direction.
  • the scan range is approximately 250 ⁇ m .
  • Actuators 404a and 404b are controlled by position sensor feedback from sensor arrays 303a and 303b. In addition to performing the scanning function, the actuators control alignment, fine focus and tilt. Also, the second-stage lens actuators are used in conjunction with printhead actuators 404a and 404b to make fine adjustments in the focus spot positions (e.g., to correct magnification errors due to thermal expansion differences between the wafer and printhead).
  • each printer pixel follows a serpentine raster scan path and is modulated in synchronization with the pulsed LPP source to expose a rectangular array of image points.
  • the scan paths of proximate pixels are interleaved so that isolated pixel defects have minimal influence on the printed image.
  • the wafer 108 is exposed with four sequential raster scans. It is first scanned at position 501a (Fig. 5).
  • the wafer is then stepped by a distance W x in the X direction to position 501b where it is scanned a second time; it is stepped by a distance W y to position 501c and scanned a third time; and is then stepped by a distance W x in the negative X direction to position 501 d and is scanned a fourth time.
  • the wafer remains stationary while the printheads raster-scan the wafer.
  • the scanning method outlined above is termed a “lens-scan” technique.
  • the wafer moves during exposure while the printheads remain substantially stationary, except for slight positional corrections to maintain focus and alignment. (Scan methods are discussed in detail in ⁇ 8.)
  • Fig. 4 illustrates a fold mirror 407b that directs EUV illumination 104 onto illumination field 302 covering printhead aperture 301.
  • Adjacent fold mirrors 407a and 407c are positionally displaced from mirror 407b so that shadow zones 406a and 406b are not illuminated. Two such sets of fold mirrors operate in conjunction to subdivide the beam into a two-dimensional array of square illumination fields.
  • the collimated beam is generated from a xenon LPP source 601 by two mirrors Ml and M2.
  • Mirror Ml is a deep, aspheric, condenser element
  • mirror M2 is a much larger but shallower, spherical collimator element.
  • Two beam- shaping mirrors are provided to simultaneously satisfy the collimation and uniformity requirements. (The mirrors' reflectivity variation with incidence angle could affect beam uniformity, but the mirror geometry is designed to counterbalance this effect. Also, the design compensates for nonuniformity of the source's angular emission profile.)
  • the collimated output from mirror M2 is distributed among eight wafer print modules and the system exposes eight 300-mm wafers in parallel.
  • Each microlens surface is designed as a "refractive conjugate surface" (RCS), whose geometry is defined relative to cylindrical coordinates ⁇ r,z ⁇ as illustrated in Fig. 7.
  • RCS 701 has axial symmetry about a z axis 702, and is designed to image a first axial conjugate point 703 onto a second axial conjugate point 704.
  • an incident ray 705, initially directed toward point 703, intercepts surface point 706 and is refracted toward point 704.
  • Fig. 8 illustrates the relationship between positional error of a refracting surface 801a and the resultant optical phase error of a transmitted electromagnetic wave 802a. If surface 801a is translationally displaced by an incremental distance s to position 801b, the plane wave 802a will be positionally shifted by a corresponding distance d to position 802b. The corresponding phase shift ⁇ in the transmitted field is
  • is the wavelength (in vacuum)
  • « ⁇ and n are the refractive indices on the respective incidence and transmitted sides of surface 801a
  • ⁇ ⁇ is the incident angle
  • ⁇ 2 is the refracted angle.
  • the stf at point ⁇ r, z) i.e., point 706 in Fig. 7 can be represented by the following paraxial approximation,
  • the stf distribution across a lens aperture takes on its worst-case value at the aperture edge, where the incident and refracted angles ⁇ ⁇ and ⁇ are maximized.
  • the design operating wavelength ⁇ and complex refractive index n-Mo f° r the molybdenum lenses L ⁇ and L are
  • Fig. 9 shows a cross-section of lens L ⁇ .
  • the graph 901 illustrates the transmitted electric field's amplitude profile versus aperture radius position r , assuming a unit-amplitude incident beam. (The transmitted field intensity is proportional to the amplitude squared.)
  • the lens's clear aperture diameter D ⁇ is 5 ⁇ m , and its center thickness t c ⁇ is 20 nm.
  • the top surface 902 is planar, and the bottom surface 903 is designed to focus a collimated incident beam to a point 171 ⁇ m (the nominal focal length, Ej ) below the top surface. (Depending on the manufacturing method, it may be more practical to make the bottom surface planar and the top surface curved; or both surfaces could be curved.)
  • Fig. 10 shows a cross-section of lens L , and also illustrates its incident field amplitude profile 106 (cf. Fig. 1) and transmitted field amplitude profile 1001 versus aperture radius position r2 •
  • Lens L 's top surface vertex 1002 is located at a distance of 171 ⁇ m (the nominal focal length F ) below lens L ⁇ , and profile 106 represents the diffraction- limited focus spot produced by lens L ⁇ .
  • Lens L 's clear aperture diameter D 2 is 1 ⁇ m and its center thickness t c2 is 20 nm.
  • the top surface 1003 is designed to image the center of lens L ⁇ to an intermediate conjugate point (not shown) 6.31 ⁇ m below vertex 1002, and the bottom surface 1004 images this intermediate conjugate onto a focal plane 3.25 ⁇ m(the nominal focal length F 2 ) below vertex 1002.
  • This design prescription balances the optical power between surfaces 1003 and 1004 so that the stf (Eq. 2.8) of geometric edge ray 1005 is the same at points 1006 and 1007 where the ray intercepts the surfaces (i.e., the refraction angles at the two surfaces are matched).
  • lens L 2 's worst-case stf is minimized.
  • the definition of "worst case” may be over-conservative in this design because the transmittance of edge ray 1005 is essentially zero, as evidenced by transmission profile 1001.
  • Fig. 11 illustrates the focused beam's amplitude profile 109 at the wafer plane versus the beam radius position r3 (cf. Fig. 1). This represents the diffraction-limited focus spot produced by lens L 2 .
  • Fig. 12 shows the peak-normalized intensity profile /[r ] (which is proportional to the amplitude squared). This profile can be closely approximated as a Gaussian,
  • the functional form of this profile is
  • J ⁇ is a Bessel function.
  • the lens design parameters are selected to balance a three-way tradeoff between optical resolution, efficiency, and practicality.
  • Circular lens apertures are preferred because the circular shape maximizes EUV transmittance for a given aperture area and focal length, and the circular symmetry minimizes the number of degrees of freedom that need to be controlled in the manufacturing process.
  • the lenses' remaining design parameters are constrained to maintain a specified focus spot resolution at the wafer plane, and are optimized (subject to the resolution constraint) to maximize optical throughput.
  • the resolution requirement is specified in terms of an "effective numerical aperture" parameter, as described below.
  • the geometric numerical aperture N4 geo °f l ens - ⁇ 2 • > as determined by a geometric raytrace of edge ray 1005 (Fig. 10), is N4 geo 0.156 . This value actually has little relevance to the lens's resolution performance because the lens is essentially opaque near its periphery.
  • N4 e ff an "effective numerical aperture" N4 e ff can be defined to provide a common basis of comparison with projection lithography systems.
  • N4 e ff is defined as the numerical aperture of an ideal, unapodized lens that would provide imaging resolution comparable to that of lens L , as defined by a criterion such as the focus spot's full-width-at-half-maximum (FWHM).
  • FWHM full-width-at-half-maximum
  • the effective numerical aperture can be used in the above resolution equation (Eq. 2.14) to roughly compare the microlens system to projection lithography systems. But this comparison is limited because unlike projection systems, the microlens system exposes proximate image points sequentially — not simultaneously — and the points therefore do not interact coherently. The printed image would thus be devoid of coherent proximity effects that are characteristic of projection lithography systems.
  • the combined transmission efficiency of lenses L ⁇ and L 2 i.e., ratio of the wafer-plane energy to the incident energy intercepted by lens L ⁇ 's clear aperture, neglecting any pellicle or modulator transmission losses) is 17.5%.
  • This value is based on a diffraction simulation (with the conventional approximations of Fresnel-Kirchoff diffraction theory), assuming that an ideal monochromatic, collimated beam is incident on lens j .
  • the incident beam produced by the EUN illumination system actually has a finite spectral bandwidth of approximately 0.3 nm and an angular divergence full angle of approximately 1 mrad. The bandwidth is insignificant, but the source divergence causes some spreading of the diffraction-limited source image on lens L 's aperture, resulting in some energy loss through L .
  • the plasma source can be modeled as a spherical, Lambertian (i.e., uniform-radiance) emitter subtending 1 mrad at the microlens j aperture plane, an efficiency attenuation factor of 0.963 is required to account for the source size.
  • the attenuation factor would be much lower; for example, at 2 mrad it would be reduced from 0.963 to 0.880. (But although the source size affects efficiency, it does not limit imaging resolution because the source is not imaged onto the wafer.)
  • Fig. 13 is a tabular summary of the lens design parameters.
  • the surfaces are numbered in order of traversal by the EUV beam, and the "surface” column also indicates the surface reference numbers in Figs. 1, 9, and 10.
  • the "media” column indicates the optical media above and below each surface (e.g., "Vac/Mo” means vacuum above and molybdenum below).
  • the “diameter” column indicates the aperture stop diameters. (As noted above, lens L 2 does not actually require an aperture stop.)
  • the " z vertex " column indicates each surface vertex's position along the optical axis, as measured from the first surface, and the " z ⁇ " and " z /2 " columns indicate the positions of each surface's conjugate points relative to the surface vertex (cf. Fig. 7).
  • Estimated surface form tolerances are 4 nm RMS for i and 2 nm RMS for
  • L 2 the odd-symmetric component of L 2 's surface error (i.e., tilt and coma) would need to be limited to approximately 0.75 nm RMS. (Design tolerance issues are discussed in ⁇ 11.)
  • the RCS design geometry (as defined by Fig. 7) could be varied to optimize optical performance.
  • the above design is based on optimization of the point spread function ( 7[> 3 ] , Fig. 12), using approximations of Fresnel-Kirchoff diffraction theory; whereas a more refined design would be based on simulated printing performance, using more accurate electromagnetic simulations.
  • the design procedure should take into account the image sensitivity to lens aberrations and should properly balance any tradeoffs between optical efficiency and aberration sensitivity.
  • Figs. 14A and 14B illustrate cross-sections of two such designs, a two-facet design comprising facets 1401 and 1402 (Fig. 14A), and a three-facet design comprising facets 1403, 1404, and 1405 (Fig. 14B).
  • the facets on each surface can be designed as refractive conjugate surfaces with common conjugate points, and the step height h between adjacent facets is selected so that the transmitted field's phase discontinuity across each step is 2 ⁇ at the design wavelength.
  • the step height on a molybdenum lens would be approximately 233 nm.
  • Phase Fresnel lenses would be less practical for the second-stage lens L 2 due to its small size, but L 2 could possibly be constructed by combining a number of comparatively low-power elements to form a composite high-power lens.
  • An L design of this type is illustrated in Fig. 15.
  • the lens is a triplet comprising a molybdenum top element 1501, a beryllium middle element 1502, and a molybdenum bottom element 1503. (A method for manufacturing this type of lens is discussed in ⁇ 3.)
  • the real part of beryllium's complex refractive index is greater than 1 at 11.3 nm, so a convex beryllium lens will exhibit positive optical power.
  • the middle element could alternatively be a vacuum space.
  • the triplet's optical power should preferably be balanced between the three elements so that the stf (Eq. 2.8) is matched at the four points 1504, 1505, 1506, and 1507 where geometric edge ray 1508 intercepts the four surfaces.
  • Higher optical resolution could be achieved by using more steeply curved optical surfaces or more elements in the second-stage lens, although the resulting resolution improvement would come at the expense of reduced optical efficiency.
  • Acceptable efficiency could be regained by using smaller optical components, but extreme miniaturization of the optics could result in an unmanufacturable design.
  • FIG. 16 illustrates a three-stage pixel configuration comprising a first-stage lens L ⁇ , a second-stage lens L 2 ' , a third-stage lens E 3 , and a modulator 102.
  • Lens L ⁇ focuses incident EUN illumination 104 toward a point 1601 centered in the lens L' aperture; lens L 2 focuses the illumination toward point 105 at the center of the L 3 aperture; and lens 3 then focuses the illumination onto point 107a on the wafer 108 (cf. Fig. 1 A).
  • Lens Z 3 could be a very small, high-power element (e.g., N4 e ff > 0.2 ), while lens L ⁇ could still be of practical size (e.g., aperture diameter > 5 ⁇ m).
  • Molybdenum is just one of several materials that could be used for the microlenses.
  • Several alternative materials that have good EUV optical characteristics are niobium ( ⁇ b), ruthenium (Ru), rhodium (Rh), and yttrium (Y).
  • Material selection criteria that apply to multilayer EUV mirrors e.g., refractive power and absorption — Ref. 28
  • the microlens surfaces may be coated with a thin (e.g., several nanometers thick) corrosion-resistant layer similar to passivating coatings used for EUV mirrors (Ref. 26).
  • These material selection considerations apply primarily to EUV lithography. For operation at other wavelengths, such as DUV or visible light, more conventional optical materials such as silicon dioxide or silicon nitride could be used.
  • Each second-stage microlens L 2 is preferably provided with a micromechanical actuator for making nanometer-scale adjustments in its lateral translational position.
  • a possible design for the actuator is illustrated conceptually in Fig. 17.
  • Lens L is housed in an inner silicon frame 1701 that is attached, via contiguous leaf springs 1702a and 1702b, to an outer silicon frame 1703.
  • the outer frame is attached, via contiguous leaf springs 1702c and 1702d, to anchor points 1704a and 1704b.
  • the frames and leaf springs are made from a thin silicon layer that has been etched through over the hatched areas in Fig. 17.
  • the lens position is actuated by means of electrostatic attraction or repulsion between proximate capacitive conductor pads 1705, 1706 and 1707, which are charged by three voltage controls (indicated as V ⁇ , V 2 , and VT, ).
  • the actuator's positional range would typically be on the order of 10 nm.
  • each second-stage microlens could also be possible to equip each second-stage microlens with an individually controllable focus mechanism, making possible conformal printing on complex surface topographies. Due to the system's large depth of focus, a focus mechanism would probably not provide much benefit unless its positioning range is over an order of magnitude greater than the lateral positioning range. However, focus control could be useful for ultra- high-resolution systems operating at high numerical aperture (e.g., N4 e ff > 0.2 ).
  • EUN microlenses can be manufactured with nanometer-scale profile control by adapting shadow-mask deposition processes that are used, for example, in shadow-mask molecular beam epitaxy (MBE).
  • MBE shadow-mask molecular beam epitaxy
  • Large-scale shadow masks have been used to deposit graded-thickness, multilayer EUN mirror coatings with subnanometer thickness accuracy (Ref. 29); and shadow-mask deposition processes have also been applied to microlens manufacture (Ref. 30).
  • Microlens-type structures with submicron dimensions can be fabricated using shadow-mask MBE techniques (Refs. 21, 22). Some very excellent recent examples of shadow-mask process capabilities for submicron fabrication are exhibited in Refs. 23, 24.
  • Ref. 31 describes a reactive ion etching (RIE) process that achieves nanometer-scale thickness accuracy on three-dimensional topographies by using a movable shadow mask.
  • RIE reactive ion etching
  • Molybdenum can be reactive-ion etched (Refs. 32, 33), and accurately profiled molybdenum microlenses could be fabricated by a combination of shadow-mask etching and deposition processes.
  • Figs. 18A-18F illustrate a method for fabricating three-element second-stage lenses L of the type illustrated in Fig. 15.
  • the single-element L 2 design illustrated in Fig. 10 could be similarly formed by leaving out the process steps shown in Figs. 18C-18E.
  • a substrate 1801 is etched by a particle beam 1802 which is masked by a shadow mask 1803 comprising an array of circular apertures such as aperture 1804 (shown cross-sectionally). Either the substrate or the mask is scanned in a circular pattern during etching to form an array of rotationally symmetric convex mold surfaces such as surface 1805.
  • vapor-phase molybdenum 1806 is shadow-deposited onto the substrate, again using a circular scan so that rotationally symmetric, meniscus molybdenum structures (such as structure 1807) are formed over the molds.
  • a beryllium layer 1808 is then deposited through the shadow mask (Fig.
  • the beryllium and molybdenum are subsequently shadow-etched to form convex beryllium lens elements (such as element 1809) over the meniscus structures (Fig. 18D).
  • another molybdenum layer is shadow-deposited to form a second array of meniscus structures (such as structure 1810) over the beryllium elements (Fig. 18E).
  • the lens elements are lithographically isolated to form separate lens structures, such as lens L (Fig. 18F; cf. Fig. 15); and the substrate 1801 is back-etched to expose the lenses and to form a positional actuator mechanism (such as that illustrated in Fig. 17).
  • the shadow mask aperture diameter can be significantly larger than the microlens clear aperture diameter.
  • the shadow mask apertures could easily be formed by using an interference lithography technique, which is very accurate and more economical than alternative processes such as electron-beam or focused-ion-beam lithography.
  • the mask aperture array would be designed to match the triangular microlens centering layout (Fig. 3).
  • a lens centering pattern comprising equilateral triangles can be produced by interference lithography, using a three-beam exposure technique in which three collimated laser beams are directed onto a photoresist-coated substrate at equal incidence angles and symmetrically distributed azimuthal angles.
  • a similar three-beam interference method has been used to lithographically pattern microlens arrays, Ref. 34.
  • the three-beam interference technique is much simpler than alternative four-beam interference methods or image -reversal processes that are used for patterning rectangular hole arrays, Ref. 35.
  • Each beam should preferably be polarized in its plane of incidence.
  • the resulting interference pattern will contain localized intensity maxima that can be developed into etched holes with a high degree of circular symmetry and accurate center placement. (Symmetric intensity minima can similarly be generated if the beams are polarized parallel to the substrate.)
  • the shadow-mask lithography technique described above is not the only option for microlens fabrication.
  • Refs. 36 and 37 review a variety of alternative methods such as gray-scale lithography.
  • any of a variety of lens forms such as molded microlenses, gradient-refractive-index planar microlenses, melted-resin microlens arrays, etc., may be used.
  • One technique that might be suitable for EUN application would be laser-assisted deposition and etching (Ref. 36, Sect. 4.4.3) in combination with interference lithography (i.e., a stationary laser interference pattern would be used instead of a scanning laser beam).
  • ⁇ IST has developed an "atom lithography" process (Ref. 38) that forms extremely accurate and uniform periodic structures by using intersecting laser beams to focus an atomic beam onto interference minima or maxima on a deposition substrate.
  • the method could be used with the three-beam geometry described above to form EUN microlens arrays with triangular centering patterns.
  • a lens material such as molybdenum could perhaps be deposited directly.
  • deposited layers of a material such as chromium could be used as molds or as sacrificial, pattern-transfer layers to form the microlenses.
  • the modulator 102 (Fig. 1) is preferably a micromechanical shutter 103a, which is located proximate lens L 2 in order to minimize its positional travel range. It is preferably located between j and L 2 so that it does not affect the working distance between the printhead and the wafer.
  • the shutter could, for example, comprise a comb-drive actuator similar to a mechanism that has been under development for printing applications (Ref. 39).
  • Another type of shutter mechanism which has been proposed for use in maskless x-ray lithography is a stress-biased, electrostatically activated coil or flap (Refs. 40, 18).
  • Fig. 19 shows a sectional view of one such mechanism.
  • the second stage microlenses, such as lens L are supported by a planar structure 1901 which is attached by support posts (such as post 1902) to a silicon plate 202.
  • Structure 1901 may comprise a lens position actuator such as that illustrated in Fig. 17.
  • EUV radiation is focused through etched holes in plate 202, such as hole 203 (cf. Fig. 2).
  • the radiation illuminating each lens L 2 is shuttered by means of a movable aperture plate, such as plate 1903, which is attached to plate 202 by means of vertical leaf springs such as leaf spring 1904.
  • the aperture plate and leaf springs could be formed as a film deposited onto a sacrificial mold.
  • the aperture plates and leaf springs are conductive (or are coated with a conductive film) and are positionally actuated by means of electrostatic interaction with capacitive conductor pads such as pad 1905 deposited on plate 202.
  • Shutter mechanisms are generally binary-state (on/off) devices, which would not provide any gray scale modulation capability. Although a shutter proximate to L 2 could be positioned to only partially obstruct the illumination on L , this would significantly degrade the focused beam quality and spot size on the wafer. However, the focused beam intensity could be effectively modulated by inserting a micromechanically-actuated obscuration in the beam path close to the first-stage lens j . Due to the spatial filtering action of L 2 , the optical diffraction and scatter caused by the obscuration would not significantly affect the wafer-plane focus spot other than to modulate its intensity level.
  • Figs. 20A and 20B illustrate a pixel 101 comprising microlenses L ⁇ and L 2 and modulator 102, wherein the modulator comprises two proximate phase diffraction gratings G ⁇ and G 2 .
  • the gratings In the modulator's ON state (Fig. 20A), the gratings have minimal effect on the transmitted beam.
  • the incident beam 104 is focused by Ei toward a point 105 centered on L 2 ; and L 2 thence focuses the radiation toward focal point 107a on the wafer 108.
  • the radiation field amplitudes on L 2 and on the wafer are illustrated as profiles 106 and 109, respectively; cf. Fig.
  • grating G ⁇ is translationally displaced, causing the transmitted energy to be diverted into diffracted orders such as + 1st and -1st orders directed toward points 2001a and 2001b.
  • diffracted field amplitudes at these points are illustrated as profiles 2002a and 2002b.
  • the zero order at point 105 is extinguished in the OFF state.
  • the grating modulator's operational principle is similar to that of a laser modulator described in Ref. 41, and several design variations for EUV application (including a design with gray-scale modulation capability) are described in the above- referenced provisional application No. 60/162,684.
  • grating modulators for EUV application are their high efficiency loss. For an operating wavelength of 11.3 nm, and assuming molybdenum grating lines, a grating modulator's maximum theoretically attainable ON-state transmittance is 75.7%. In practice, the efficiency would need to be much lower (e.g., 50%) to accommodate tolerance factors. Due to this limitation other micromechanical modulator mechanisms such as shutters may be more practical, although grating modulators could be useful for non-EUV applications.
  • the system could simply use a single modulator at the EUV source.
  • the LPP source's laser could be modulated by means of a grating modulator.
  • the printer pixels would all print identical exposure patterns, resulting in a periodic exposure distribution matching the microlens periodicity.
  • This type of system could be used, for example, in the manufacture of porous membrane filters or periodic structures such as mass-replicated MEMS devices.
  • the printer modulators could themselves be fabricated by using a microlens lithography system that is only source-modulated.
  • a "mix-and-match" strategy could also be used in which high-resolution, periodic structures are fabricated using a source-modulated microlens lithography system, while e-beam or DUV lithography is used for other non- periodic structures.
  • Focus and Alignment Tracking System Positional alignment tolerances for EUV lithography are on the order of a few nanometers; thus the printheads must be equipped with very precise and accurate alignment sensors and actuators. (Focus tolerances would typically be over an order of magnitude looser than alignment tolerances, but would be more critical for very high-resolution systems.)
  • the preferred alignment method uses an array of micro-sensors, such as electromagnetic proximity probes or optical sensors, which are formed integrally with the printheads and which sense each printhead 's position relative to periodic tracking patterns on the wafer surface.
  • Fig. 3 illustrates a printhead 201 equipped with two position sensor arrays 303a and 303b bordering illumination field 302 and comprising position sensor elements such as element 304.
  • a physical (e.g., electrical or optical) interaction between the sensor elements and periodic tracking patterns 305a and 305b on the wafer creates a positional feedback signal such as a Moire-type pattern in the distribution of signal levels over the sensor arrays.
  • This pattern is digitally analyzed to determine positional information which is relayed to positioning actuators (such as printhead actuators 404a and 404b in Fig. 4) to maintain accurate lateral alignment and focus while the system is printing.
  • positioning actuators such as printhead actuators 404a and 404b in Fig.
  • each second-stage microlens L 2 may be individually actuated to provide further control of alignment and magnification (Fig. 1).
  • Ref. 13 describes a confocal, microlens-imaging system that could be used for alignment tracking, and provisional application 60/162,684 describes an enhancement of this method that provides phase-contrast imaging capability.
  • Ref. 13 also describes an actuator mechanism that provides warp compensation capability, as well as alignment, fine focus and tilt control. (Warp distortion might also be controlled by means of an electrically activated film, such as a piezoelectric layer, which is deposited on the printhead surface and is activated to induce a compensating shear stress across the surface.) Focus and alignment could alternatively be tracked by means of capacitance sensors similar to fringe capacitance sensors described in Ref. 42.
  • Such sensors are generally designed only to provide focus sensing capability, but a sensor similar to the interdigitated comb capacitor illustrated in Fig. 7 of Ref. 42 could also be sensitive to lateral alignment relative to a periodic tracking pattern on the wafer.
  • Other sensor technologies that could be applicable to focus and alignment include proximal probe arrays and near-field optical sensors.
  • Figs. 21-26 show several views of the EUN illumination system (cf. Figs. 4 and 6).
  • the system's main elements are a xenon LPP source (Refs. 7, 8), collection optics comprising condenser mirror Ml and collimator mirror M2 , and distribution optics comprising two sets of terraced fold mirrors, M3 and M4.
  • the system's primary design objectives are to provide collimated EUV illumination of uniform irradiance, optimize optical collection efficiency, and provide sufficient clearance space around the plasma source.
  • the plasma source is centered at the focal point 2101 of condenser mirror M . (The plasma source is indicated as 601 in Fig.
  • Mirrors Ml and M2 are axially symmetric with respect to an axis 2102 containing point 2101.
  • Ml is aspheric, whereas M2 may be spherical.
  • the mirrors are designed to produce illumination that is collimated and of uniform irradiance, taking into account the mirrors' reflectivity variation with incidence angle and the plasma's directional emission profile.
  • the plasma is driven by a pulsed laser beam (or clustered collection of laser beams) 2103 which enters the system along axis 2102 and is focused onto point 2101.
  • the EUV radiation may optionally be modulated by means of a modulator mechanism 2107 in the laser beam path.
  • the beam's axial alignment results in an EUV plasma emission profile that is axially symmetric around axis 2102, making it possible to compensate for the emission's directional nonuniformity with an axially symmetric mirror design.
  • the collimated EUV beam 2104 from M2 is partitioned into 56 smaller, rectangular-aperture beams by a group of fold mirrors, which are collectively designated M3.
  • the M3 group comprises eight subgroups M3.1 , ... M3.8 .
  • Fig. 21 shows one such subgroup, M3.3
  • Fig. 22 shows the aperture geometry of M2 and of all eight M3 subgroups (M3.1 , ... M3.8 ) from a perspective looking into collimated beam 2104 (View A- A in Fig. 21).
  • M2 is illustrated as having an annular clear aperture in Fig. 22, but in practice M2 could comprise eight separate mirrors, each covering one of the eight M3 subgroups.
  • Ml could possibly also be partitioned into separate mirrors.
  • the azimuthal angular displacement between successive M3 subgroups is 41.25° (Fig. 22), and clearance space is provided between M3.8 and M3.1 to accommodate a xenon injection nozzle and associated mechanics (not shown).
  • Each M3 subgroup comprises seven individual fold mirrors. For example,
  • Fig. 21 illustrates subgroup M3.3 comprising fold mirrors M3.3.1 , ... M3.3.7
  • Fig. 23 shows dimensional details of these mirrors in the projected view of Fig. 22.
  • Collimated beam 2104 is incident on the M3.3 mirrors at a 75° incidence angle (Fig. 21), and is reflected into seven rectangular-aperture collimated beams 2105.
  • Fig. 24 illustrates the aperture geometry of mirrors M3.3 and beams 2105 from a perspective looking into beams 2105 (View B-B in Fig. 21).
  • the 3.3 mirror dimensions and positions are defined so that the beam width and spacing dimensions are 22 mm and 18 mm, respectively, in the projected view of Fig. 24.
  • the beams reflected from the M3 mirrors are further partitioned by a second group of fold mirrors, which are collectively designated as M4.
  • the M4 group comprises eight subgroups M4.1 , ... M4.8 which are associated with corresponding M3 subgroups M3.1 , ... M3.8 .
  • Fig. 21 illustrates the M4 subgroup M4.3 corresponding to M3.3.
  • Subgroup M4.3 is itself a hierarchy comprising seven lower-level subgroups M4.3.1 , ... M4.3.7 , each of which receives illumination from a respective M3 mirror (M3.3.1 , ... or M3.3.7 ) and further partitions it into individual square illumination fields.
  • Fig. 25 shows an edge view of the M4.3 mirrors (View C-C in Fig. 21).
  • the seven rectangular-aperture beams 2105 are incident on the M4.3 mirrors at a 75° incidence angle (Fig. 25), and are reflected into 45 square-aperture beams 2501.
  • Fig. 26 illustrates the aperture geometry of mirrors M4.3 and beams 2501 from a perspective looking into beams 2501 (View D-D in Fig. 25).
  • Each of the 45 beams 2501 covers an individual square illumination field such as field 302 in Figs. 5, 26.
  • Fig. 5 is a top view of the printhead and wafer, whereas Fig. 26 shows the illumination fields from a perspective looking up through the bottom of the wafer.
  • the incident beam 104 illuminating printhead aperture 301 corresponds to one of the beams 2501.
  • mirrors M3.3.J are labeled with corresponding row indices j; and in Fig. 4 mirrors 407a, 407b, and 407c correspond respectively toM4.3.2.3 , M4.3.2.4 , and M4.3.2.5 .)
  • the M4.3 mirror dimensions and positions are defined so that the illumination fields are column-aligned with column width and spacing dimensions of 22 mm and 18 mm, respectively, in the projected view of Fig. 26.
  • the partitioned beams 2501 illuminate a wafer print module 401 which prints onto a 300-mm wafer 108 (Figs. 21, 25; cf. Figs. 4, 5).
  • the illumination system supplies EUV illumination to eight such modules, and eight wafers are exposed simultaneously.
  • the collection optics' axis 2102 (Fig. 21) is vertical, and the wafer planes are inclined at a 41.4° angle to horizontal. (The system could alternatively be designed so that the wafer planes are all horizontal, although such a design would incur practical and performance-related compromises.)
  • the fold mirror design illustrated above exemplifies a general design methodology that can be applied with different design assumptions and requirements. For example, the size and shape of the illumination fields, or the number of fields, may differ from the configuration illustrated in Fig. 26.
  • the general design methodology comprises the following steps:
  • the illumination aperture layout is defined, as in Fig. 26.
  • step 3 Multiple copies of the aperture shapes defined in step 3 are arranged to efficiently fill the M2 clear aperture space, as illustrated in Fig. 22.
  • the translational positions of the consolidated apertures in step 2, the number of wafer print modules, and other design parameters are adjusted to fill the M2 aperture as efficiently as possible, and to also satisfy other design requirements such as clearance constraints.
  • Fig. 27 illustrates a projection of the EUV illumination system's clear aperture limits onto mirror Ml 's focal plane 2106 (Fig. 21).
  • the M2 mirror's inner and outer annular clear aperture limits are indicated as 2701 and 2702, respectively; and the square illumination fields' projections back onto plane 2106 are also illustrated (2703).
  • the space between mirror groups M3.8 and M3.1 (cf. Fig. 22) provides accommodation for a xenon injection nozzle 2704, which feeds the plasma 601.
  • the inner clearance circle 2701 defined by mirror M2 's inner clear aperture limit has a diameter of 100 mm.
  • Ml and M2 are designed to produce a collimated beam of uniform irradiance, the beam's polarization state will not be uniform; hence any polarization sensitivity in M3 and 4 could significantly degrade the intensity uniformity.
  • An advantage of the fold mirror design described above is that the combined polarization sensitivity of the M3 and M4 mirrors can be entirely neutralized by simply relying on symmetry.
  • the incidence planes on corresponding M3 and M4 mirrors are orthogonal, so if M3 and M4 have identical incidence angles and identical optical coatings, their polarization effects will automatically be self-canceling.
  • Fig. 28 illustrates the series reflectivity of two bare ruthenium mirrors with crossed incidence planes and 75° incidence angles, as a function of wavelength ⁇ (curve 2801). At the design wavelength of 11.3 nm the mirrors' combined reflection efficiency ⁇ ⁇ ⁇ 4 is
  • the M3 and M4 mirrors could comprise ruthenium films with a thin (e.g., several nanometer thick) overcoat similar to passivating coatings used for EUV mirrors (Ref. 26).
  • the overcoat would provide improved corrosion resistance, and its thickness would provide a degree of freedom that could be used to adjust the mirror reflectivity to counterbalance other efficiency factors such as the ambient xenon absorption.
  • Multilayer Mo/Be reflective coatings are required for mirrors Ml and M2 , which operate close to normal incidence. Moreover, graded-thickness coatings are required to accommodate the variation in incidence angle across the mirror apertures. At incidence angles exceeding 25° the maximum attainable reflection efficiency is seriously degraded, so the maximum incidence angles on Ml and M2 are constrained to 25°.
  • Fig. 28 illustrates the series reflectivity of two multilayer Mo/Be mirrors with parallel incidence planes (and unpolarized incident radiation), as a function of wavelength ⁇ , for normal incidence (curve 2802), and for 25° incidence on both mirrors (curve 2803). Compared to normal incidence, the spectrally integrated series reflectivity at 25° incidence is reduced by a factor of 0.82.
  • mirrors Ml and M2 are based on 70-bilayer Mo/Be coatings of the type described in Refs. 2 and 3.
  • the molybdenum and beryllium film thicknesses t Mo and t Be are selected so that each Mo/Be bilayer has a half- wave optical thickness at the design wavelength and incidence angle, with the bilayer' s optical thickness evenly divided between the Mo and Be films,
  • is the incidence angle (in vacuum)
  • ⁇ D is the design wavelength (11.3 nm)
  • Mo and « Be are the respective complex refractive indices for molybdenum and beryllium at wavelength ⁇ py
  • / Be is the beryllium optical thickness fraction
  • Be 0.5 Eq. 6.5
  • Mo has the value given in Eq. 2.11
  • «g e is the value given in Eq. 2.11
  • the reflectivity curves 2802 and 2803 in Fig. 28 are based on the above film specification. (In practice the reflectivity model should take into account factors such as film scattering, interlayer diffusion, and surface corrosion, but these factors are neglected here.)
  • the Ml , M2 mirror design is also based on assumed emission characteristics of the LPP source, which will be defined in relation to the optical geometry illustrated in Fig. 29 (cf. Fig. 21). For the purpose of mirror design the LPP is considered to be an infinitesimally small source at Ml 's focal point 2101.
  • Ray segment 2901a originates from point (0,0) , intercepts Ml at a point ⁇ V] , zj ⁇ , and is reflected into a ray segment 2902a with an axial angle ⁇ 2 relative to axis 2102.
  • Segment 2902a intercepts M2 at a point ⁇ y 2 ,z 2 ⁇ and is reflected into a ray 2903a, which is directed parallel to axis 2102.
  • the incidence angle of segment 2901a on Ml is ⁇ ⁇
  • the incidence angle of segment 2902a on M2 is ⁇ 2 .
  • a ray segment 2901b proximate to 2901a is reflected into ray segment 2902b and ray 2903b proximate to 2902a and 2903a, respectively.
  • the geometric parameters of this second ray sequence differ from those of the first by corresponding differential quantities; for example, the emission angle of ray segment 2901b is ⁇ i + d@ ⁇ , etc.
  • Fig. 32 illustrates a solid angular range d ⁇ defined by the set of all rays emitted from source point 2101 between the emission angle limits ⁇ i and ⁇ i + d ⁇ ⁇ .
  • the rays emitted from the source within this range define a source beam that is mapped by Ml and M2 onto an annular-aperture collimated beam with a differential cross-sectional area dA , as illustrated in Fig. 33.
  • the differential radiant power d ⁇ ' transmitted in the collimated beam is equal to the differential power d ⁇ in the source beam, attenuated by a mirror efficiency factor 77 ⁇ 1 M2 »
  • the spectral irradiance E ⁇ in the collimated beam is the radiant power per unit area per unit wavelength interval, i.e.,
  • the mirror efficiency factor 7MI,M2 i a function of wavelength ⁇ and of both incidence angles ⁇ ⁇ and ⁇ 2 ,
  • a highly desirable aspect of the mirror design is to make E constant over the beam aperture.
  • E is assumed to be constant, and the two constants E and I 0 in Eq.
  • Eqs. 6.17 and 6.18 define ⁇ i and ⁇ 2 as functions of 0 ⁇ and ⁇ 2 , so the only remaining undefined parameters are ⁇ ⁇ , ⁇ 2 , /j , and l 2 .
  • a defining relationship for l 2 can be obtained by integrating Eq. 6.29,
  • the above design prescription for Ml and M2 has three undetermined design constants: F , and 2 ' . Also, the annular aperture radius limits of M2 , R2mi n and R2max (Fig- 22 ) are undefined. These constants can be selected to satisfy a variety of practical constraints or performance objectives. The values used in the above design example are
  • the R2min anc * R2max values are based on the M3 aperture layout illustrated in Fig. 23.
  • the other three constants, F , and / 2 are determined to satisfy three design constraints:
  • the maximum incident angle ⁇ ⁇ on Ml and the maximum incident angle ⁇ on M2 are both set to 25°, and the optical clearance diameter around the plasma source 601 is set to 100 mm (Fig. 27).
  • the efficiency factor ⁇ in Eq. 6.38 can be defined to include the micro-optics' efficiency factors so that these are also compensated for in the design.
  • the efficiency factor can be defined to at least partially compensate for the ambient xenon absorption, to the extent possible with axially symmetric optics. (Any residual uncorrected errors in these factors can be compensated for in the M3 , M4 coating design.)
  • the Ml and M2 surface shapes obtained by the above process are both aspheric.
  • mirror M2 is nearly spherical (with less than 1-mm asphericity), and the optical design can be slightly modified to make it exactly spherical.
  • the basic procedure is to first obtain a preliminary aspheric design by the above process and replace M2 with a closely fitting spherical surface.
  • Ml 's surface shape is then reoptimized to satisfy the collimation condition, but ignoring the uniformity constraint.
  • Eq. 6.39 represents the collimation constraint, but in lieu of Eq.
  • the system's throughput performance can be estimated from the design data provided in the preceding sections.
  • the irradiance E in the collimated output from M2 is obtained from ⁇ qs. 6.25, 6.22, and 6.45,
  • the resist exposure threshold is assumed to be 20 mJ/cm 2 . To achieve good- contrast imaging for mixed positive- and negative-tone patterns this threshold level should be at approximately 25% of the maximum exposure level.
  • Q ⁇ the maximum level
  • the exposure area coverage rate A t is A t ⁇ ⁇ p ⁇ n t I QA - 13.1 cm /sec Eq. 7.7
  • each printhead scans the wafer, its pixels are modulated in synchronization with the LPP source to create a latent image in the resist in the form of a matrix dot pattern comprising overlapping image dots.
  • the "dots” could alternatively be termed “image pixels”, but in the present discussion the term "pixel” is reserved for use in connection with printer pixels.
  • Each dot is exposed through a single printer pixel during an LPP pulse of duration ⁇ 10 nsec (Ref. 8).
  • Each dot's radial exposure profile has the form illustrated by the intensity profile 7[r 3 ] in Fig. 12.
  • the profile's FWHM is 58 nm, an order of magnitude larger than the grid step G .
  • a typical exposure point will receive energy from multiple overlapping dots and will hence not be strongly influenced by statistical variations in the exposure level.
  • random or isolated defects in the printer pixels will not have too significant an effect on the exposure pattern, provided that the dots exposed by any particular pixel are sparsely distributed so that there is not much overlap between them.
  • the 6.86-nm grid step can be achieved, while maintaining the 150-nm dot separation for each pixel, by using an interleaved raster scan technique in which individual pixels print coarsely-spaced rectangular arrays of dots which are spatially interleaved to form much more finely-spaced dot patterns.
  • an interleaved raster scan technique in which individual pixels print coarsely-spaced rectangular arrays of dots which are spatially interleaved to form much more finely-spaced dot patterns.
  • wafer-scan the wafer moves in relation to substantially stationary printheads.
  • the printheads would actually have to be positionally actuated with either method to maintain accurate alignment and focus, but the required positional range would be at least an order of magnitude less with the wafer-scan method.
  • the printheads could be actuated to only control focus, while the second-stage microlenses are individually actuated to maintain lateral alignment.
  • the basic design principles underlying the scan methods can be conceptually illustrated by first considering several one-dimensional scan methods in which a single row of pixels exposes a single row of image dots. For simplicity, it is assumed here that the pixels are all kept in their ON states during exposure, so the resulting exposure pattern will comprise a row of exposed dots at the specified center spacing G (the printing grid step) without any gaps or duplicate exposures.
  • the resulting exposure pattern will comprise a row of exposed dots at the specified center spacing G (the printing grid step) without any gaps or duplicate exposures.
  • G the printing grid step
  • its dots are grouped into a multilevel subset hierarchy as follows.
  • the top level of the hierarchy comprises subgroups Dots[i] ; wherein i is an integer index that labels the printheads, and Dots[i] is the set of dots that are exposed by printhead .
  • each subgroup Dots[i] itself comprises subgroups Dots[i,j] ; wherein is an integer index that labels separate scans, and Dots[i, j] is the set of dots in Dots[i] that are exposed during scan j .
  • This scan is designated as Scan[j] .
  • the minimal rectangular area covering Dots[i,j] is termed a "scan field", which is designated as
  • Dots[i,j] further comprises subgroups Dots[i,j,k] ; wherein k is an integer index that labels the specific pixels in Head[i] that cover the dot row, and Dots[i,j,k] is the set of dots in Dots[i,j] that are exposed during Scan[j] through pixel k in Head[i] . (This pixel is designated as Pixel[i, k] .) Finally, Dots[i,j,k] comprises individual dots Dot[i,j,k,l] ; wherein / is an integer index that identifies the exposure pulses during
  • Dot[i,j,k,l] is the specific dot in Dots[i,j,k] that is exposed by pulse / in Scan[j] . (This pulse is designated as Pulse[j,l].)
  • Figs. 34A and 34B illustrate a simple sequential dot row exposure pattern in which each pixel exposes a contiguous sequence of dots.
  • all the dots are exposed with a single printhead, in a single scan.
  • FIG. 34A and 34B and subsequent figures represent the pixels' first-stage lens apertures; the small circles indicate center points of image dots that have been exposed; and the "+" marks indicate center points of unexposed dots.
  • One particular pixel (P/xe/[0,0]) is highlighted, and the dots printed by this pixel ( E» t[0, 0, 0, 0] , E>ot[0, 0, 0, 1] , etc.) are represented by filled-in circles.
  • Fig. 34A illustrates the dot exposure pattern when the scan is halfway to completion
  • Fig. 34B illustrates the pattern after completion.
  • the scan direction is indicated as X
  • the dot center spacing in the X direction i.e., the scan distance per exposure pulse
  • G x is nominally equal to the printing grid step G defined in Eq. 8.4.
  • the grid cells may not necessarily be perfectly square, so the cell dimensions will be denoted as G x and G y .
  • the dots printed by each pixel are closely clustered in a small region, so pixel defects or non-functional pixels could result in unacceptable printing errors.
  • This limitation is overcome by using the interleaved exposure pattern illustrated in Figs. 35A and 35B.
  • the scan distance per exposure pulse is ⁇ N pujse G x , wherein ⁇ N pu ⁇ se is an integer; and each pixel prints a regularly-spaced dot sequence with a dot centering interval of ⁇ N pu ⁇ se G x .
  • the pixel center spacing is
  • Y pixe j and ⁇ N pu ⁇ se are relatively prime integers
  • Figs. 36A and 36B illustrate a variant of the interleaved scan technique in which a printhead comprising a pixel row with a finite number of pixels is iteratively stepped and scanned to cover a dot row of indefinite extent, with each scan comprising N pu ⁇ S e/line exposure pulses on the dot row.
  • the scan distance per pulse is ⁇ N pulse G x
  • the pixel center spacing is ⁇ N pixel G x
  • N pu ⁇ S e / ii ne is equal to ⁇ N pixel .
  • Fig. 36A illustrates a pixel row comprising pixels Pixel[0,0] , Pixel[0, ⁇ ] , ..., Pixel[0, N p i xe i/row - 1] at two positions: at the start of Scan[0] (dashed circles), and at the end of Scan[0] (solid circles); and Fig.
  • the printhead ( Head[0] ) covers a scan field indicated as Field[0, 0] during Scan[0] , and covers Field[0,l] during Scan[l] .
  • the multi-scan exposure pattern is identical to the pattern that would be produced with a single scan, using an extended pixel row.
  • the dot pattern generated by an individual scan and printhead such as Dots[0,0] or Dots[0,l] , will have gaps in the exposure pattern near the ends of the corresponding scan field.
  • the end region 3601 of Field[0,0] in Fig. 36A is illustrated at a larger scale in Fig. 37, showing details of the completed exposure pattern with gaps.
  • Fig. 37 is the same as Fig. 35B, except that there are no negative-numbered pixels Pixel[0,- l], Pixel[0,- 2] , etc., and no negative-numbered scans.
  • the incompletely exposed regions bordering each scan field are termed "stitch zones".
  • the stitch zones of adjacent scan fields e.g., zones 3602 in Fig. 36A and 3603 in Fig. 36B) are overlapped (i.e., "stitched"), with each seamlessly filling in the exposure gaps in the other.
  • Head[0] comprising R/ e/[0,0], E te/[0,1], etc.
  • Head[l] comprising Pixel[l,0] , Pixel[l,l] , etc.
  • the pixels are illustrated at two positions: at Pulse[0,0] (i.e., the first pulse of Sc ⁇ / ⁇ [0] , indicated by dashed circles), and at Pulse[N x scan - 1, N pu ⁇ se /ii ne -1] (the last pulse of Sc ⁇ n[N x scan - 1] , solid circles).
  • the positional displacement between adjacent printheads is ⁇ N nead • G x , wherein
  • ⁇ head ⁇ x scan afield ⁇ q. 8.9 This value is chosen so that if the exposure sequence were to be continued past the last scan, the position of He ⁇ d[0] at Pulse[N x scan , 0] would coincide with the position of He ⁇ d[l] on
  • Pulse[0,0] For example, in Fig. 38 R ⁇ ;e/[0, 0] (solid circle) would step to the first position occupied by Pixel[l,0] (dashed circle).
  • the exposure pattern obtained with multiple printheads is equivalent to the pattern that would be obtained with a single printhead by extending its printing range (i.e., increasing the number of scans). Consequently, adjacent printheads' stitch zones are exactly complementary, with each seamlessly filling in the other's exposure gaps.
  • N x scan is integer-valued, but in some circumstances it need not be.
  • the last scan could be terminated prior to completion, and ⁇ N nead could be selected so that, for example, the exposure pattern printed by He ⁇ d[0] is continued from its termination point by He ⁇ d[ ⁇ ] .
  • N x scan could have a fractional value.
  • Scan[j] comprises pulse exposures Pulse[j,0] , Pulse[j, 1] , ...,
  • Pulse[j, Npuise/iine -1] wherein the number of pulses ( N pulse/line ) is equal to ⁇ N pixeI (Eq.
  • ⁇ step ⁇ pixel/row npixel ⁇ (npixel ⁇ *) ⁇ - ⁇ pulse Eq. 8.10
  • the process of "stepping" between two consecutive scans is indistinguishable from simply continuing the first scan without interruption. Since there are no stepping transitions between scans, the printheads can step asynchronously and the fractional scan method can be employed. The separate
  • scans can be considered to constitute portions of a single, extended scan, which will be termed a “transitionless scan”, and this scanning mode will be termed “transitionless scanning”.
  • N scan factor in Eq. 8.9 need not be integer-valued, and the only fundamental restriction on ⁇ X nead is that it must be an integer multiple of ⁇ N pu ⁇ se in order to maintain pulse synchronization between printheads;
  • N x pu ⁇ se is the total number of exposure pulses on the dot row. (As a practical consideration, N x pu ⁇ se must be sufficiently large to ensure mechanical clearance between adjacent printheads.)
  • the scan-related parameters defined above ( N pU]se / ]jne , ⁇ N fie]d ,
  • N x scan N x scan
  • related equations Eqs. 8.6, 8.8, 8.9 are not very relevant to a transitionless scan. Instead, transitionless scanning can be characterized by corresponding primed quantities ( Np U ⁇ se /iine > afield » N x ' scan ), which are defined as follows:
  • N scan l Eq. 8.15 (i.e., each printhead's scan fields are effectively merged into a single transitionless scan field). If there is only one printhead, Eqs. 8.14 and 8.15 are not relevant and the printhead could simply traverse a scan field of unlimited extent without stepping.
  • the design principles outlined above can be readily generalized from one- dimensional to two-dimensional scanning.
  • image dots are combined in line scan patterns to form image lines
  • the image lines can be combined in raster scan patterns to form two-dimensional images; and techniques such as scan interleaving and field stitching can be similarly applied in the second dimension.
  • the notational designations used above for one-dimensional printing e.g., Head[i] , Scan[j] , Pixel[i,k] , Pulse[j,l] , Dot[i, j, k, I] , etc.
  • the printheads, scan fields, pixels, and exposure positions within each scan all generalize to two-dimensional arrays, so the indexing labels / , j , k , and / are reinterpreted as two-component indices, as outlined below:
  • Fig. 39 illustrates a printhead ( Head[0] ) comprising pixels designated as Pixel[0, ⁇ k x , k y ⁇ ] ; wherein k x and k y are integers.
  • the k y index labels the printer rows (i.e., all the pixels in any particular row, such as row 3901, have the same k y index), and k x identifies the pixels in each row.
  • the figure also illustrates a portion of an exposure pattern generated by Head[0] and Scan[0] .
  • the pattern comprises image dots designated as
  • the dots exposed by any particular pixel are centered at the points of a two-dimensional rectangular grid, and the l x and l y indices label the dot column and row positions within this grid.
  • the " x "subscripts in the indices are associated with the scan direction, which is indicated as X in Fig. 39; and the "y " subscripts are associated with the cross-scan direction, indicated as Y .
  • the pulse indices l x and l y are not necessarily related to the time- sequential order of the exposure pulses.
  • Fig. 39 is a two-dimensional generalization of Fig. 35A, showing a typical raster scan halfway to completion. Each pixel traverses a serpentine, raster-scan path over the wafer, exposing a rectangular array of image dots in synchronization with the pulsed LPP source.
  • the dot center spacing in the X direction is G x
  • the spacing in the Y direction is G y
  • the scan distance covered between exposure pulses is ⁇ pujse G x
  • the scan line spacing is ⁇ 7 ⁇ me G y
  • the number of exposure pulses per scan line is designated as Npulse / lme ⁇ > the number of scan lines per raster scan is designated as N ⁇ me/s c a n > anc the total number of pulses per raster scan, N pu ise/scan > ⁇ s
  • the region scanned by each pixel has nominal X and Y dimensions of ⁇ N scan G x and ⁇ 7 scan G y , wherein
  • the pixel center spacing in the X direction is ⁇ N p ⁇ xel G x
  • the spacing between pixel rows in the Y direction is ⁇ 7 plxe ⁇ G y
  • the pixel array is periodic in the X direction with a spatial period of ⁇ Npixel G x > an ⁇ " i periodic in the Y direction with a period of ⁇ y penod G , wherein
  • - ⁇ row / period is the number of pixel rows per period
  • ⁇ X,Y ⁇ coordinate system with the origin at Dot[0, 0,0,0] are denoted as ⁇ do ⁇ i JAJ] G x , Ydo t [ i JA,l] y ⁇ (Fig. 40); wherein N dot and 7 dot are integer-valued functions.
  • the range limits on the pulse indices l x and l y in Eqs. 8.27, 28 are 0 ⁇ / ⁇ N pulse/lme Eq. 8.29
  • the positional coordinate offset from Pixel[0, 0] at the time of Pulse[0, 0] to Pixel[i,k] at Pulse[j,0] is ⁇ X pae ⁇ [i,j,k] G x , Y pae ⁇ [i,j,k] G y ⁇ (Fig. 41).
  • n p ixel [0,0, k] k y AY p ⁇ xel Eq. 8.32
  • a printhead's pixels are labeled by ⁇ k x , k y ⁇ indices that are within the following range limits,
  • Fig. 42 illustrates a particular printhead ( Head[0] , represented by its aperture boundary 4201), which scans a particular field (Field[0, 0]).
  • the boundary 4201 sweeps across a "stitch zone" (indicated by the hatched area 4202) which borders the field.
  • the stitch zone's width dimensions in the X and 7 directions are ⁇ N sntcr ⁇ G x and ⁇ 7 st j tcn G y , wherein
  • W x and W y are also the X and 7 displacements, respectively, between scan fields.
  • Fig. 43 illustrates a printhead ( Head[0] ), which prints four scan fields. It first raster-scans Field[0, ⁇ 0, 0 ⁇ ] , steps and scans Field[0, ⁇ 1,0 ⁇ ] , steps and scans Field[6, ⁇ 1,1 ⁇ ] , and then steps and scans Field[0, ⁇ 0,1 ⁇ ] .
  • Each particular scan provides only partial exposure coverage over the corresponding field's stitch zone, cf. Fig. 37. (The stitch zones are indicated as hatched areas in Fig. 43.) But over the areas where adjacent scan fields overlap (indicated by intersecting hatched areas) the separate scans seamlessly fill in each other's gaps.
  • the positional coordinates of Pixel[0,k] in Head[0] at the time of Pulse[j,0] are ⁇ X p [ xe ⁇ [0,j,k] G x , 7 pjxe ⁇ [0, /, k] G y ) , wherein
  • npixel [0, ] npixel [O *] + j x T field
  • npixel [0, j, k] 7 pixel [0, 0, k] + j y ⁇ 7 field
  • Eq. 8.44 In Eqs. 8.43, 44 the range limits on the scan indices j x and j y are
  • N scan and N y Scan are the number of scans in the X and 7 directions, respectively.
  • Fig. 44 illustrates a multi-printhead printing process.
  • the figure shows four printheads, He ⁇ d[ ⁇ 0,0 ⁇ ], He ⁇ d[ ⁇ 1, 0 ⁇ ] , He ⁇ d[ ⁇ 0,l ⁇ ] , and He ⁇ d[ ⁇ 1,1 ⁇ ] , at a particular time during Sc ⁇ n[0] .
  • the printheads raster-scan respective scan fields, Field[ ⁇ 0, 0 ⁇ , 0] , Field[ ⁇ 1, 0 ⁇ , 0] , Field[ ⁇ 0, 1 ⁇ , 0] , and Field[ ⁇ 1, 1 ⁇ , 0] .
  • Subsequent scans would seamlessly fill in the spaces between these fields (cf. Fig. 43).
  • the positional displacements between printheads in the X and 7 directions, respectively, are AYh ead G x and ⁇ 7 nead G y , wherein
  • npixel [ k] 7 pi ⁇ el [ ⁇ , j, k] + i y ⁇ 7 head Eq. 8.51
  • npixel UJA] (k x + Npi ⁇ el/row (Jx + - ⁇ scan z )) ⁇ N p i xe l + k y ⁇ N 0 ffset
  • ⁇ 7 pe riod is selected to approximately match the 6- ⁇ m lens centering dimension (Eq. 1.2),
  • Vx - 2 P X + 1 Eq. 8.69
  • npixel P Eq. 8.72 ⁇ pulse ⁇ t - ⁇ pulse 2 U X x + + 2
  • Pixel[0, ⁇ 0,0 ⁇ ] and Pixel[0, ⁇ 0,1 ⁇ ] in Fig. 39 is approximately equal to the scan distance per pulse ( ⁇ N p ⁇ use G x ) times an odd multiple of - .
  • the dot a ⁇ ays printed by these pixels are approximately symmetrically interleaved in the X direction, and the minimum separation distance between the image dots in these two dot a ⁇ ays is approximately - ⁇ N pu ⁇ se G x (i.e.,
  • the dot arrays printed by these pixels are approximately symmetrically interleaved in the 7 direction, and hence the dots in these arrays have a minimum separation distance of approximately ⁇ 7 ⁇ ine G y (i.e., also 75 nm; Eqs. 8.54, 56).
  • Eqs. 8.68, 69, and 66 yield a solution for ⁇ N p i xe ⁇ that is integer-valued; however it will not necessarily be even (as required by Eq. 8.57).
  • ⁇ N p ⁇ use must be odd to ensure that ⁇ N prxe ] is even, i.e., for some integer Q x .
  • the ⁇ 7 p ⁇ xe ⁇ solution obtained from Eqs.8.70, 71, and 67 will not be
  • the wafer-plane exposure dose is proportional to the product G x G y ; i.e., if the printing grid cells are not square Eq. 8.3 generalizes to
  • the lens-scan design parameters are summarized in Fig. 45.
  • the 65 wafer per hour throughput estimate in ⁇ 7 can be refined, based on the above design parameters.
  • the lens center spacing with these parameters does not exactly match the 6- ⁇ m nominal design value (Eq. 1.2), reducing the lens fill factor 77 from 0.630 (Eq. 1.3) to 0.619.
  • This efficiency loss results in a 1.8-% throughput reduction; also the throughput estimate should take into account the throughput overhead associated with scan reversal and wafer loading.
  • the velocity reversal time would be 0.19 msec.
  • the step time would be approximately 0.24 msec.
  • the expected reversal time overhead would be of order 1 msec or less per scan line. This would only add approximately 0.4 sec to the raster scan time,
  • the wafer stepping time between scans should also be accounted for in the throughput calculation, but at 1-g acceleration the wafer could be stepped by 20 mm in less than 100 msec, so this is not a significant throughput factor.
  • the wafer cycle time (at 4 scans per cycle) can be approximately estimated at 452 sec (i.e., 113 sec per scan, times 4 scans), not including the wafer load time. Allowing 10 sec for swapping in each new wafer, the total wafer cycle time is estimated at 462 sec. With 8 wafers being printed per cycle, this translates to 62 wafers per hour.
  • the scanning system design illustrated above exemplifies a general design methodology that can be applied with different design assumptions and requirements.
  • Nr 0 w / head an( l ⁇ pixel / row held constant, to scale either W x or W y to a desired design value.
  • the pixel centering pattern is preferably based on approximately equilateral triangles, this is not an absolute design requirement and the pixel centering grid could be modified to control the printhead aperture aspect ratio ( W y IW X ) without changing
  • the wafer-scan method would preferably comprise a transitionless scan that does not require frequent scan reversals.
  • the transitionless scan method which was described previously in the context of one-dimensional scanning, is also applicable in two dimensions.
  • the method can be applied in either of two modes termed "transitionless X scanning" or "transitionless 7 scanning". (Both modes can be used in combination.)
  • Nr OW/ hea d ⁇ ⁇ line (transitionless 7 scan) ⁇ q. 8.104
  • N x scan can be fractional
  • the individual scans, Scan[ ⁇ 0, j y ⁇ ] , Scan[ ⁇ l, j y ⁇ ] , etc. can (for each particular index j y ) be considered to constitute portions of a single "transitionless X scan", which is designated as Scan'[ ⁇ 0, j ' ⁇ ] and is characterized by scan parameters N pu ise/line> ⁇ pulse/scan > ⁇ scan * ⁇ N ⁇ eld , and Ni scan defined by
  • N scan l Eq. 8.109 (Other entities that are associated with a transitionless X scan can also be distinguished by using primed symbols. For example, Dots'[i,j,k] represents the set of image dots printed by Pixel[i,k] during Scan'[j] .)
  • N y scan can be fractional
  • ⁇ pulse/scan ⁇ pulse/line - ⁇ line/scan Eq. 8.115
  • Scan ⁇ ' [ ⁇ 0, j y ⁇ ] and its constituent scans Scan[ ⁇ 0, j y ⁇ ] , Scan[ ⁇ , j y ⁇ ] , ... is not merely one of definitions and notation.
  • the constituent scans' raster lines are merged in the composite, transitionless scan, thus reducing the number of scan reversals and associated throughput overhead. This is illustrated in Figs. 46A and 46B.
  • Fig. 46A shows several sets of image dots
  • Each set is raster-scanned, and the arrows indicate the exposure time sequence in each scan.
  • the X -displacement between the each scan's end point (e.g., point 4601) and the succeeding scan's start point (e.g., point 4602) is equal to ⁇ N pu ⁇ se G x , the scan distance per pulse.
  • the multiple raster scans can be merged into a single, transitionless scan in which Pixel[ 0, 0] traverses all the sets, as illustrated in Fig. 46B.
  • the union of Dots[0, ⁇ 0, 0 ⁇ , ⁇ ] , Dots[0, ⁇ 1, 0 ⁇ , ⁇ ] , etc. is indicated as E>ots'[ ⁇ , ⁇ 0,0 ⁇ , ⁇ ] in Fig. 46B, in conformance with the notational conventions outlined above.
  • a fundamental limitation of the transitionless scan method is that the scan fields' stitch zones are very large — in fact, they are as large as the printhead apertures themselves.
  • a wafer print module 4701 comprises a set of printhead apertures such as aperture 4702 whose dimensions in the respective X and 7 directions are W x and W y . hi this example, the apertures are arranged in a rectangular a ⁇ ay with X and 7 periodicities of 2 W x and 2 W y , respectively.
  • the X periodicity could be any integer multiple of ⁇ N pu ⁇ se G x
  • the 7 periodicity could be any integer multiple of W y .
  • the illumination system would be designed to accommodate the aperture geometry, based on the design methods described in ⁇ 6.
  • the wafer 108 is exposed in two scans. It is first exposed as it raster-scans between positions 4703a and 4703b, covering an X travel range ⁇ 2 W x . (The 7 travel range is very small, e.g., 1.5 ⁇ m in this example.) It then steps by a distance of W y in the 7 direction and is exposed while raster- scanning between positions 4703c and 4703d.
  • Fig. 48 conceptually illustrates the pixel distribution over a printhead aperture 4801.
  • the pixel array comprises a set of closely spaced pixel rows, such as row 4802 comprising Pixel[ ⁇ 0, 0 ⁇ ] , Pixel ⁇ ⁇ 1, 0 ⁇ ] , Pixel[ ⁇ 2, 0 ⁇ ] and row 4803 comprising
  • the pixels in each row are very sparsely spaced, with a pixel centering separation of ⁇ N p j xe ⁇ G x .
  • the spacing is necessarily sparse because the number of pixels per row, N p i xe i / row > i equal to ⁇ N pu ⁇ se (Eq. 8.103), which must have a small value because the scan velocity is proportional to ⁇ N pu ⁇ se (Eq. 8.102).
  • Adjacent pixel rows are separated by a 7 displacement distance of ⁇ 7 prxe ⁇ G y and an X offset distance of ⁇ Noffse t x ⁇
  • the pixel array is periodic in the 7 direction with a periodicity dimension of ⁇ 7 pe riod G y ⁇ (The above parameter definitions are consistent with the corresponding lens- scan parameter definitions, as illustrated in Fig. 39.)
  • the printing grid dimensions G x and G y , and the scan parameters ⁇ N pujse and ⁇ 7 ⁇ me are defined by the same conditions as in the lens-scan design (Eqs. 8.54-56; cf. Fig. 39), and they have the approximate values
  • ⁇ N pj xei can be estimated from Eqs. 8.103, 39, 37, 118, and 120,
  • the array's X periodicity dimension ( ⁇ N prxe ⁇ G x ) is two orders of magnitude larger than the pixel dimensions. However, the pixels are centered at the points of a periodic tiling pattern comprising unit cells, such as cell 4804 in Fig. 48, which have dimensions comparable to the pixel size.
  • Fig. 49 shows a magnified view of four pixels 4901, 4902, 4903, and 4904, which are centered at the points of unit cell 4804. Pixels 4901 and 4902 have the same X coordinate, and their centering distance in the 7 direction is ⁇ 7 pe ri o G y .
  • the X displacement distance from pixels 4901 and 4902 to both pixel 4903 and pixel 4904 is C G x , wherein
  • Pixels 4903 and 4904 are the specific pixels that have 7 coordinates between the
  • the unit cell 4804 forms a parallelogram whose sides are preferably approximately equal to the centering distance between pixels 4901 and 4902 (i.e., cell 4804 comprises two approximately equilateral triangles).
  • C has the approximate value
  • K x Nrow/penod + K y M l; 0 ⁇ K y ⁇ N row / P e ⁇ od Eq. 8.129
  • the 7 offset between pixels 4903 and pixel 4904 is ⁇ 7 s j ope G y (Fig. 49), wherein
  • the selected values for N ro w / pe ⁇ od a ⁇ ⁇ (Eqs. 8.141 , 144) are both relatively prime with ⁇ N pujse (Eq. 8.138); hence so is ⁇ N p ⁇ xe ] , as required by Eq. 8.25.
  • M The selection criteria for choosing M are that it should be within the above range; it must be relatively prime with N r ⁇ w / pe ⁇ od (Eq- 8.128); and subject to these constraints M is selected
  • ⁇ r slope il1 be equal to - ⁇ 7 pixe , .
  • Npixel/row is obtained from Eqs. 8.103, 138; and an estimate for N row /head i obtained from Eqs. 8.40, 38, 1 19, 120, and 133,
  • N ro w/head is defined as
  • N pu ⁇ S e factor in Eqs. 8.105, 106 (the total number of pulses per scan line) is defined as
  • Eq. 8.158 (In practice N x pu ⁇ se could have any integer value that is sufficiently large to satisfy mechanical clearance constraints between printheads.) From Eqs. 8.105 and 8.108,
  • the number of scans in the X direction is 1 (Eq. 8.109), and the number of scans in the 7 direction is
  • Fig. 50 summarizes the design parameters for the wafer-scan method.
  • the wafer-scan method is inherently insensitive to pixel defects that straddle adjacent pixels.
  • the dot rows printed by pixel 4901 in Fig. 49 are not proximate to dot rows printed by any adjacent pixels such as pixels 4902, 4903, or 4904 because the 7 displacement between adjacent pixels is much larger than the entire 7 scan range ( ⁇ 7 scan G y ).
  • defects that straddle adjacent pixels will not significantly affect the image.
  • the scan pattern could also be designed to minimize sensitivity to defects that straddle pixels such as pixels 4903 and 4904 that are not directly adjacent, but which print proximate dot rows. For example, if the selected C value (Eq.
  • the dot columns printed by pixels 4903 and 4904 would be approximately symmetrically interleaved in the X direction with a minimum separation distance of approximately 75 nm, thereby minimizing the effect of defects that straddle these pixels.
  • GCE >[ ⁇ 7 p ⁇ xel , ⁇ 7 line ] ⁇ ⁇ /(2 N4 geo G y ) ⁇ q. 8.162
  • is the exposure wavelength (11.3 nm)
  • the optical resolution limit is discussed in ⁇ 10.
  • the raster scanning technique is suitable for general-purpose digital printing applications, but for some specialized applications the system could use an alternative vector-scanning method in which the scan path follows the lines and contours of the printed image.
  • Vector scanning could be used, for example, when the print image is a periodic pattern matching the pixel layout. (Such applications would not typically require individual pixel modulators. Instead the system could simply use a single modulator at the EUV source, as outlined at the end of ⁇ 4.)
  • a maskless EUV lithography system would have enormous data storage and data flow requirements.
  • a fully buffered bit map image at a 6.86-nm sampling resolution i.e., the grid step dimension G , Eq. 8.4
  • 2 xlO 12 bits co ⁇ esponds to approximately $1 million of DRAM storage at cu ⁇ ent prices; thus the data would have to be converted to a compressed format that can be efficiently decompressed at high data rates.
  • the system's total data rate for pattern generation is 2.8x10 13 bits per sec (i.e., N prxe ⁇ v src ; Eqs. 1.7, 8.1).
  • the data rate would be (only) 3.5xl0 12 bits per sec.
  • modules may receive data from a common data stream, the system could nevertheless provide a limited degree of module-specific customization of the print image.
  • Each module could have an associated database of "patch" image patterns that are spliced into the bit stream as it is received. This facility would be used, for example, to compensate for defective pixels or to insert wafer die serialization characters into the image.
  • each printhead pixel row could be provided a dedicated line buffer that is filled prior to each line scan.
  • the line buffering approach is not very practical because Nij ne/scan is much lower (10) and the number ofpixel rows per printhead ( N r0 w / hea d ) is much higher (291545 for the wafer scan, compared to 6860 for the lens scan; Figs. 45, 50).
  • the number of pixels per row ( Npi ⁇ el / row ) is much lower (22, versus 1838 for the lens scan), so it could be feasible to provide each pixel a small data buffer and an associated instruction pointer and return stack for instruction processing.
  • Each processing unit would be time-shared between multiple pixels, keeping their data buffers filled as the scan progresses. Since the number of grid steps per pulse ( ⁇ N pu ⁇ se ) is 22, the processors need only transmit every 22nd image bit to the bit stream; however the instruction feed rate and processing rate would be 22 times higher than with the lens-scan method.
  • the processor instruction set should preferably be designed so that it can be processed in either forward or reverse sequential order to accommodate bidirectional raster scanning.
  • branch instructions could include both the starting and ending addresses of subroutine instruction blocks, which may be processed in either forward or reverse order.
  • branch instructions could include both the starting and ending addresses of subroutine instruction blocks, which may be processed in either forward or reverse order.
  • FIG. 51 illustrates a radial point exposure intensity profile 5101 for a single, isolated image dot, based on the imaging performance of the lens design outlined in Fig. 13 (with focus optimized as indicated by the footnote in Fig. 13).
  • This "point exposure profile" is identical to the intensity profile I[r ⁇ , ] illustrated in Fig. 12, except that the vertical scale is
  • Fig. 51 illustrates a cross-sectional "line exposure profile" 51 2 of an isolated dot row comprising dot exposures distributed along a line at the grid step interval of
  • Figs. 52-55 illustrate several such dot patterns that could be used to form basic semiconductor elements such as conductor lines and contact holes, and co ⁇ esponding exposure profiles are shown in Figs. 56 and 57.
  • Fig. 52 illustrates a dot pattern exposing a positive-tone disk image, which would develop into an isolated hole in a positive resist.
  • the illustrated dots such as dot 5201 in Fig. 52 (and Figs. 53-55) represent the center points of image dots that are exposed with pixels in their ON state, while the "+" marks such as mark 5202 represent unexposed image dots.
  • the 25% threshold contour (representing the exposure distribution's aerial image) is illustrated as curve 5203, which has a diameter of 70.9 nm.
  • Fig. 53 illustrates a complementary pattern comprising a negative-tone disk image, which would develop into an isolated mesa or post in a positive resist.
  • the 25% contour 5301a has a diameter of 69.5 nm.
  • Fig. 54 illustrates a positive-tone line image that would develop to an isolated space (i.e., trough) with a positive resist.
  • the space dimension between the 25% contours 5401 is 69.8 nm.
  • a complementary negative-tone line pattern shown in Fig. 55 has a line dimension of 71.4 nm between the 25% contours 5501. This pattern would develop to an isolated raised line (or ridge) in a positive resist. (Features of these dimensions would exhibit minimal proximity effects.
  • a simulated dense line/space pattern comprising features of the type illustrated in Figs. 54 and 55, with a 137-nm pitch, exhibits an uncompensated iso-dense bias of less than 3 nm.
  • Figs. 56 and 57 are exposure profiles (in Q A -relative units) through the X axes of Figs. 52-55, showing the high contrast in the exposure distributions.
  • Profiles 5601 and 5602 correspond to the Fig. 52 and Fig. 53 exposure patterns, respectively; and profiles 5701 and 5702 correspond respectively to Fig. 54 and Fig. 55.
  • the microlenses exhibit a useful frequency-filtering function that mitigates the effects of digitization and aliasing in the printed image.
  • This dimension defines the imaging system's optical resolution limit (which is significantly lower than the practical printing resolution).
  • the printed image cannot contain any frequency components comprising spatial periods below the 36.3-nm limit.
  • any one-dimensionally- periodic exposure pattern with a periodicity below 36.3 nm would print with perfectly straight exposure contours; and a two-dimensionally periodic pattern (such as a checkerboard pattern) within this periodicity limit would produce a perfectly uniform exposure distribution.
  • a variety of periodic dot patterns can be formed with periodicities below the optical resolution limit, and such patterns can be used to effectively provide grayscale control, to form straight-edged diagonal features, and to provide very precise control over dimensions and placement of print features.
  • "Digital dithering" techniques based on sub- resolution patterning can give the lithography designer a great deal of flexibility in controlling image feature size, shape, orientation, and placement. ⁇ 11 Tolerance Factors
  • the print quality is affected by a variety of tolerance factors, including defective or non-functional pixels, statistical fluctuations in the LPP pulses, thermally- induced image magnification e ⁇ ors, focus e ⁇ ors, and lens surface form errors.
  • the cumulative effect of such factors on the positions and dimensions of print features must generally be an order of magnitude smaller than the printing resolution, and the effect of individual tolerance factors must typically be two orders of magnitude smaller.
  • the allowable printing errors from individual factors would be of order 1 nm.
  • the tolerance requirements can be relaxed somewhat by employing tolerance compensation mechanisms such as micromechanical centration actuators on the second-stage microlenses (Fig. 1).
  • Fig. 58 A illustrates how a printer pixel stuck in its ON state would affect the negative-tone disk image of Fig. 53.
  • Fig. 58A is identical to Fig. 53, except that an extra image dot 5801 proximate to the 25% threshold contour 5301a has been exposed by the defective pixel. This distorts the contour (as illustrated by the distorted contour 5301b), reducing its X dimension from a calculated value of 69.55 nm to 68.86 nm. This is only a 1% dimensional change.
  • the dot pattern can be modified to compensate for the defects. For example, Fig.
  • 58B illustrates the same dot pattern as Fig. 58A, except that two dots 5802 and 5803 are left unexposed to compensate for the extra dot 5801.
  • the resulting threshold contour 5301c has an X dimension of 69.43 nm, and the dimensional error is an insignificant 0.11 nm.
  • Fig. 59 shows plots of the disk image diameter (i.e., the diameter of the 20 mJ/cm 2 exposure contour, such as contour 5203 or 5301a in Figs. 52, 53) as a function of lens i 's defocus ⁇ Z j .
  • Curve 5901 is for the positive-tone disk image of Fig. 52
  • curve 5902 is for the negative- tone disk image of Fig. 53.
  • Fig. 60 plots the image diameter versus lens L 2 's defocus ⁇ Z 2 for the positive- and negative-tone disk images (curves 6001 and 6002, respectively).
  • the optical design has a slight focus correction (footnote in Fig.
  • the focus tolerance range corresponding to a ⁇ l-nm diameter shift of the negative-tone image (Fig. 53) is +2.9A3.6 ⁇ m for L ⁇ and ⁇ 111 nm for L 2 .
  • the corresponding ranges are +3.4 ⁇ -5.2 ⁇ m for L ⁇ and +222/- 164 nm for L .
  • the lens surface form tolerance is the most critical tolerance factor.
  • the system would be most sensitive to errors that are correlated between proximate pixels (and therefore have a cumulative effect on print quality), but which are unco ⁇ elated on the scale of a printhead or wafer print module (and thus cannot be compensated for by adjusting focus, alignment, illumination level, or wafer processing conditions).
  • the primary effect of pixel-co ⁇ elated, uncompensated surface errors would be to change the size and centering position of the threshold contours 5203 and 5301a.
  • Image centering e ⁇ ors result from tilt and coma aberrations in L 2 ; whereas size errors are most strongly affected by lens thickness errors (which affect pixel transmittance) and L 2 aberrations of low azimuthal order, such as spherical aberration.
  • the negative-tone disk image of Fig. 53 is especially sensitive to lens aberrations in L 2 .
  • the image center coordinates have an approximately linear dependence on tilt and coma in L 2 , and an RMS surface deviation of approximately 0.75 nm can result in a positional shift of up to 1 nm.
  • the disk diameter has an approximate linear dependence on lens thickness, with a uniform surface deviation of 3 nm corresponding to a 1-nm diameter shift.
  • the diameter sensitivity to spherical aberration is approximately quadratic, with an RMS surface deviation of 2 nm co ⁇ esponding to approximately a 1-nm diameter e ⁇ or. (These estimates are based on simulated surface deviations having the form of Zernike polynomials up to 36th order.)
  • the image is much less sensitive to Zi surface errors.
  • the image centering is essentially unaffected by L ⁇ (unless the image is significantly out of focus).
  • the image size has an approximately linear dependence on Zi thickness, with a 4-nm thickness error co ⁇ esponding to a 1-nm diameter shift. With the exception of the thickness factor, the diameter sensitivity to all Zi aberrations is approximately quadratic, with an RMS surface error of approximately 4 nm corresponding to a 1-nm diameter error.
  • Lens surface tolerance requirements can be estimated from the above sensitivity factors.
  • the estimation criterion is that the image diameter and centration errors associated with each surface should not exceed 1 nm. Based on this criterion, the total RMS surface deviation for each surface (including the lens thickness e ⁇ or) should be within 2 nm for L 2 and within 4 nm for i . Furthermore, the tilt and coma-related component of the L 2 surface deviation should be held to 0.75 nm RMS; although this latter requirement can be eliminated if a calibrated centration offset is applied to each L 2 lens. (These surface form tolerances could be relaxed somewhat by designing the system to optimize a design performance metric that includes tolerance sensitivity factors.)
  • Centration controls can only be effective if the lens centration e ⁇ ors can be accurately characterized.
  • One possible characterization method is the following (see Fig. 61).
  • a periodic test pattern of submicron holes (such as hole 6101, shown cross-sectionally in Fig. 61) is patterned in a mask material on a UV-transparent substrate 6102 such as fused silica.
  • the test pattern is formed using interference lithography to ensure accurate placement and size of the holes.
  • a UV-transparent layer 6103 is deposited over the hole a ⁇ ay, and then a second mask layer 6104 is deposited and a complementary a ⁇ ay of isolated mesa patterns (such as mesa 6105) is lithographically patterned, using the EUV printing system.
  • the pattern is back-illuminated with a beam of UV radiation 6106, and the transmitted radiation is analyzed with a UV micro-imaging and metrology system (not shown) which measures the energy transmitted through the area around each mesa.
  • the transmitted energy distribution over the test pattern is measured as a function of illumination direction and is analyzed to determine the mesa size and position e ⁇ ors.
  • Variations of the test method outlined above can also be used to evaluate system performance factors other than lens aberrations.
  • the method can identify defective pixels.
  • printhead alignment errors and stitching alignment e ⁇ ors between printheads can be characterized. (For alignment testing, the same interference lithography system that is used to form the test pattern could also be used to form the alignment tracking patterns, such as patterns 305a and 305b in Fig. 2.)
  • Fig. 62 is a block diagram illustrating the control system's main architectural components and interactions, in a preferred embodiment. The various elements were described in detail above, and will only be summarized here.
  • the printer comprises major subsystems for EUV illumination, position control, and image generation, which are synchronized to a common system clock.
  • the illumination system includes the LPP pulse generator, the EUV source, and the EUN illumination minors. Illumination is supplied to eight wafer print modules ("Module 1" ...
  • Each module comprises 45 printheads, and each printhead comprises an array of printer pixels, a printhead actuator, and a focus/alignment system.
  • the control elements within each pixel include a modulator and a lens actuator (on the second-stage lens).
  • the modulators are controlled by the image generation subsystem, which typically includes real-time image decompression hardware, high speed data lines (e.g., fiber optics) feeding the printheads, and integrated data demultiplexers and line buffers on the printheads.
  • the system would typically supply a common data stream to all eight modules in order to minimize the cost and complexity of the image generation hardware.
  • the position control subsystem receives positional information from focus/alignment sensors (such as confocal-imaging microlens a ⁇ ays) formed integrally on the printheads, which sense each printhead 's position relative to wafer tracking patterns (the "Tracks" in Fig. 62). This information is processed by the position control system and is used to control the printhead actuators; also the lens actuators are controlled to compensate for thermal expansion and possibly other tolerance factors.
  • focus/alignment sensors such as confocal-imaging microlens a ⁇ ays
  • This information is processed by the position control system and is used to control the printhead actuators; also the lens actuators are controlled to compensate for thermal expansion and possibly other tolerance factors.
  • ZPAL Zero-Plate Array Lithography
  • the EUN printer system design concept described in the preceding specification illustrates the potential benefits and performance characteristics of maskless EUN lithography.
  • the system's most important benefits would be eliminating the need for EUN masks, and eliminating the requirement for optical elements meeting Angstrom-level figure tolerances over large apertures.
  • the design presented herein may not be directly applicable in its specifics to practical applications since it is based on particular assumptions about the illumination source characteristics, manufacturing and material constraints, design objectives and optimization criteria, etc., which may not accurately represent practical design conditions.
  • the specification provides, by way of example, a general design concept and methodology that can be applied to a diversity of micro-printing applications ranging, for example, from high-speed, digital document printers to x-ray lithography systems employing synchrotron radiation.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ophthalmology & Optometry (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Radiology & Medical Imaging (AREA)
  • Surgery (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
PCT/US2000/000619 1999-01-11 2000-01-10 Maskless, microlens euv lithography system WO2000042618A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU27240/00A AU2724000A (en) 1999-01-11 2000-01-10 Maskless, microlens euv lithography system

Applications Claiming Priority (32)

Application Number Priority Date Filing Date Title
US11545199P 1999-01-11 1999-01-11
US60/115,451 1999-01-11
US11607499P 1999-01-15 1999-01-15
US60/116,074 1999-01-15
US11940399P 1999-02-01 1999-02-01
US60/119,403 1999-02-01
US11965599P 1999-02-11 1999-02-11
US60/119,655 1999-02-11
US12352799P 1999-03-08 1999-03-08
US60/123,527 1999-03-08
US12414099P 1999-03-12 1999-03-12
US60/124,140 1999-03-12
US12442299P 1999-03-15 1999-03-15
US60/124,422 1999-03-15
US12548799P 1999-03-22 1999-03-22
US60/125,487 1999-03-22
US13345099P 1999-05-11 1999-05-11
US60/133,450 1999-05-11
US13563699P 1999-05-24 1999-05-24
US60/135,636 1999-05-24
US13692599P 1999-06-01 1999-06-01
US60/136,925 1999-06-01
US13730999P 1999-06-03 1999-06-03
US60/137,309 1999-06-03
US13900299P 1999-06-14 1999-06-14
US60/139,002 1999-06-14
US14347099P 1999-07-12 1999-07-12
US60/143,470 1999-07-12
US15146199P 1999-08-30 1999-08-30
US60/151,461 1999-08-30
US16268499P 1999-11-01 1999-11-01
US60/162,684 1999-11-01

Publications (1)

Publication Number Publication Date
WO2000042618A1 true WO2000042618A1 (en) 2000-07-20

Family

ID=27585808

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/000619 WO2000042618A1 (en) 1999-01-11 2000-01-10 Maskless, microlens euv lithography system

Country Status (3)

Country Link
US (1) US6498685B1 (US06498685-20021224-M00008.png)
AU (1) AU2724000A (US06498685-20021224-M00008.png)
WO (1) WO2000042618A1 (US06498685-20021224-M00008.png)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
US6624880B2 (en) 2001-01-18 2003-09-23 Micronic Laser Systems Ab Method and apparatus for microlithography
WO2004027488A1 (en) * 2002-09-18 2004-04-01 Dmetrix, Inc. Multi-axis imaging system having individually-adjustable elements
WO2004034124A1 (de) * 2002-10-02 2004-04-22 Leica Microsystems Wetzlar Gmbh Mikroskop mit korrektur und verfahren zur korrektur der durch temperaturänderung hervorgerufenen xyz-drift
US6747783B1 (en) 1998-03-02 2004-06-08 Micronic Laser Systems Ab Pattern generator
US6897941B2 (en) 2001-11-07 2005-05-24 Applied Materials, Inc. Optical spot grid array printer
EP1503386A3 (de) * 2003-07-26 2009-08-05 Bruker AXS GmbH Gekapselter Röntgenspiegel
US7576834B2 (en) 2003-05-30 2009-08-18 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7589819B2 (en) 2003-05-16 2009-09-15 Asml Holding N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
CN101907781A (zh) * 2010-07-13 2010-12-08 杭州电子科技大学 一种具有光束会聚功能的光学平板制作方法
CN104880253A (zh) * 2014-02-18 2015-09-02 哈尔滨工业大学 一种基于偏振分光器的高空间分辨率快照式成像方法
CN104898378A (zh) * 2015-05-27 2015-09-09 上海华力微电子有限公司 一种晶圆曝光顺序的优化方法

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
DE10053587A1 (de) * 2000-10-27 2002-05-02 Zeiss Carl Beleuchtungssystem mit variabler Einstellung der Ausleuchtung
US8994920B1 (en) 2010-05-07 2015-03-31 Kenneth C. Johnson Optical systems and methods for absorbance modulation
US9188874B1 (en) 2011-05-09 2015-11-17 Kenneth C. Johnson Spot-array imaging system for maskless lithography and parallel confocal microscopy
WO2015012982A1 (en) * 2013-07-22 2015-01-29 Johnson Kenneth C Scanned-spot-array duv lithography system
US9097983B2 (en) 2011-05-09 2015-08-04 Kenneth C. Johnson Scanned-spot-array EUV lithography system
US6440612B1 (en) 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
US6833908B2 (en) * 2001-03-23 2004-12-21 Ultratech, Inc. Computer architecture for and method of high-resolution imaging using a low-resolution image transducer
US6941035B2 (en) * 2001-04-26 2005-09-06 Creo Srl Optical cross-connect switch
US6763160B2 (en) * 2001-04-26 2004-07-13 Creo Srl Optical cross connect switch having improved alignment control system
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
EP1413870A4 (en) * 2001-07-05 2006-11-15 Nikon Corp OPTICAL ELEMENT FOR OPTICAL LITHOGRAPHY, AND RELATIVE EVALUATION METHOD
US6794100B2 (en) * 2001-08-30 2004-09-21 Micron Technology, Inc. Method for controlling radiation beam intensity directed to microlithographic substrates
US6784975B2 (en) * 2001-08-30 2004-08-31 Micron Technology, Inc. Method and apparatus for irradiating a microlithographic substrate
US20030173713A1 (en) * 2001-12-10 2003-09-18 Wen-Chiang Huang Maskless stereo lithography method and apparatus for freeform fabrication of 3-D objects
JP2003227914A (ja) * 2002-01-31 2003-08-15 Canon Inc Euv光用の波面分割素子及びそれを有する位相測定装置
US6735745B2 (en) * 2002-02-07 2004-05-11 Applied Materials, Inc. Method and system for detecting defects
US6778275B2 (en) * 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
AU2003211027A1 (en) 2002-03-27 2003-10-13 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
JP4274784B2 (ja) * 2002-05-28 2009-06-10 新光電気工業株式会社 配線形成システムおよびその方法
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
US6661830B1 (en) * 2002-10-07 2003-12-09 Coherent, Inc. Tunable optically-pumped semiconductor laser including a polarizing resonator mirror
FR2849218B1 (fr) * 2002-12-20 2005-03-04 Mauna Kea Technologies Tete optique confocale, notamment miniature, a balayage integre et systeme d'imagerie confocale mettant en oeuvre ladite tete
US7268891B2 (en) * 2003-01-15 2007-09-11 Asml Holding N.V. Transmission shear grating in checkerboard configuration for EUV wavefront sensor
US6867846B2 (en) * 2003-01-15 2005-03-15 Asml Holding Nv Tailored reflecting diffractor for EUV lithographic system aberration measurement
US7417782B2 (en) 2005-02-23 2008-08-26 Pixtronix, Incorporated Methods and apparatus for spatial light modulation
DE10314849B3 (de) * 2003-03-28 2004-12-30 Xtreme Technologies Gmbh Anordnung zur Stabilisierung der Strahlungsemission eines Plasmas
US7739064B1 (en) * 2003-05-09 2010-06-15 Kla-Tencor Corporation Inline clustered defect reduction
JP4338442B2 (ja) * 2003-05-23 2009-10-07 富士フイルム株式会社 透過型光変調素子の製造方法
US20040239901A1 (en) * 2003-05-29 2004-12-02 Asml Holding N.V. System and method for producing gray scaling using multiple spatial light modulators in a maskless lithography system
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
US7295315B2 (en) * 2003-06-30 2007-11-13 Kenneth C. Johnson Focus and alignment sensors and methods for use with scanning microlens-array printer
US8619352B2 (en) * 2003-07-29 2013-12-31 Silicon Quest Kabushiki-Kaisha Projection display system using laser light source
JP2007503723A (ja) * 2003-08-22 2007-02-22 プレックス・エルエルシー 光学アドレス式極紫外線モジュレータ及びこのモジュレータを含むリソグラフィー装置
FR2860304B1 (fr) * 2003-09-26 2005-11-25 Sagem Systeme optique a haute ouverture numerique
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US6894765B2 (en) * 2003-10-14 2005-05-17 Micron Technology, Inc. Methods and systems for controlling radiation beam characteristics for microlithographic processing
SG112034A1 (en) * 2003-11-06 2005-06-29 Asml Netherlands Bv Optical element, lithographic apparatus comprising such optical element and device manufacturing method
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
GB0403865D0 (en) * 2004-02-20 2004-03-24 Powerlase Ltd Laser multiplexing
JP2007522671A (ja) * 2004-02-25 2007-08-09 マイクロニック レーザー システムズ アクチボラゲット 光マスクレスリソグラフィにおいてパターンを露光し、マスクをエミュレートする方法
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7227618B1 (en) 2004-03-24 2007-06-05 Baokang Bi Pattern generating systems
TW200602814A (en) 2004-03-29 2006-01-16 Fuji Photo Film Co Ltd Exposure device
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
US20050259269A1 (en) 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US7463367B2 (en) * 2004-07-13 2008-12-09 Micron Technology, Inc. Estimating overlay error and optical aberrations
US7846649B2 (en) * 2004-09-13 2010-12-07 Applied Materials Israel, Ltd. High resolution printer and a method for high resolution printing
WO2006050891A2 (en) * 2004-11-09 2006-05-18 Carl Zeiss Smt Ag A high-precision optical surface prepared by sagging from a masterpiece
WO2006076151A2 (en) 2004-12-21 2006-07-20 Carnegie Mellon University Lithography and associated methods, devices, and systems
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
EP1856654A2 (en) * 2005-01-28 2007-11-21 ASML Holding N.V. Method and system for a maskless lithography rasterization tecnique based on global optimization
US7742016B2 (en) 2005-02-23 2010-06-22 Pixtronix, Incorporated Display methods and apparatus
US8519945B2 (en) 2006-01-06 2013-08-27 Pixtronix, Inc. Circuits for controlling display apparatus
US9082353B2 (en) 2010-01-05 2015-07-14 Pixtronix, Inc. Circuits for controlling display apparatus
US20070205969A1 (en) 2005-02-23 2007-09-06 Pixtronix, Incorporated Direct-view MEMS display devices and methods for generating images thereon
US8482496B2 (en) 2006-01-06 2013-07-09 Pixtronix, Inc. Circuits for controlling MEMS display apparatus on a transparent substrate
US9261694B2 (en) 2005-02-23 2016-02-16 Pixtronix, Inc. Display apparatus and methods for manufacture thereof
US9229222B2 (en) 2005-02-23 2016-01-05 Pixtronix, Inc. Alignment methods in fluid-filled MEMS displays
US7746529B2 (en) 2005-02-23 2010-06-29 Pixtronix, Inc. MEMS display apparatus
US8310442B2 (en) 2005-02-23 2012-11-13 Pixtronix, Inc. Circuits for controlling display apparatus
US9158106B2 (en) 2005-02-23 2015-10-13 Pixtronix, Inc. Display methods and apparatus
US7755582B2 (en) 2005-02-23 2010-07-13 Pixtronix, Incorporated Display methods and apparatus
US8159428B2 (en) 2005-02-23 2012-04-17 Pixtronix, Inc. Display methods and apparatus
CN101128765B (zh) * 2005-02-23 2010-12-01 皮克斯特罗尼克斯公司 显示方法和装置
US7999994B2 (en) 2005-02-23 2011-08-16 Pixtronix, Inc. Display apparatus and methods for manufacture thereof
US7675665B2 (en) 2005-02-23 2010-03-09 Pixtronix, Incorporated Methods and apparatus for actuating displays
US7440140B2 (en) * 2005-04-29 2008-10-21 Hewlett-Packard Development Company, L.P. Sequential color error diffusion with forward and backward exchange of information between color planes
US7446855B2 (en) * 2005-07-25 2008-11-04 Micron Technology, Inc Methods and apparatuses for configuring radiation in microlithographic processing of workpieces using an adjustment structure
JP2007114188A (ja) * 2005-10-17 2007-05-10 Oce Technol Bv プリンタにおけるプリントヘッド整列具合検出方法
US8526096B2 (en) 2006-02-23 2013-09-03 Pixtronix, Inc. Mechanical light modulators with stressed beams
TWI432908B (zh) 2006-03-10 2014-04-01 Mapper Lithography Ip Bv 微影系統及投射方法
US20070229944A1 (en) * 2006-03-31 2007-10-04 Lee Sang H Reducing extreme ultraviolet flare in lithographic projection optics
US7876489B2 (en) 2006-06-05 2011-01-25 Pixtronix, Inc. Display apparatus with optical cavities
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US7705332B2 (en) * 2006-08-19 2010-04-27 Colorado State University Research Foundation Nanometer-scale lithography using extreme ultraviolet/soft x-ray laser interferometry
JP4789260B2 (ja) * 2006-08-23 2011-10-12 エスアイアイ・ナノテクノロジー株式会社 荷電粒子ビーム装置及びアパーチャの軸調整方法
KR20080020271A (ko) * 2006-08-31 2008-03-05 주식회사 엘지화학 모아레 무늬 형성방지를 위한 콘트라스트 향상용 필름,이를 포함하는 pdp 필터 및 디스플레이 장치
EP2080045A1 (en) 2006-10-20 2009-07-22 Pixtronix Inc. Light guides and backlight systems incorporating light redirectors at varying densities
US7504283B2 (en) * 2006-12-18 2009-03-17 Texas Instruments Incorporated Stacked-flip-assembled semiconductor chips embedded in thin hybrid substrate
US9176318B2 (en) 2007-05-18 2015-11-03 Pixtronix, Inc. Methods for manufacturing fluid-filled MEMS displays
US7852546B2 (en) 2007-10-19 2010-12-14 Pixtronix, Inc. Spacers for maintaining display apparatus alignment
WO2008091898A1 (en) * 2007-01-23 2008-07-31 Imra America, Inc. Ultrashort laser micro-texture printing
US8018578B2 (en) * 2007-04-19 2011-09-13 Asml Netherlands B.V. Pellicle, lithographic apparatus and device manufacturing method
US8363209B2 (en) * 2007-07-10 2013-01-29 Lg Electronics Inc. Method and apparatus to adjust misalignment of the maskless exposure apparatus
US7838178B2 (en) * 2007-08-13 2010-11-23 Micron Technology, Inc. Masks for microlithography and methods of making and using such masks
WO2009032339A1 (en) * 2007-09-06 2009-03-12 Olympus Corporation Projection display system using laser light source
US8372578B2 (en) * 2007-10-09 2013-02-12 The United States Of America, As Represented By The Secretary Of The Navy Gray-tone lithography using optical diffusers
US8248560B2 (en) 2008-04-18 2012-08-21 Pixtronix, Inc. Light guides and backlight systems incorporating prismatic structures and light redirectors
US8169679B2 (en) 2008-10-27 2012-05-01 Pixtronix, Inc. MEMS anchors
NL2003449A (en) * 2008-10-28 2010-04-29 Asml Netherlands Bv Fly's eye integrator, illuminator, lithographic apparatus and method.
US8143602B2 (en) * 2009-03-25 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. High-volume manufacturing massive e-beam maskless lithography system
KR101535230B1 (ko) * 2009-06-03 2015-07-09 삼성전자주식회사 Euv 마스크용 공간 영상 측정 장치 및 방법
CN102834763B (zh) 2010-02-02 2015-07-22 皮克斯特罗尼克斯公司 用于制造填充冷密封流体的显示装置的方法
KR20120139854A (ko) 2010-02-02 2012-12-27 픽스트로닉스 인코포레이티드 디스플레이 장치를 제어하기 위한 회로
DE102010015884B4 (de) * 2010-03-09 2015-05-28 Kla-Tencor Mie Gmbh Verfahren zur reproduzierbaren Bestimmung der Position von Strukturen auf einer Maske mit Pellicle-Rahmen
FR2957686A1 (fr) * 2010-03-19 2011-09-23 Commissariat Energie Atomique Photorepeteur pour la lithographie en extreme ultra-violet
US9042414B2 (en) * 2010-06-24 2015-05-26 Spectral Sciences, Inc. External cavity laser source
US8601407B2 (en) 2011-08-25 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Geometric pattern data quality verification for maskless lithography
US8473877B2 (en) 2011-09-06 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Striping methodology for maskless lithography
DE102012000650A1 (de) * 2012-01-16 2013-07-18 Carl Zeiss Microscopy Gmbh Verfahren und vorrichtung zum abrastern einer oberfläche eines objekts mit einem teilchenstrahl
US9134552B2 (en) 2013-03-13 2015-09-15 Pixtronix, Inc. Display apparatus with narrow gap electrostatic actuators
US9280053B2 (en) 2013-09-04 2016-03-08 Cymer, Llc Apparatus for and method of temperature compensation in high power focusing system for EUV LPP source
US9087740B2 (en) 2013-12-09 2015-07-21 International Business Machines Corporation Fabrication of lithographic image fields using a proximity stitch metrology
CN103968333A (zh) * 2014-04-24 2014-08-06 京东方科技集团股份有限公司 聚光膜以及显示装置
US9761411B2 (en) * 2015-01-20 2017-09-12 Taiwain Semiconductor Manufacturing Company, Ltd. System and method for maskless direct write lithography
JP6748461B2 (ja) * 2016-03-22 2020-09-02 キヤノン株式会社 インプリント装置、インプリント装置の動作方法および物品製造方法
DE102016205893A1 (de) * 2016-04-08 2017-10-12 Carl Zeiss Smt Gmbh EUV-Kollektor zum Einsatz in einer EUV-Projektionsbelichtungsanlage
EP3521873A4 (en) * 2016-10-03 2020-04-22 Kuraray Co., Ltd. SCATTERING PLATE AND PROJECTION TYPE IMAGE DISPLAY DEVICE
US10935775B2 (en) * 2017-05-18 2021-03-02 Phoneoptika Ltd Method and apparatus that enhance the viewing experience of digital displays for long sighted users
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
TWI724642B (zh) * 2019-11-20 2021-04-11 墨子光電有限公司 微製像設備及其加工方法
US11494883B1 (en) * 2020-12-16 2022-11-08 Meta Platforms Technologies, Llc Image correction
CN116774535B (zh) * 2023-08-18 2023-11-14 上海图双精密装备有限公司 一种用于掩模对准光刻设备的照明系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4683334A (en) * 1985-04-30 1987-07-28 E. I. Du Pont De Nemours & Company Modified 8-ring zeolites as catalysts for conversion of methanol and ammonia to dimethylamine
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5619245A (en) * 1994-07-29 1997-04-08 Eastman Kodak Company Multi-beam optical system using lenslet arrays in laser multi-beam printers and recorders
US5625471A (en) * 1994-11-02 1997-04-29 Litel Instruments Dual plate holographic imaging technique and masks
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4327966A (en) 1980-02-25 1982-05-04 Bell Telephone Laboratories, Incorporated Variable attenuator for laser radiation
US4638334A (en) 1985-04-03 1987-01-20 Xerox Corporation Electro-optic line printer with super luminescent LED source
US5233459A (en) 1991-03-06 1993-08-03 Massachusetts Institute Of Technology Electric display device
JP3321194B2 (ja) 1992-02-10 2002-09-03 株式会社クラレ フォトマスク
US5517279A (en) 1993-08-30 1996-05-14 Hugle; William B. Lens array photolithography
WO1997005526A1 (en) 1995-07-31 1997-02-13 Lsi Logic Corporation Lithography systems employing programmable reticles
US5882468A (en) 1996-02-23 1999-03-16 International Business Machines Corporation Thickness control of semiconductor device layers in reactive ion etch processes
US6133986A (en) 1996-02-28 2000-10-17 Johnson; Kenneth C. Microlens scanner for microlithography and wide-field confocal microscopy
US5870176A (en) 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
EP0914626A4 (en) 1996-07-25 2002-02-20 Anvik Corp MASKLESS AND DISCONTINUOUS LITHOGRAPHIC SYSTEM INCLUDING A LIGHT SPACE MODULATOR
GB9619839D0 (en) 1996-09-23 1996-11-06 Hugle Lithography Inc Photolithography masking arrangements
US5900637A (en) 1997-05-30 1999-05-04 Massachusetts Institute Of Technology Maskless lithography using a multiplexed array of fresnel zone plates
US6291110B1 (en) 1997-06-27 2001-09-18 Pixelligent Technologies Llc Methods for transferring a two-dimensional programmable exposure pattern for photolithography
US6016185A (en) 1997-10-23 2000-01-18 Hugle Lithography Lens array photolithography
US5958605A (en) 1997-11-10 1999-09-28 Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4683334A (en) * 1985-04-30 1987-07-28 E. I. Du Pont De Nemours & Company Modified 8-ring zeolites as catalysts for conversion of methanol and ammonia to dimethylamine
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5619245A (en) * 1994-07-29 1997-04-08 Eastman Kodak Company Multi-beam optical system using lenslet arrays in laser multi-beam printers and recorders
US5625471A (en) * 1994-11-02 1997-04-29 Litel Instruments Dual plate holographic imaging technique and masks
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7710634B2 (en) 1998-03-02 2010-05-04 Micronic Laser Systems Ab Pattern generator
US7957055B2 (en) 1998-03-02 2011-06-07 Micronic Mydata AB Pattern generator
US7800815B2 (en) 1998-03-02 2010-09-21 Micronic Laser Systems Ab Pattern generator
US6747783B1 (en) 1998-03-02 2004-06-08 Micronic Laser Systems Ab Pattern generator
US6987599B2 (en) 1998-03-02 2006-01-17 Micronic Laser Systems Ab Pattern generator mirror configurations
US7009753B2 (en) 1998-03-02 2006-03-07 Micronic Laser Systems Ab Pattern generator
US7365901B2 (en) 1998-03-02 2008-04-29 Micronic Laser Systems Ab Pattern generator
US7787174B2 (en) 1998-03-02 2010-08-31 Micronic Laser Systems Ab Pattern generator
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
US6624880B2 (en) 2001-01-18 2003-09-23 Micronic Laser Systems Ab Method and apparatus for microlithography
US6897941B2 (en) 2001-11-07 2005-05-24 Applied Materials, Inc. Optical spot grid array printer
US6842290B2 (en) 2002-09-18 2005-01-11 Dmetrix, Inc. Multi-axis imaging system having individually-adjustable elements
WO2004027488A1 (en) * 2002-09-18 2004-04-01 Dmetrix, Inc. Multi-axis imaging system having individually-adjustable elements
WO2004034124A1 (de) * 2002-10-02 2004-04-22 Leica Microsystems Wetzlar Gmbh Mikroskop mit korrektur und verfahren zur korrektur der durch temperaturänderung hervorgerufenen xyz-drift
US7589819B2 (en) 2003-05-16 2009-09-15 Asml Holding N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
US7576834B2 (en) 2003-05-30 2009-08-18 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8395755B2 (en) 2003-05-30 2013-03-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8675175B2 (en) 2003-05-30 2014-03-18 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1503386A3 (de) * 2003-07-26 2009-08-05 Bruker AXS GmbH Gekapselter Röntgenspiegel
CN101907781A (zh) * 2010-07-13 2010-12-08 杭州电子科技大学 一种具有光束会聚功能的光学平板制作方法
CN101907781B (zh) * 2010-07-13 2012-04-18 杭州电子科技大学 一种具有光束会聚功能的光学平板制作方法
CN104880253A (zh) * 2014-02-18 2015-09-02 哈尔滨工业大学 一种基于偏振分光器的高空间分辨率快照式成像方法
CN104898378A (zh) * 2015-05-27 2015-09-09 上海华力微电子有限公司 一种晶圆曝光顺序的优化方法

Also Published As

Publication number Publication date
AU2724000A (en) 2000-08-01
US6498685B1 (en) 2002-12-24

Similar Documents

Publication Publication Date Title
US6498685B1 (en) Maskless, microlens EUV lithography system
US7227618B1 (en) Pattern generating systems
US6897941B2 (en) Optical spot grid array printer
US6177980B1 (en) High-throughput, maskless lithography system
JP5611443B2 (ja) マイクロリソグラフィ投影露光装置の照明系
TWI544282B (zh) 微影投射曝光裝置的照射系統
US9804499B2 (en) Illumination system of a microlithographic projection exposure apparatus
US9030668B2 (en) Method for spatially multiplexing two or more fringe projection signals on a single detector
US7116405B2 (en) Maskless, microlens EUV lithography system with grazing-incidence illumination optics
JP2001500628A (ja) マイクロリトグラフィ用マイクロレンズスキャナ及び広フィールド共焦顕微鏡
TWI497221B (zh) 微影投射曝光裝置
KR101964407B1 (ko) 공간 광변조기의 구동 방법, 노광용 패턴의 생성 방법, 노광 방법, 및 노광 장치
US10444631B2 (en) Method of operating a microlithographic projection apparatus and illumination system of such an apparatus
US9581910B2 (en) Method of lithographically transferring a pattern on a light sensitive surface and illumination system of a microlithographic projection exposure apparatus
JP2007324590A (ja) リソグラフィシステムにおけるピッチを有する干渉パターンを印刷するためのシステムおよび方法
CN104170054A (zh) 空间光调制器的驱动方法、曝光用图案的生成方法、以及曝光方法和装置
CN102341754A (zh) 照射系统、光刻设备以及形成照射模式的方法
US8994920B1 (en) Optical systems and methods for absorbance modulation
JP4499582B2 (ja) リソグラフィ装置及びデバイス製造方法
JP6114952B2 (ja) リソグラフィによって感光性表面にパターンを転写する方法およびマイクロリソグラフィ投影露光装置の照明システム
JP2018531412A5 (US06498685-20021224-M00008.png)
US20060186355A1 (en) Phase-shift masked zone plate array lithography
KR101591155B1 (ko) 마이크로리소그래픽 투영 노광 장치의 조명 시스템
WO2013185822A1 (en) Maskless lithographic apparatus and method for generating an exposure pattern

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase