US8994123B2 - Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET) - Google Patents

Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET) Download PDF

Info

Publication number
US8994123B2
US8994123B2 US13/424,727 US201213424727A US8994123B2 US 8994123 B2 US8994123 B2 US 8994123B2 US 201213424727 A US201213424727 A US 201213424727A US 8994123 B2 US8994123 B2 US 8994123B2
Authority
US
United States
Prior art keywords
epitaxial layer
mosfet
layer
doping
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US13/424,727
Other languages
English (en)
Other versions
US20130049140A1 (en
Inventor
Asen Asenov
Gareth Roy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiwise Ltd
Original Assignee
Gold Standard Simulations Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Gold Standard Simulations Ltd filed Critical Gold Standard Simulations Ltd
Priority to US13/424,727 priority Critical patent/US8994123B2/en
Assigned to GOLD STANDARD SIMULATIONS LTD. reassignment GOLD STANDARD SIMULATIONS LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ASENOV, Asen, ROY, Gareth
Priority to CN201280051491.1A priority patent/CN104081529A/zh
Priority to PCT/IB2012/001068 priority patent/WO2013027092A1/en
Publication of US20130049140A1 publication Critical patent/US20130049140A1/en
Priority to US14/664,595 priority patent/US9312362B2/en
Application granted granted Critical
Publication of US8994123B2 publication Critical patent/US8994123B2/en
Assigned to SEMIWISE LIMITED reassignment SEMIWISE LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GOLD STANDARD SIMULATIONS LTD.
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • H01L29/365Planar doping, e.g. atomic-plane doping, delta-doping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Definitions

  • the present invention generally relates to the manufacturing of metal-oxide-semiconductor field effect transistors (MOSFETs), and more particularly to MOSFETs manufactured for reproducibility of threshold voltages among otherwise identical transistors.
  • MOSFETs metal-oxide-semiconductor field effect transistors
  • Random variation in threshold voltage ( ⁇ V T ) of metal-oxide semiconductor (MOS) field effect transistors (MOSFETs) with high-K (high dielectric constant) metal gate stack is caused by some dominant factors: (i) random dopant fluctuations (RDF) in the well and in the pocket implant regions underneath the gate, which, among other things, cause variations in depletion layer thickness; (ii) line edge roughness (LER) which causes random variation in the length of the gate electrode resulting from random variations in profile of the etched gate; and, (iii) metal gate granularity (MGG) which causes random variations in the local work function due to the grain structure of the gate material.
  • RDF random dopant fluctuations
  • LER line edge roughness
  • MMGG metal gate granularity
  • RXF random extension fluctuations
  • FIG. 4 A cross-section 400 of a standard bulk MOSFET, formed in a gate-last process, is shown in FIG. 4 .
  • drain and source areas 420 of an opposite conductivity type are formed on bulk 410 of one conductivity type.
  • a SiO 2 isolation layer 430 is formed over the entire MOSFET transistor, with openings for connections 470 to respective drain and source terminals.
  • the gate is formed by having spacers 440 formed above the SiO 2 layer.
  • the SiO 2 is removed and typically replaced by a high-K dielectric stack 450 on top of which a metal gate 460 is formed.
  • the spacers are formed by repeating the deposition-and-etch process.
  • This scheme has three key components: a) placing a thin, approximately 10 nanometer (nm), minimally doped epitaxial layer immediately beneath the gate oxide; b) placing a thinner layer with a very high concentration of either acceptors for an NMOS device or donors for a PMOS device at the boundary of the thin epitaxial layer that is remote from the gate dielectric interface; and, c) incorporating a moderately heavily doped well layer beneath the un-doped epitaxial layer and the highly doped, thinner layer. Similar structures have been demonstrated by Fujita et al. as described in their paper “Advanced Channel Engineering Achieving Aggressive Reduction of V T Variation for Ultra-Low-Power Applications”, Electron Devices Meeting (IEDM), 2011 IEEE International, pp.
  • FIG. 5 A cross-section 500 of such an epitaxial transistor is shown in FIG. 5 .
  • the epitaxial layer 510 is deposited on the whole silicon wafer after the channel doping implantation through a sacrificial gate oxide and before the formation of the sacrificial polysilicon gate and the source drain regions 525 .
  • Hokazono, A., et al. in “25-nm Gate Length nMOSFET With Steep Channel Profiles Utilizing Carbon-Doped Silicon Layers (A P-Type Dopant Confinement Layer)”, Electron Devices, IEEE Transactions on Electron Devices, vol. 58, no. 5, pp.
  • the delta doping layer in these simulations, is mostly depleted, and that layer provides the tool for adjusting the nominal value of the threshold voltage.
  • high temperature processing would substantially alter the doping profiles, compromising the expected benefits. This problem persists even though it is well known that certain complementary dopants, like carbon, can retard motion during thermal treatments, at least in n-channel devices.
  • a sequence of steps is employed: a) deposition of a high-K gate dielectric, typically by atomic layer deposition; b) deposition of a metal gate having a controlled work function to set the threshold voltage; and, c) deposition of a robust gate material, typically doped amorphous silicon.
  • a sequence above is augmented by chemical-mechanical polishing steps to assure the localization of the various layers.
  • this structure does not overcome the deficiencies resulting from RDF, LER or RXF.
  • the morphology of the metal in the gate last process does reduce the variations identified as MGG, compared to a gate first process.
  • FIG. 1 is a schematic cross-section of a MOSFET manufactured in accordance with the principles of the invention.
  • FIG. 2A is a schematic cross-section showing a substrate with shallow trench isolation and a properly implanted core well according to an embodiment of the invention.
  • FIG. 2B is a schematic cross-section showing a poly gate and drain/source implants according to an embodiment of the invention.
  • FIG. 2C is a schematic cross-section showing poly gate spacers, drain/source extension regions and heavy drain/source implants according to an embodiment of the invention.
  • FIG. 2D is a schematic cross-section showing poly gate and drain/source silicidation according to an embodiment of the invention.
  • FIG. 2E is a schematic cross-section showing deposition of a first interlayer dielectric according to an embodiment of the invention.
  • FIG. 2F is a schematic cross-section showing exposed poly gate after chemical/mechanical polish (CMP) according to an embodiment of the invention.
  • FIG. 2G is a schematic cross-section showing a cavity formed by sacrificing the poly gate according to an embodiment of the invention.
  • FIG. 2H is a schematic cross-section showing a recess formed into the well from within the cavity according to an embodiment of the invention.
  • FIG. 2I is a schematic cross-section showing a first layer and a second layer formed within the recess according to an embodiment of the invention.
  • FIG. 2J is a schematic cross-section showing a high-K dielectric layer, a metal gate layer and an optional polysilicon cap formed within the cavity according to an embodiment of the invention.
  • FIG. 2K is a schematic cross-section showing a MOSFET transistor having a gate formed according to an embodiment of the invention.
  • FIG. 3A is a diagram showing a conventional doping profile for short channel transistors.
  • FIG. 3B is a diagram showing a doping profile realized in a channel-last process scheme according to an embodiment of the invention.
  • FIG. 3C is a diagram showing a delta doping profile for use in a channel-last process scheme according to an embodiment of the invention.
  • FIG. 3D is a diagram showing a multiplicity of delta doping profiles for use in a channel-last process scheme according to an embodiment of the invention.
  • FIG. 3E is a diagram showing a slab doping profile, which might be realized either by low temperature, doped epitaxy or by atomic layer deposition of a thick doped layer, for use in a channel-last process scheme according to an embodiment of the invention.
  • FIG. 4 is a schematic cross-section of a standard bulk MOSFET (prior art).
  • FIG. 5 is a schematic cross-section of an epitaxial channel MOSFET (prior art).
  • FIG. 6 is a schematic cross-section of a channel-last MOSFET according to an embodiment of the invention.
  • FIG. 7 is a schematic cross-section of a channel-last MOSFET further comprising a delta layer according to an embodiment of the invention.
  • MOSFETs Metal-oxide-semiconductor field effect transistors
  • MOSFETs are manufactured using a high-K, metal, ‘channel-last’ process. Between spacers formed over a well area having separate drain and source areas, a cavity and then a recess into the well area are formed. The active region is formed on top of an optional thin highly doped layer over which an un-doped or lightly doped epitaxial layer is formed.
  • the high doping beneath the low doped epitaxial layer can be achieved utilizing low-temperature epitaxial growth (not to exceed 750° C. but preferably not exceeding 650° C.) with single or multiple delta doping, or slab doping.
  • This epitaxial growth may be effected using conventional low-temperature epitaxy, molecular beam epitaxy or atomic layer deposition.
  • a high-K dielectric stack is formed over the upper or epitaxial layer over which a metal gate is formed within the cavity boundaries.
  • a cap of poly-silicon or amorphous silicon is added on top of the metal gate.
  • incorporation into the manufacturing process a step of a very lightly doped, low temperature (such as 750° C. or lower, preferably not exceeding 650° C.) epitaxial layer within a cavity formed for the purpose of creation of a channel of a MOSFET that results in a “channel-last” process, reduces the diffusion of dopants from the heavily doped region beneath the low-doped epitaxial layer (or from the well if such a heavily doped region is not used) into the low-doped epitaxial layer.
  • the low-doped epitaxial layer reduces the variations in the MOSFETs' threshold voltage arising from random doping fluctuations (RDF).
  • the epitaxial growth retains the strain introduced or memorized in the transistor structure in order to enhance device performance.
  • the etching through the opening of the sacrificial poly-silicon gate reduces the random channel length fluctuations that arise from variations in the lateral position of the edge of the drain and source extension implants, i.e., random extension fluctuations (RXF).
  • RXF random extension fluctuations
  • the addition of the optional layer of increased doping beneath the low doped epitaxial layer reduces the short channel effects and the threshold voltage fluctuations related to line edge roughness (LER). It also offers a method of adjusting the threshold voltage to a desired value.
  • LER line edge roughness
  • FIG. 1 depicts an exemplary and non-limiting schematic cross-section of a MOSFET 100 manufactured in accordance with the principles of the invention.
  • a well 120 is manufactured in a substrate 110 , for example a silicon wafer, the well made of silicon or silicon-germanium, and typically doped to 10 18 to 10 19 ions per cm 3 .
  • the well may be a P-well or an N-well depending on the desired transistor type, N-channel or P-channel respectively.
  • a silicon-oxide (SiO 2 ) or nitrided silicon dioxide layer 185 provides isolation in surface areas as required for proper operation of the MOSFET 100 .
  • source and drain areas that are a combination of a low-doping area 130 and a high-doping area 132 , each such source and drain area being separated from the other by a sacrificial polysilicon gate 195 , though with some diffusion to extend each region slightly under the sacrificial polysilicon gate 195 .
  • Each highly doped area 132 has a silicide area 135 , used to electrically connect to the source and drain of the formed MOSFET and to reduce the access resistance.
  • Spacers 150 formed in a conventional manner over the low-doped source or drain area 130 , are used to define the gate region of the MOSFET, in a process that resembles a conventional MOS or ‘gate-last’ process and as described herein below in greater detail. In certain embodiments, spacers may be formed in two steps, as is known to those skilled in the art. A shallow trench 115 separates adjacent transistors.
  • the active channel is comprised of an optional first highly doped layer 160 such as a highly doped epitaxial ⁇ -layer, and a channel epitaxial layer 170 that is either un-doped or lightly doped.
  • the undoped or lightly doped epitaxial layer has a doping density typically in the range of zero to 10 17 ions/cm 3 .
  • the first layer is typically doped in the range of 10 12 to 10 14 ions/cm 2 .
  • An area density is used in this case due to the fact that this first layer 160 is very thin, and at the extreme, a monolayer.
  • the thickness of the first layer 160 is typically between 0.3 nm and 15 nm while the epitaxial layer 170 has a thickness of 1 nm to 25 nm.
  • a high-K dielectric stack 180 is formed having a typical effective oxide thickness ranging between 0.5 nm and 3 nm.
  • a metal gate 190 is formed having a typical thickness of 40 to 200 nm.
  • a polysilicon cap 195 is added as a layer on top of the metal gate 190 .
  • a dielectric layer 140 is further used as part of this structure. It should be therefore understood that the invention covers, without limitation, both a full MOSFET structure 100 as described hereinabove as well as a channel region of a MOSFET that is comprised of the layers 160 (optional), 170 , 180 and 190 and optionally layer 195 .
  • FIGS. 2A through 2K demonstrate schematically the exemplary and non-limiting processing steps taken in order to achieve the ‘channel-last’ MOSFET with a channel comprising the two layers of different materials, one or both of which may be epitaxial layers. In the typical, but probably not the only case, these materials are both silicon, differing only in their doping densities.
  • FIG. 2A shows a cross-section 200 A where a substrate 110 is prepared by creating shallow trench isolation 115 and implanting an appropriate well 120 for a desired transistor.
  • a SiO 2 or a nitrided SiO 2 layer 185 is formed over the entire surface, or in other embodiments on portions thereof, for at least electrical isolation purposes.
  • Such a layer 185 could be of a typical thickness of 3.5 nm that may range between 2 nm and 8 nm, but not limited thereto.
  • FIG. 2B cross-section 200 B, the formation of a sacrificial poly gate 195 is shown, using appropriate manufacturing masks, for example by deposition and directional etching. Drain and source extension areas 130 are also formed by implantation through the SiO 2 layer 185 , as well as any other pocket implants (not shown) if and when necessary and/or applicable.
  • the cross-section 200 C shows the spacers 150 that are created by deposition of nitride or a combination of nitride and oxide. Then anisotropic etching with vertical or tapered impact takes place. This selectively erodes the deposited sidewall material so the regions paralleling the wafer surface are removed, but vertical or tapered sections remain.
  • the spacer is formed by repeating the deposition-and-etch process. After first deposition-and-etch step, the shallow drain/source extensions are formed by ion implantation, plasma immersion doping or a suitable process. Then, another deposition and etch step is carried out to space the drain/source from the channel.
  • the heavily doped source and drain areas 132 can be implanted, typically using the spacers 150 as masks.
  • additional silicon or silicon/germanium may be deposited to elevate the top of the source and drain regions above the original silicon surface and to insert compressive strain in the p-channel MOSFET.
  • Silicon/Germanium (Si:Ge) or Silicon/Carbon (Si:C) stressors in some cases with a ⁇ shape, can also be embedded by partial etching of the source and drain regions and epitaxial regrowth of the stressors.
  • the cross-section 200 D shows the clearing of certain areas of the SiO 2 layer 185 to expose for example the drain and source areas 132 .
  • FIG. 2E shows a cross-section 200 E where a first interlayer dielectric 140 is deposited where in FIG. 2F the cross-section 200 F shows the result after chemical/mechanical polishing (CMP) of the first interlayer dielectric 140 up to the silicidation layer 135 of the poly gate 195 .
  • CMP chemical/mechanical polishing
  • silicide layer 135 on the poly gate 195 may or may not be lost by this step.
  • all values and ranges provided herein are exemplary only, and should not be considered as limiting the scope of the invention.
  • the gates that are to be manufactured using a ‘channel-last’ process are formed. This is achieved by first coating the area with a protective photoresist 810 , and patterning the photoresist as shown in cross-section 200 G of FIG. 2G . After patterning, the photoresist protects other devices that are not to be etched. Next, a self-aligned etching process is selected for its selectivity for the sacrificial gate material and its propensity not to etch the spacers 150 and other oxides in any significant manner ( FIG. 2G ). Then, a cavity 820 is formed in the area confined between the spacers 150 and which is not protected by the protective photoresist layer 810 . Any remaining poly gate 195 is etched off as well as the SiO 2 layer 185 that is within the cavity 820 .
  • FIG. 2H shows a cross-section 200 H depicting a processing step where, while maintaining the protective photoresist layer 810 a recess 910 is formed from within the cavity 820 into the well 120 .
  • a self-aligned, selective etch process (preferably a directional etch) may be used to create a 5 to 25 nm recess into the silicon.
  • an optional delta epitaxial layer 160 is grown in the recess 910 over which a lightly doped or un-doped epitaxial layer 170 is grown.
  • the epitaxial layer 160 may be referred to as a buried epitaxial layer and the epitaxial layer 170 may be referred to as the channel epitaxial layer.
  • the buried epitaxial layer and the channel epitaxial layer will be of the same conductivity type as the well.
  • the channel epitaxial layer 170 may be formed of silicon, but in certain instances it may be advantageous to grow the low-doped layer using some combination of silicon and germanium, or an alternate semiconducting material that is compatible with the underlying substrate.
  • the thickness of the epitaxial layer 170 is controlled such that the top surface of layer 170 is preferably, but not exclusively, in line with the silicon surface under the layer 185 , although the surface of layer 170 may be slightly recessed with respect to the lower level of spacer 185 . As shown in FIG. 2I , the lower surface of layer 185 coincides with the upper level of layer 170 .
  • the doping density of the delta layer 160 is chosen in combination with the un-doped epitaxial layer 170 thickness, the high-K gate stack thickness and the metal gate work function subsequently created to define the final, desired threshold voltage. These operations may be performed using atomic layer deposition or low temperature epitaxy, molecular beam epitaxy, or other suitable process.
  • the variation in threshold voltage ⁇ VT, for a transistor manufactured at a 35 nm or finer process that would otherwise be in the range of 50-100 mV, is reduced to the range of 20-40 mV when the invention is implemented for the same dimension process.
  • a basically standard bulk MOS manufacturing process may be employed with the invention disclosed herein and without the need to resort to complex solutions suggested by prior art technologies.
  • recess 910 sacrifices any source extension ions or drain extension ions that may have diffused into the channel region.
  • the positions of those ions reflect variations that arise from scattering events during ion implantation and/or from high temperature activation processes (900° C. or higher).
  • the formation of recess 910 eliminates those variations.
  • the use of any one of several low-temperature processes to reconstitute the channel minimizes the movement of the source extension and drain extension ions, substantially eliminating their contribution to RXF.
  • the etching of the overlap portion of the extensions improves the electrostatic integrity, improves the on current and reduces the overlap capacitances.
  • low temperature, e.g., 300-750° C., epitaxy processes are used to form both layers 160 and 170 ( FIG. 2I ). While it is essential that the charge associated with the depletion of layer 160 is essentially planar, there are several alternative doping strategies and corresponding doping profiles that can support this requirement. These profiles are representatively shown in FIGS. 3B through 3E .
  • FIG. 3A shows a channel doping profile that is representative of normal transistor construction. In standard processes, there are multiple implants forming the wells and channel regions of the transistors. The FIG. 3A profile is representative of the middle of the channel, with the combined effect of well implants, threshold voltage implants and pocket implants. The total well depth is typically 200 nm to 400 nm, but the FIG. 3 all show the 75 nm lying closest to the gate. In conventional CMOS technologies this region is normally highly doped with a shallow “threshold voltage” implant and pocket implants.
  • FIG. 3B shows the well profile of a simple ‘channel last’ structure, in which the highly doped region nearest to the gate has been etched away and replaced with a very low-doped, perhaps undoped epitaxial layer.
  • layer 160 has an extremely high doping density, e.g., 10 19 to 10 21 ions/cm 3 , which makes the formation of layer 160 especially critical.
  • the first instance, illustrated by FIG. 3C shows a single “delta” doped layer, which approximates a monolayer of semiconductor with 10 12 to 10 13 doping ions/cm 2 , which is equivalent to 10 20 or 10 21 doping ions per cm 3 .
  • Such a layer might be formed by low temperature epitaxy with in situ doping or by atomic layer deposition.
  • FIG. 3C depicts a diagram of a delta doping profile as described by Asenov et al. in the paper “Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1- ⁇ m MOSFETs with Epitaxial and ⁇ -Doped Channels,” IEEE Transactions on Electron Devices, Vol. 46, No. 8, August 1999, Pages 1718-1724, however used according to the invention in the context of a channel-last process.
  • FIG. 3C depicts a diagram of a delta doping profile as described by Asenov et al. in the paper “Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1- ⁇ m MOSFETs with Epitaxial and ⁇ -Doped Channels,” IEEE Transactions on Electron Devices, Vol. 46, No. 8, August 1999, Pages 1718-1724, however used according to the invention in the context of a channel-last process.
  • FIG. 3D shows multiple “delta” doping layers, which might be required if the deposition process precludes full activation of enough doping ions in a single monolayer. In this case all the delta layers would be ionized, in order to set the proper threshold voltage.
  • FIG. 3E shows an alternative in which layer 160 is very highly doped, but more or less uniformly through its thickness, which might be several nanometers. Again, this layer might be formed by low temperature epitaxy or atomic layer deposition. Except for the simple channel-last example in FIG. 3B , all these cases require very high peak doping densities, in the order of 10 19 to 10 21 or more active doping ions per cm 3 in layer 160 .
  • FIGS. 3B through 3E differ in their sensitivity to substrate bias.
  • the least sensitive structure is the pure “delta” doping as shown in FIG. 3C
  • the most sensitive is the “slab” doping as illustrated in FIG. 3E .
  • the other cases are intermediate.
  • a high-K dielectric stack 180 is formed in the cavity 820 over the channel epitaxial layer 170 .
  • This stack may be expected to have an effective dielectric constant K in excess of 6.
  • the high-K dielectric stack 180 consists of a thin layer of SiO 2 , typically 1 nm or less, capped by a layer of high-K dielectric, usually incorporating an oxide or oxynitride of hafnium.
  • All layers after the highly doped layer 160 are formed using a low-temperature (not exceeding 900° C., preferably not exceeding 750° C., and more preferably, not exceeding 650° C.) deposition methods. If the present invention is used in an integrated circuit also containing transistors formed by other fabrication techniques, all layers after the highly doped layer 160 , if used, should be formed after all high temperature operations for the entire integrated circuit have been performed so that the such layers are not heated to over 900° C., and more preferably 750° C. or 650° C. Thereafter the desired gate metal is deposited forming layer 190 , where the gate metal is chosen primarily for its work function as well as for manufacturing considerations.
  • FIG. 2K shows cross-section 200 K that depicts the structure after the removal of the excess high-K dielectric layer 180 , metal layer 190 and poly layer 195 , using for example CMP.
  • processing may continue by, for example, adding a second dielectric layer (not shown) and thereafter continuing with additional processing steps including, but not limited to, forming metallization connectivity layers.
  • N and P type MOS transistors different types of metal gates are needed to incorporate work functions appropriate for the desired NMOS and PMOS threshold voltages.
  • FIG. 6 shows a schematic cross-section 600 of a channel-last MOSFET according to an embodiment of the invention. Accordingly, the channel region between the source and drain areas 420 is removed by selective etching between the spacers 440 forming a cavity penetrating into the well area 410 , and as further explained hereinabove. Selective epitaxial growth is carried out to create a low-doped channel region 610 . A high-K dielectric region 450 forms the gate insulator over which the gate material 460 is formed.
  • FIG. 7 shows a schematic cross-section 700 of a channel-last MOSFET according to another embodiment of the invention.
  • the channel region is removed by selective etching between the spaces created by the spacers 440 , as explained in further detail hereinabove.
  • a layer 710 that is highly doped, for example, an epitaxial ⁇ -layer as discussed hereinabove, is first formed in the channel region after which a selective epitaxial growth is carried out to create a low-doped channel region 610 before the channel is sealed with a high-K dielectric insulator layer 450 and the gate material 460 .
  • an embodiment of the “channel-last” process comprises of the building of the MOSFET's channel in a recess of a cavity etched into the well area between a drain area and a source area of the MOSFET.
  • the channel may comprise an un-doped or lightly doped epitaxial layer that is grown in the recess of the cavity.
  • an initial layer is formed in the recess of the cavity that is a single or multi-delta layer or a slab doped area.
  • the invention disclosed herein describes a general well which may be an N-well or a P-well, and hence suitable for a channel of a PMOS or NMOS transistor respectively.
  • the electrostatic characteristics of partially depleted SOI (silicon-on-insulator) transistors are sufficiently similar to bulk semiconductors that all the techniques described in this invention are equally applicable to partially depleted SOI devices.
  • a person of ordinary skill-in-the-art would readily understand that the invention can be adapted for use in a plurality of ways, including integrated circuits where all transistors or a portion thereof are manufactured using the techniques disclosed hereinabove.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
US13/424,727 2011-08-22 2012-03-20 Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET) Active 2032-04-18 US8994123B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/424,727 US8994123B2 (en) 2011-08-22 2012-03-20 Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
CN201280051491.1A CN104081529A (zh) 2011-08-22 2012-06-02 抗变化的金属氧化物半导体场效应晶体管
PCT/IB2012/001068 WO2013027092A1 (en) 2011-08-22 2012-06-02 Variation resistant metal-oxide-semiconductor field effect transistor (mosfet)
US14/664,595 US9312362B2 (en) 2011-08-22 2015-03-20 Manufacture of a variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161526033P 2011-08-22 2011-08-22
US201161568523P 2011-12-08 2011-12-08
US13/424,727 US8994123B2 (en) 2011-08-22 2012-03-20 Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/664,595 Division US9312362B2 (en) 2011-08-22 2015-03-20 Manufacture of a variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)

Publications (2)

Publication Number Publication Date
US20130049140A1 US20130049140A1 (en) 2013-02-28
US8994123B2 true US8994123B2 (en) 2015-03-31

Family

ID=47742438

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/424,727 Active 2032-04-18 US8994123B2 (en) 2011-08-22 2012-03-20 Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US14/664,595 Active US9312362B2 (en) 2011-08-22 2015-03-20 Manufacture of a variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/664,595 Active US9312362B2 (en) 2011-08-22 2015-03-20 Manufacture of a variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)

Country Status (3)

Country Link
US (2) US8994123B2 (zh)
CN (1) CN104081529A (zh)
WO (1) WO2013027092A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140377924A1 (en) * 2013-01-09 2014-12-25 International Business Machines Corporation Strained finfet with an electrically isolated channel
US20150295087A1 (en) * 2014-04-09 2015-10-15 International Business Machines Corporation Finfet having highly doped source and drain regions
US9646886B1 (en) 2015-12-30 2017-05-09 International Business Machines Corporation Tailored silicon layers for transistor multi-gate control
US20170148639A1 (en) * 2014-04-14 2017-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices And Methods For Manufacturing The Same

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2976401A1 (fr) * 2011-06-07 2012-12-14 St Microelectronics Crolles 2 Composant electronique comportant un ensemble de transistors mosfet et procede de fabrication
US8994123B2 (en) 2011-08-22 2015-03-31 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US9048260B2 (en) * 2011-12-31 2015-06-02 Intel Corporation Method of forming a semiconductor device with tall fins and using hard mask etch stops
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9171925B2 (en) * 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9373684B2 (en) 2012-03-20 2016-06-21 Semiwise Limited Method of manufacturing variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US9263568B2 (en) 2012-07-28 2016-02-16 Semiwise Limited Fluctuation resistant low access resistance fully depleted SOI transistor with improved channel thickness control and reduced access resistance
US9269804B2 (en) 2012-07-28 2016-02-23 Semiwise Limited Gate recessed FDSOI transistor with sandwich of active and etch control layers
WO2014020403A1 (en) * 2012-07-28 2014-02-06 Gold Standard Simulations Ltd. Improved fluctuation resistant fdsoi transistors with charged subchannel and reduced access resistance
US9190485B2 (en) 2012-07-28 2015-11-17 Gold Standard Simulations Ltd. Fluctuation resistant FDSOI transistor with implanted subchannel
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
FR3001084B1 (fr) * 2013-01-16 2016-04-15 Commissariat Energie Atomique Transistor a grille et a plan de masse couples
US9012276B2 (en) 2013-07-05 2015-04-21 Gold Standard Simulations Ltd. Variation resistant MOSFETs with superior epitaxial properties
US9847404B2 (en) 2013-07-06 2017-12-19 Semiwise Limited Fluctuation resistant FinFET
US20150021681A1 (en) 2013-07-16 2015-01-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
DE102014111781B4 (de) * 2013-08-19 2022-08-11 Korea Atomic Energy Research Institute Verfahren zur elektrochemischen Herstellung einer Silizium-Schicht
WO2015140806A1 (en) * 2014-03-20 2015-09-24 Skokie Swift Corporation Vertical field effect transistor having a disc shaped gate
US9627512B2 (en) * 2014-08-13 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with non-doped channel
US9755031B2 (en) * 2014-12-19 2017-09-05 Stmicroelectronics, Inc. Trench epitaxial growth for a FinFET device having reduced capacitance
CN105990143B (zh) * 2015-02-04 2019-12-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
US9502414B2 (en) 2015-02-26 2016-11-22 Qualcomm Incorporated Adjacent device isolation
WO2016209886A1 (en) 2015-06-22 2016-12-29 University Of South Carolina MOCVD SYSTEM INJECTOR FOR FAST GROWTH OF AlInGaBN MATERIAL
WO2016209892A1 (en) * 2015-06-22 2016-12-29 University Of South Carolina DOUBLE MESA LARGE AREA AlInGaBN LED DESIGN FOR DEEP UV AND OTHER APPLICATIONS
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
WO2018009163A1 (en) * 2016-07-02 2018-01-11 Intel Corporation Germanium transistor structure with underlap tip to reduce gate induced barrier lowering/short channel effect while minimizing impact on drive current
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram

Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5298452A (en) 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5314547A (en) 1992-09-28 1994-05-24 General Motors Corporation Rare earth slab doping of group III-V compounds
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
EP0936676A2 (en) 1997-12-30 1999-08-18 Texas Instruments Inc. MOS field effect transistors and its method of fabrication
US20010009292A1 (en) 1999-12-03 2001-07-26 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20020001930A1 (en) 2000-06-29 2002-01-03 Hynix Semiconductor Inc. Method for fabricating a semiconductor device using a damascene process
US20020029372A1 (en) 2000-06-28 2002-03-07 Lee Jong Wook Method for fabricating a full depletion type SOI device
US20020037619A1 (en) 2000-09-22 2002-03-28 Kohei Sugihara Semiconductor device and method of producing the same
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
US6630710B1 (en) 1998-09-29 2003-10-07 Newport Fab, Llc Elevated channel MOSFET
US20030211681A1 (en) 2002-02-26 2003-11-13 International Business Machines Corporation Fully-depleted SOI MOSFETs with low source and drain resistance and minimal overlap capacitance using a recessed channel damascene gate process
US6667200B2 (en) * 2002-05-20 2003-12-23 Hynix Semiconductor Inc. Method for forming transistor of semiconductor device
US20040201063A1 (en) 2003-04-10 2004-10-14 Koichi Fukuda Semiconductor device and method of fabricating same
US20040206980A1 (en) 2003-04-16 2004-10-21 Cheong Woo Seok Schottky barrier transistor and method of manufacturing the same
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US20060022270A1 (en) 2004-07-30 2006-02-02 International Business Machines Corporation Ultra-thin body super-steep retrograde well (ssrw) fet devices
US20060046399A1 (en) 2004-08-25 2006-03-02 Nick Lindert Forming abrupt source drain metal gate transistors
US7023068B1 (en) 2003-11-17 2006-04-04 National Semiconductor Corporation Method of etching a lateral trench under a drain junction of a MOS transistor
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US20080001237A1 (en) 2006-06-29 2008-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
US20080017887A1 (en) 2006-07-18 2008-01-24 Mitsubishi Electric Corporation Thin film transistor array substrate, method of manufacturing the same, and display device
US7459752B2 (en) * 2004-06-30 2008-12-02 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
WO2009053327A1 (en) 2007-10-26 2009-04-30 International Business Machines Corporation Method for fabricating super-steep retrograde well mosfet on soi or bulk silicon substrate, and device fabricated in accordance with the method
US7589347B2 (en) 2004-08-09 2009-09-15 Qinetiq Limited Method for fabricating lateral semiconductor device
US20090321849A1 (en) * 2006-05-23 2009-12-31 Nec Corporation Semiconductor device, integrated circuit, and semiconductor manufacturing method
US20090321820A1 (en) 2008-06-30 2009-12-31 Sony Corporation Semiconductor device and method for production of semiconductor device
US20100237433A1 (en) 2008-09-24 2010-09-23 Skyworks Solutions, Inc. Bipolar/Dual FET Structure Having FETs With Isolated Channels
US7906413B2 (en) 1997-06-30 2011-03-15 International Business Machines Corporation Abrupt “delta-like” doping in Si and SiGe films by UHV-CVD
US20110073961A1 (en) 2009-09-28 2011-03-31 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US20110074498A1 (en) 2009-09-30 2011-03-31 Suvolta, Inc. Electronic Devices and Systems, and Methods for Making and Using the Same
US20110212583A1 (en) * 2009-09-04 2011-09-01 Neudeck Philip G Method For Providing Semiconductors Having Self-Aligned Ion Implant
US20110260220A1 (en) 2007-04-13 2011-10-27 Min-Hwa Chi Semiconductor device and fabrication thereof
US20130001706A1 (en) 2011-06-28 2013-01-03 International Business Machines Corporation Method and Structure for Low Resistive Source and Drain Regions in a Replacement Metal Gate Process Flow
WO2013027092A1 (en) 2011-08-22 2013-02-28 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (mosfet)
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5073512A (en) * 1989-04-21 1991-12-17 Nec Corporation Method of manufacturing insulated gate field effect transistor having a high impurity density region beneath the channel region
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures

Patent Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5298452A (en) 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5314547A (en) 1992-09-28 1994-05-24 General Motors Corporation Rare earth slab doping of group III-V compounds
US7906413B2 (en) 1997-06-30 2011-03-15 International Business Machines Corporation Abrupt “delta-like” doping in Si and SiGe films by UHV-CVD
EP0936676A2 (en) 1997-12-30 1999-08-18 Texas Instruments Inc. MOS field effect transistors and its method of fabrication
US6180978B1 (en) 1997-12-30 2001-01-30 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETs for sub-0.1 micron gate length and ultra-shallow junctions
US6630710B1 (en) 1998-09-29 2003-10-07 Newport Fab, Llc Elevated channel MOSFET
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US20010009292A1 (en) 1999-12-03 2001-07-26 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20020029372A1 (en) 2000-06-28 2002-03-07 Lee Jong Wook Method for fabricating a full depletion type SOI device
US20020001930A1 (en) 2000-06-29 2002-01-03 Hynix Semiconductor Inc. Method for fabricating a semiconductor device using a damascene process
US6627488B2 (en) 2000-06-29 2003-09-30 Hynix Semiconductor Inc. Method for fabricating a semiconductor device using a damascene process
US6566734B2 (en) 2000-09-22 2003-05-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US20020037619A1 (en) 2000-09-22 2002-03-28 Kohei Sugihara Semiconductor device and method of producing the same
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
US20030211681A1 (en) 2002-02-26 2003-11-13 International Business Machines Corporation Fully-depleted SOI MOSFETs with low source and drain resistance and minimal overlap capacitance using a recessed channel damascene gate process
US6667200B2 (en) * 2002-05-20 2003-12-23 Hynix Semiconductor Inc. Method for forming transistor of semiconductor device
US20040201063A1 (en) 2003-04-10 2004-10-14 Koichi Fukuda Semiconductor device and method of fabricating same
US20040206980A1 (en) 2003-04-16 2004-10-21 Cheong Woo Seok Schottky barrier transistor and method of manufacturing the same
US7023068B1 (en) 2003-11-17 2006-04-04 National Semiconductor Corporation Method of etching a lateral trench under a drain junction of a MOS transistor
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7459752B2 (en) * 2004-06-30 2008-12-02 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US20060022270A1 (en) 2004-07-30 2006-02-02 International Business Machines Corporation Ultra-thin body super-steep retrograde well (ssrw) fet devices
US7589347B2 (en) 2004-08-09 2009-09-15 Qinetiq Limited Method for fabricating lateral semiconductor device
US20060046399A1 (en) 2004-08-25 2006-03-02 Nick Lindert Forming abrupt source drain metal gate transistors
US20090321849A1 (en) * 2006-05-23 2009-12-31 Nec Corporation Semiconductor device, integrated circuit, and semiconductor manufacturing method
US20080001237A1 (en) 2006-06-29 2008-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
US20080017887A1 (en) 2006-07-18 2008-01-24 Mitsubishi Electric Corporation Thin film transistor array substrate, method of manufacturing the same, and display device
US20110260220A1 (en) 2007-04-13 2011-10-27 Min-Hwa Chi Semiconductor device and fabrication thereof
WO2009053327A1 (en) 2007-10-26 2009-04-30 International Business Machines Corporation Method for fabricating super-steep retrograde well mosfet on soi or bulk silicon substrate, and device fabricated in accordance with the method
US20090321820A1 (en) 2008-06-30 2009-12-31 Sony Corporation Semiconductor device and method for production of semiconductor device
US20100237433A1 (en) 2008-09-24 2010-09-23 Skyworks Solutions, Inc. Bipolar/Dual FET Structure Having FETs With Isolated Channels
US20110212583A1 (en) * 2009-09-04 2011-09-01 Neudeck Philip G Method For Providing Semiconductors Having Self-Aligned Ion Implant
US20110073961A1 (en) 2009-09-28 2011-03-31 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US20110074498A1 (en) 2009-09-30 2011-03-31 Suvolta, Inc. Electronic Devices and Systems, and Methods for Making and Using the Same
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US20130001706A1 (en) 2011-06-28 2013-01-03 International Business Machines Corporation Method and Structure for Low Resistive Source and Drain Regions in a Replacement Metal Gate Process Flow
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013027092A1 (en) 2011-08-22 2013-02-28 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (mosfet)

Non-Patent Citations (38)

* Cited by examiner, † Cited by third party
Title
"International Search Report and Written Opinion of the International Searching Authority Dated Nov. 13, 2013, International Application No. PCT/IB2013/001637", (Nov. 13, 2013).
"International Search Report and Written Opinion of the International Searching Authority Dated Nov. 9, 2012, International Application No. PCT/IB2012/001068".
"International Search Report and Written Opinion of the International Searching Authority Dated Nov. 9, 2012, International Application No. PCT/IB2012/001069".
"Notice of Allowance Dated Dec. 19, 2014; U.S. Appl. No. 14/323,177", (Dec. 19, 2014).
"Office Action Dated Aug. 13, 2013; U.S. Appl. No. 13/424,745".
"Office Action Dated Jul. 7, 2014; U.S. Appl. No. 13/424,745".
"Office Action Dated Jul. 7, 2014; U.S. Appl. No. 13/950,834".
"Office Action Dated Jul. 8, 2014; U.S. Appl. No. 13/950,810".
"Office Action Dated Jul. 8, 2014; U.S. Appl. No. 13/950,868".
"Office Action Dated Oct. 24, 2013; U.S. Appl. No. 13/424,745".
"Office Action Dated Oct. 24, 2014; U.S. Appl. No. 13/950,868".
"Office Action Dated Oct. 27, 2014; U.S. Appl. No. 13/950,834".
"Office Action Dated Oct. 3, 2014; U.S. Appl. No. 13/950,810".
Asenov, Asen , "Random Dopant Induced Threshold Voltage Lowering and Fluctuations in Sub-0.1 mum MOSFETs: A 3-D "Atomistic" Simulation Study", IEEE Transactions on Electron Devices, vol. 45, No. 12, (Dec. 1998), pp. 2505-2513.
Asenov, Asen , "Random Dopant Induced Threshold Voltage Lowering and Fluctuations in Sub-0.1 μm MOSFETs: A 3-D "Atomistic" Simulation Study", IEEE Transactions on Electron Devices, vol. 45, No. 12, (Dec. 1998), pp. 2505-2513.
Asenov, Asen , "Random Dopant Threshold Voltage Fluctuations in 50nm Epitaxial Channel MOSFETs: A 3D ‘Atomistic’ Simulation Study", ESSDERC '98: 28th Conference on European Solid-State Devices, Bordeax, France, (Sep. 8-10, 1998), pp. 300-303.
Asenov, Asen , "Random Dopant Threshold Voltage Fluctuations in 50nm Epitaxial Channel MOSFETs: A 3D 'Atomistic' Simulation Study", ESSDERC '98: 28th Conference on European Solid-State Devices, Bordeax, France, (Sep. 8-10, 1998), pp. 300-303.
Asenov, Asen , et al., "Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1-mum MOSFET's with Epitaxial and delta-Doped Channels", IEEE Transactions on Electron Devices, vol. 46, No. 8, (Aug. 1999), pp. 1718-1724.
Asenov, Asen , et al., "Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1-μm MOSFET's with Epitaxial and δ-Doped Channels", IEEE Transactions on Electron Devices, vol. 46, No. 8, (Aug. 1999), pp. 1718-1724.
Bruel, M. , "Silicon on insulator material technology", Electronics Letters, vol. 31, No. 14, (Jul. 6, 1995), pp. 1201-1202.
Colinge, Jean-Pierre , "Hot-Electron Effects in Silicon-On-Insulator n-Channel MOSFET's", IEEE Transactions on Electron Devices, vol. ED-34, No. 10, (Oct. 1987), pp. 2173-2177.
Courtland, Rachel , "Start-up Seeks New Life for Planar Transistors, SuVolta is pursuing precision doping in its bid to compete with 3-D transistor technology", ieee spectrum tech alert, (Dec. 8, 2011), 3 pp. total.
Frank, Martin M., "High-k / Metal Gate Innovations Enabling Continued CMOS Scaling", 2011 Proceedings of the ESSCIRC, (Sep. 12-16, 2011), pp. 50-58.
Fu, Y., et al., "Subband structure and ionized impurity scattering of the two dimensional electron gas in deltadoped field effect transistor", Journal of Applied Physics, vol. 78, No. 5, (Sep. 1, 1995), pp. 3504-3510.
Fu, Y., et al., "Subband structure and ionized impurity scattering of the two dimensional electron gas in δdoped field effect transistor", Journal of Applied Physics, vol. 78, No. 5, (Sep. 1, 1995), pp. 3504-3510.
Fujita, K. , et al., "Advanced Channel Engineering Achieving Aggressive Reduction of VT Variation for Ultra-Low-Power Applications", Electron Devices Meeting (IEDM), 2011 IEEE International, (Dec. 2011), pp. 32.3.1-32.3.4.
Hokazono, Akira , et al., "25-nm Gate Length nMOSFET With Steep Channel Profiles Utilizing Carbon-Doped Silicon Layers (A P-Type Dopant Confinement Layer)", IEEE Transactions on Electron Devices, vol. 58, No. 5, (May 2011), pp. 1302-1310.
Kuhn, Kelin J., et al., "Process Technology Variation", IEEE Transactions on Election Devices, vol. 58, No. 8, (Aug. 2011), pp. 2197-2208.
Maleville, Christophe , "Extending planar device roadmap beyond node 20nm through ultra thin body technology", 2011 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), (Apr. 25-27, 2011), pp. 1-4.
Markov, Stanislov , et al., "Statistical Variability in Fully Depleted SOI MOSFETs Due to Random Dopant Fluctuations in the Source and Drain Extensions", IEEE Electron Device Letters, vol. 33, No. 3, (Mar. 2012), pp. 315-317.
Meyer, J. E., et al., "High Performance, Low Power CMOS Memories Using Silicon-On-Sapphire Technology", 1971 International Electron Devices Meeting, (1971), p. 44.
Noda, Kenji, et al., "A 0.1-mum Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy", IEEE Transactions on Electron Devices, vol. 45, No. 4, (Apr. 1998), pp. 809-813.
Noda, Kenji, et al., "A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy", IEEE Transactions on Electron Devices, vol. 45, No. 4, (Apr. 1998), pp. 809-813.
Pawlak, B. J., et al., "Effect of amorphization and carbon co-doping on activation and diffusion of boron in silicon", Applied Physics Letters, 89, 062110, (2006), pp. 062110-1 to 062110-3.
Pawlak, B. J., et al., "Suppression of phosphorus diffusion by carbon co-implantation", Applied Physics Letters, 89, 062102, (2006), pp. 062102-1 to 062102-3.
Roy, G. , et al., "Random dopant fluctuation resistant ‘bulk’ MOSFETs with epitaxial delta doped channels", Ultimate Integration in Silicon (ULIS) Conference, Glasgow, Scotland, (2007), 4 pp. total.
Roy, G. , et al., "Random dopant fluctuation resistant 'bulk' MOSFETs with epitaxial delta doped channels", Ultimate Integration in Silicon (ULIS) Conference, Glasgow, Scotland, (2007), 4 pp. total.
Takeuchi, Kiyoshi , et al., "Channel Engineering for the Reduction of Random-Dopant-Placement-Induced Threshold Voltage Fluctuation", 1997 International Electron Devices Meeting (IEDM), Technical Digest, (Dec. 7-10, 1997), pp. 841-844.

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140377924A1 (en) * 2013-01-09 2014-12-25 International Business Machines Corporation Strained finfet with an electrically isolated channel
US9190520B2 (en) * 2013-01-09 2015-11-17 Globalfoundries Inc. Strained finFET with an electrically isolated channel
US20150295087A1 (en) * 2014-04-09 2015-10-15 International Business Machines Corporation Finfet having highly doped source and drain regions
US20160035858A1 (en) * 2014-04-09 2016-02-04 International Business Machines Corporation Finfet having highly doped source and drain regions
US9450079B2 (en) * 2014-04-09 2016-09-20 International Business Machines Corporation FinFET having highly doped source and drain regions
US9735257B2 (en) 2014-04-09 2017-08-15 International Business Machines Corporation finFET having highly doped source and drain regions
US9935181B2 (en) * 2014-04-09 2018-04-03 International Business Machines Corporation FinFET having highly doped source and drain regions
US10002948B2 (en) 2014-04-09 2018-06-19 International Business Machines Corporation FinFET having highly doped source and drain regions
US20170148639A1 (en) * 2014-04-14 2017-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices And Methods For Manufacturing The Same
US10269577B2 (en) * 2014-04-14 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US10943790B2 (en) 2014-04-14 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US9646886B1 (en) 2015-12-30 2017-05-09 International Business Machines Corporation Tailored silicon layers for transistor multi-gate control

Also Published As

Publication number Publication date
US20130049140A1 (en) 2013-02-28
WO2013027092A1 (en) 2013-02-28
US9312362B2 (en) 2016-04-12
CN104081529A (zh) 2014-10-01
US20150194505A1 (en) 2015-07-09

Similar Documents

Publication Publication Date Title
US9312362B2 (en) Manufacture of a variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US11757002B2 (en) Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US9373684B2 (en) Method of manufacturing variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US11515418B2 (en) Vertical tunneling FinFET
US9318388B2 (en) Methods of forming substantially self-aligned isolation regions on FinFET semiconductor devices and the resulting devices
US11289482B2 (en) Field effect transistor contact with reduced contact resistance
CN101252146B (zh) 半导体结构及其制造方法
US9012276B2 (en) Variation resistant MOSFETs with superior epitaxial properties
US9391091B2 (en) MOSFET with work function adjusted metal backgate
US20170243870A1 (en) Semiconductor device with fin transistors and manufacturing method of such semiconductor device
US9142651B1 (en) Methods of forming a FinFET semiconductor device so as to reduce punch-through leakage currents and the resulting device
US9064890B1 (en) Methods of forming isolation material on FinFET semiconductor devices and the resulting devices
US20110266637A1 (en) Precise Resistor on a Semiconductor Device
US9269804B2 (en) Gate recessed FDSOI transistor with sandwich of active and etch control layers
US11094696B2 (en) Methods of forming a thyristor-based random access memory using fin structures and elevated layers
KR101559537B1 (ko) 높이가 감소된 금속 게이트 스택을 포함하는 반도체 디바이스를 제조하는 방법
US6376323B1 (en) Fabrication of gate of P-channel field effect transistor with added implantation before patterning of the gate

Legal Events

Date Code Title Description
AS Assignment

Owner name: GOLD STANDARD SIMULATIONS LTD., UNITED KINGDOM

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ASENOV, ASEN;ROY, GARETH;REEL/FRAME:028265/0360

Effective date: 20120511

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: SEMIWISE LIMITED, UNITED KINGDOM

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GOLD STANDARD SIMULATIONS LTD.;REEL/FRAME:037393/0096

Effective date: 20151221

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YR, SMALL ENTITY (ORIGINAL EVENT CODE: M2551); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YR, SMALL ENTITY (ORIGINAL EVENT CODE: M2552); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

Year of fee payment: 8