US4789560A - Diffusion stop method for forming silicon oxide during the fabrication of IC devices - Google Patents

Diffusion stop method for forming silicon oxide during the fabrication of IC devices Download PDF

Info

Publication number
US4789560A
US4789560A US06/817,233 US81723386A US4789560A US 4789560 A US4789560 A US 4789560A US 81723386 A US81723386 A US 81723386A US 4789560 A US4789560 A US 4789560A
Authority
US
United States
Prior art keywords
silicon
oxide
nitride
temperature
oxidation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US06/817,233
Other languages
English (en)
Inventor
Yung-Chau Yen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US06/817,233 priority Critical patent/US4789560A/en
Assigned to ADVANCED MICRO DEVICES, INC., A CORP. OF DE. reassignment ADVANCED MICRO DEVICES, INC., A CORP. OF DE. ASSIGNMENT OF ASSIGNORS INTEREST. Assignors: YEN, YUNG-CHAU
Priority to AT86310234T priority patent/ATE196215T1/de
Priority to DE3650747T priority patent/DE3650747T2/de
Priority to EP86310234A priority patent/EP0237684B1/fr
Priority to JP62000958A priority patent/JP2673183B2/ja
Application granted granted Critical
Publication of US4789560A publication Critical patent/US4789560A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors

Definitions

  • This invention relates to forming silicon oxide from poly-crystalline silicon during the fabrication of integrated circuits, and more particularly to the use of silicon nitride as a diffusion stop.
  • silicon material (either single crystal or poly-crystalline) is converted to silicon oxide insulation material by exposure to oxygen at elevated temperatures. Oxygen reacts with the surface silicon forming silicon oxide (oxide). The oxygen diffuses through this oxide to form more oxide. The silicon is progressively oxidized from the surface inward.
  • An oxide/silicon interface exists between the oxide and the silicon.
  • the interface defines the furthest extent of the oxygen diffusion and oxidation into the interior of the silicon, and therefore is generally oxygen "poor".
  • the limited oxygen condition results in partially oxidized silicon and imperfect oxidation bonds proximate the interface.
  • the interface advances into the silicon as the oxidation step proceeds in the conventional fabrication, and is present in the finished conventional device.
  • the incomplete oxidation results in "loose chemical bonds" which support leakage and breakdown paths during the operation of the device.
  • the conventional oxidation technique does not employ the present silicon nitride diffusion stop.
  • the extent of the silicon to oxide conversion is therefore time and temperature dependent. If the oxidation step is too short or the temperature too low, the resulting oxide layer is thinner than expected. If the oxidation step is too long or the temperature too high, the resulting oxide layer is thicker than expected.
  • the conventional oxidation of single crystal silicon material has additional problems which are overcome by the present nitride diffusion stop.
  • the dopant concentration within the substance portion of each wafer varies slightly from wafer to wafer.
  • the oxidation rate of the substrate silicon is dependent on this dopant concentration, resulting in a non-uniform oxide thickness from wafer to wafer. Wafers with a higher dopant concentration will undergo more oxide conversion than lower dopant wafers during the same oxidation time period.
  • the substrate oxide will be thicker on these higher dopant wafers.
  • the oxide thickness variation is caused by concentration variances, and is present even if the time and temperature conditions remain constant.
  • the conventional oxidation of poly-crystalline silicon material also has additional problems which are overcome by the present nitride diffusion stop. Grain boundaries within the poly accumulate impurities which enhance the local oxidation rate. The resulting oxide is "non-conformal" with the underlying poly. The poly to oxide conversion is greater over each grain boundary because of the enhanced oxidation. The grain boundary regions produce a thicker oxide. The poly to oxide conversion is less over each grain body where the local oxidation rate is not enhanced. The resulting thin oxide regions over the grain bodies are subject to electrical breakdown during operation.
  • FIGS. 1-A to 1-G illustrate the steps of a general diffusion stop method for forming a silicon oxide layer
  • FIGS. 3-A to 3-D and 3-E to 3-G show an isolation trench embodiment of the method of FIG. 1;
  • FIG. 4 shows a storage capacitor trench embodiment of the method of FIG. 1
  • FIG. 1 a general embodiment of the present invention
  • FIG. 3 illustrates the best mode presently contemplated by the inventor for practicing the invention.
  • Alternative embodiments are also briefly described as applicable (FIGS. 3, 4, and 5).
  • Support host 110 may be a single crystal silicon substrate (as shown in FIG. 1) or poly-crystalline silicon formed latter in the fabrication (as shown in FIG. 5 ). Silicon substrate 110 may contain dopants at various concentrations to establish conductivity regions forming elements of the fabricated device.
  • Nitride layer 120 may be generated by a suitable technique such as growing thermal nitride on silicon 110 by decomposing a nitrogen bearing gas flow such as ammonia.
  • STEP (1-D) Oxidizing poly 130 forming outer oxide layer 140 by elevating the temperature sufficient to support the oxidation reaction between silicon and oxygen and sufficient to permit oxygen diffusion through oxide 140, while simultaneously exposing poly 130 to an oxygen bearing gas flow such as steam or dry oxygen.
  • the formation of oxide 140 advances inward from outer surface 142 toward nitride 120 as oxygen diffuses through oxide 140.
  • the oxygen poor condition along oxide-silicon interface 146 causes incomplete oxidation along the leading edge of growing oxide 140.
  • the advance rate of oxide 140 into poly 130 is determined primarily by the rapid diffusion rate of oxygen through the increasing silicon oxide of oxide 140.
  • STEP (1-F-1) Driving the oxidation of oxide 140 further to eliminate the partial oxidation states, by continuing the simultaneous temperature and exposure conditions of STEPS 1-D and 1-E.
  • Nitride 120 prevents interface 146 from advancing, while oxygen continues to diffuse into the oxygen poor interior of oxide 140. The oxygen level increases throughout oxide layer 140, eliminating partial oxidation states.
  • STEP (1-G) Completing the fabrication process to obtain an operating device such as transistor 150 (shown in FIG. 1-G).
  • Gate region 152 is implanted in substrate 110 with boron through channels formed by photoresist.
  • Doped poly is deposited on oxide 140 over gate region 152 forming gate electrode 154.
  • the doped poly and oxide 140 and nitride 120 are etched away defining source region 156 and drain region 158.
  • Thin blanket poly 160 is formed, and source 156 and drain 158 are implanted with N type dopant.
  • a thermal cycle is exercised for driving the dopant into substrate 110 and to oxidize blanket poly 160.
  • Blanket glass 162 (P doped) is formed and opened at gate 154, source 156, and drain 158.
  • Metal is deposited into the openings forming gate contact 164, source contact 166, and drain contact 168.
  • Thermal nitride may be grown on host 110 during STEP 1-B by passing ammonia gas over the host at elevated temperatures. Nitrogen from the gas reacts with surface silicon on host 110 to form the surface of nitride 120. The interior of nitride 120 is formed by nitrogen diffusing through the surface and reacting with interior silicon. The growing edge of nitride 120 is from the surface to the interior, and requires diffused nitrogen to advance. As the growing nitride 120 becomes thicker, the supply of diffused nitrogen from the surface decreases. The nitride growth eventually slows to almost zero as shown in the FIG. 2 chart of temperature curves (layer thickness verses time of exposure at selected temperatures). Thermal nitride growth process is self-limiting in thickness. The final thickness depends almost entirely on the growth temperature of the nitride, and is practically independent of time.
  • Nitride layers as thin as 20 Angstroms may be obtained at low growth temperatures. Thin diffusion stop layers are preferred in oxide formation applications to reduce the capacitance and related transient effects.
  • the theoretical lower limit on nitride thickness is determined by the minimum temperature at which the silicon-nitrogen reaction will proceed, subject to substrate native oxide considerations. Thicker nitride layers of from about 20 to about 50 Angstroms may be obtained over a growth temperature range of from about 800 to about 1200 degress Centigrade.
  • the theoretical upper limit on nitride thickness is determined by temperature limitations inherent in fabrication techniques and equipment, subject to the melting point of silicon nitride.
  • Nitride is sensitive to gas impurities, which degrade the dielectric properties of the nitride layer. However in device 150, oxide layer 140 provides the dielectric insulation. Nitride 120 provides a barrier to oxygen in diffusion and impurity out diffusion. Gas impurties on these physical properties of nitride is insignificant.
  • Nitride layer 120 provides an oxygen diffusion stop which protects substrate 110 from oxidation.
  • the oxidation period includes “oxidation”, “driving” and “saturation” (STEPS 1-D, 1-E, 1-F-1, and 1-F-2); and may be extended well beyond saturation without ill effect.
  • the length of the oxidation time period in "non-critical” as long as sufficient time is provided for saturation.
  • the post saturation period remaining after saturation is non-determinative.
  • the oxidation temperature is similarly non-critical. Higher temperatures advance the oxide process faster, resulting in a longer post saturation period. Lower oxidation temperatures slow the oxidation rate, resulting in a shorter post saturation period.
  • the oxidation period was initiated and terminated during the constant temperature portion of the furnace cycle (the main period) in order to maintain the critical oxidation environment.
  • the time and temperature was highly critical. Because of the stopping power of nitride 120, oxidation conditions are no longer so critical.
  • the oxidation period may begin as the temperature is rising (during the ramp-up period), and terminated as the temperature is falling (during the ramp-down period).
  • the main period may be shortened because of the use of the ramp periods to conserve heat.
  • Host 110 Single crystal silicon substrate about 10-15 mils thick (1.00) doped at about 5 ⁇ 10 to the 15th
  • Nitride 120 thermal silicon nitride about 30 Angstroms thick formed by decomposition of pure ammonia for about 60 minutes at about 900 degrees C.
  • the specific oxidation methods 3 and 4 have special application to the formation of stress free substrates for oxide layers in isolation trenches and storage capacitor trenches.
  • the volume expansion involved in the poly to oxide conversion (without a nitride layer) generated corner stress along both 90 degree bottom corners and along both 270 degree upper corners.
  • the present nitride layer prevents oxygen from reaching the substrate, eliminating all direct substrate stress due to oxide expansion.
  • the nitride stop layer defines a boundary which permits the oxidation process to continue without increasing the oxide thickness.
  • the poly to becomes completely oxidized minimizing the "corner stress" heretofore associated with trench oxide. Without, a nitride stop layer between the substrate silicon and the poly, corner stress continued to develope as the oxidation conversion advanced into the substrate.
  • the direct expansion stress in the oxide formed over the nitride is limited by the thinness of the oxide layer. Even in thicker oxide layers, the underlying poly acts as a temporary buffer layer between the increasing oxide layer and the substrate. As the last of the underlying poly is converted into oxide, the overlying oxide has lost significant stress due to the oxidation temperature. This reduced stress remaining in the oxide layer is too small to be induced into the substrate through the nitride as indirect stress.
  • FIG. 3 (FIG. 3)
  • STEP (3-A-1) Providing a suitable single crystal silicon support host 310 for the trench and the remainder of the fabricated device (similar to STEP 1-A).
  • STEP (3-A-2) Providing a trench 312 in substrate 310 by masking followed by a suitable etching technique such as reactive ion etching (RIE).
  • RIE reactive ion etching
  • STEP (3-B) Forming a silicon nitride layer 320 over support host 310 (similar to STEP 1-B).
  • the nitride thickness may be selected from a wide range.
  • Nitride 320 functions primarily as a diffusion stop. A very thin 20 Angstrom layer is functional and a thicker 50 Angstrom layer is also functional.
  • the upper portion of trench sidewalls 312S have better exposure to the ammonia gas then the lower portion of the sidewalls and bottom wall 312B.
  • the nitride deposits faster on the upper sidewalls, which are initially thicker. However, due to the self limiting feature of thermal nitride formation, the slower forming lower regions of the trench catch up.
  • the upper regions reach the maximum thickness first and stabilize.
  • the lower regions continue to grow until they stabilize at the same temperature determined thickness as the upper regions.
  • the nitride forming STEP 3-B may be extended to insure uniform nitride thickness via this self limiting thickness characteristic.
  • STEP (3-C) Forming poly-crystalline silicon layer 330 over nitride 320 by conventional deposition (similar to STEP 1-C).
  • poly 330 functions as an etch stop in STEP 3-F, as well as a diffusion stop in the oxidation steps.
  • Poly 330 and may be selected from a wide range of thicknesses. A resulting oxide having a thickness of 200-300 Anstroms is suitable for typical etch stop situations.
  • STEP (3-D-1) Oxidizing poly 330 forming outer oxide layer 340 by elevating the temperature sufficient to support the oxidation reaction between silicon and oxygen and to permit oxygen diffusion through oxide 340, while simultaneosuly exposing poly 330 to an oxygen bearing gas flow (similar to STEP 1-D).
  • STEP (3-D-4) Saturating the oxidation within oxide layer 340 to eliminate imperfect "loose” bonds (similar to STEP 1-F-2).
  • the thermal conditions promote corner stress reduction as well as improving the chemical bonding.
  • STEP (3-E) Forming undoped poly 350 over oxide 340 having a thickness sufficient to fill trench 312 after oxidation. Because of the volume increase caused by oxidation conversion, poly 350 is typically 1/4 the width of trench 312. For a trench width of 10,000 Angstroms (one micron), a suitable poly thickness is about 250 Angstroms (minus the thickness of nitride 320 and oxide 340 if significant).
  • STEP (3-F) Removing poly 350 from oxide 340 over substrate 310 and over trench bottom 312B, by a suitable directional etching technique such as RIE.
  • STEP (3-G) Oxidizing poly 350 forming outer oxide fill 360 in trench 312 by elevated temperature and oxygen exposure conditions (similar to STEP 3-D). The oxide expansion of poly 350 is outward from flat sidewalls 312S, and does not generate corner stress.
  • STEP (3-K-2) Saturating the oxidation within oxide layer 350 to eliminate imperfect "loose” bonds (similar to STEP 3-D-4).
  • nitride 320 prevents oxygen diffusion into substrate 310 just as in the first oxidation.
  • FIG. 4 (FIG. 4)
  • STEP (4-A-1) Providing a suitable single crystal silicon support host 410 (similar to STEP 3-A).
  • STEP (4-A-2) Providing a trench 412 by masking and etching (similar to STEP 3-A-2).
  • STEP (4-B) Forming a silicon nitride layer 420 over support host 410 (similar to STEP 3-B).
  • nitride 420 functions as the diffusion stop during fabrication, and as a portion of the capacitor dielectric during operation.
  • Nitride 420 and and oxide layer 440 combine dielectric properties to form the capacitor being fabricated.
  • Silicon nitride has a higher dielectric constant than silicon oxide.
  • a higher value capacitor can be fabricated within the alloted substrate space if nitride layer 420 is more than the minimum thickness required for diffusion stop.
  • a nitride 420 thickness of about 300 Angstroms is suitable for enhancing the capacitance.
  • STEP (4-C) Forming poly-crystalline silicon layer 430 over nitride 420 by conventional deposition (similar to STEP 3-C).
  • Poly 430 functions as a capacitor dielectric material, and may be selected from a wide range of thicknesses. Thicknesses of from about 500 Angstroms to about 1,000 Angstroms are suitable for typical IC capacitors.
  • STEP (4-D) Oxidizing poly 430 forming outer oxide layer 440 by elevated temperature and oxygen exposure conditions (similar to STEP 3-D).
  • STEP (4-F-2) Saturating the oxidation within oxide layer 440 to eliminate imperfect "loose” bonds (similar to STEP 1-F-2).
  • STEP (4-G) Forming doped poly 450 over oxide 440 filling trench 412 to form one electrode of the capacitor which cooperates with the substrate electrode through oxide 440.
  • the specific oxidation method 5 (shown in corresponding FIGS. 1-A to 1-F and 5 ) have special application to the formation of floating gate devices.
  • the insulator between the substrate and the floating gate (the first oxide) is formed by STEPS 1-A to 1-F of FIG. 1.
  • the floating gate material is formed on the first oxide.
  • Nitride is formed on the floating gate, and the insulator between the gate and the contact electrode (the second oxide) is formed over the nitride.
  • formation of the second oxide over doped poly resulted non-conformal oxide formation due to the enhanced oxidation regions over the grain boundaries of the poly.
  • the present nitride layer prevents the diffusion of boundary impurities from the gate poly.
  • the resulting second oxide is conformal, without thin breakdown regions.
  • the conventional high oxidation temperature for poly on poly is no longer required to obtain conformal oxide.
  • the low oxidation temperatures permitted by the nitride cause less dopant redistribution.
  • STEP (5-A-1) Providing a suitable single crystal silicon support host 510 (similar to STEP 1-A).
  • STEP (5-B) Forming a first silicon nitride layer 520 over support host 510 (similar to STEP 1-B).
  • STEP (5-C) Forming a first poly-crystalline silicon layer (undoped) over nitride 520 (similar to STEP 1-C).
  • first oxide 540 Oxidizing first poly silicon layer forming first oxide layer 540 by elevated temperature and oxygen exposure conditions (similar to STEP 1-D).
  • First oxide 540 forms the first dielectric of the gate, and has a variable thickness requirement.
  • first oxide 540 may be as thin as 100 Angstorms.
  • oxide 540 may be from 200 to 400 Angstroms.
  • STEP (5-F-2) Saturating the oxidation within oxide layer 540 (similar to STEP 1-F-2).
  • STEP (5-G) Forming doped poly 550 over oxide 540 to form the floating gate material.
  • Gate poly 550 may be as thick as 2,500 Angstroms depending on the application.
  • STEP 5-H Forming a second silicon nitride layer 560 over gate poly 550 (similar to STEP 1-B). Nitride 560 is vapor deposited, and is therefore conformal to the grain irregularities in the surface of gate poly 550.
  • STEP (5-I-1) Forming a second poly-crystalline silicon layer (undoped) over second nitride 560 by conventional deposition (similar to STEP 1-C).
  • the second poly is conformal to nitride 560.
  • STEP (5-I-2) Oxidizing the second poly to form second oxide 570 by elevated temperature and oxygen exposure conditions (similar to STEP 1-D).
  • the oxidation of undoped poly over doped poly resulted in nonconformal oxidation due to dopant enhanced oxidation over the grain boundaries.
  • the presence of nitride 520 prevents impurities in gate poly 550 from affecting the oxidation rate in second oxide 570; and the oxidation is conformal.
  • the thickness of second oxide 570 is uniform, even though the surface is not flat.
  • STEP (5-K-2) Saturating the oxidation within oxide layer 570 (similar to STEP 5-F-2).
  • FIG. 6 (FIG. 6)
  • Nitride formed by LPCVD function as diffusion barriers to prevent oxidation of the underlying substrate material.
  • FIG. 6 shows an MNOS device with nitride 620 deposited on silicon host 610 forming a floating gate.
  • the thin dielectric between substrate 610 and nitride 620 is silicon oxide 614.
  • the dielectric between nitride 620 and contact electrode 670 is silicon oxide 640.
  • oxide 640 from poly
  • nitride 620 prevents the oxidation of host 610.
  • the second dielectric was formed by oxidizing the silicon nitride at a high temperature (1,000 degrees C.), which degraded the floating gate nitride.
  • the nitride prevents advance of the oxide into the substrate, and creates a non-critical oxidation environment.
  • the thickness of the oxide formed is not critically dependent on either time or temperature.
  • the oxidation period may be extended to convert essentially all of the poly to oxide and to perfect the chemical bonds within the oxide.
  • the nitride minimizes impurity out diffusion and boundary dopant segregation.
  • the substrate may be single crystal silicon, or poly crystalline silicon. When oxidizing poly on poly, the nitride prevents grain boundary impurities in the underlying poly from affecting the oxidation rate in the oxidizing poly.
  • the impurities can not diffuse through the nitride into the oxidizing poly.
  • the resulting oxide is conformal to the underlying poly without thin breakdown regions.
  • the conventional high oxidation temperature is no longer required to obtain high quality oxide.
  • the lower oxidation temperatures permitted by the nitride cause less dopant redistribution.
  • the nitride minimizes trench corner stress by isolating the substrate from the oxidation.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Solid-Phase Diffusion Into Metallic Material Surfaces (AREA)
  • Chemical Vapour Deposition (AREA)
  • Bipolar Transistors (AREA)
  • Element Separation (AREA)
US06/817,233 1986-01-08 1986-01-08 Diffusion stop method for forming silicon oxide during the fabrication of IC devices Expired - Lifetime US4789560A (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US06/817,233 US4789560A (en) 1986-01-08 1986-01-08 Diffusion stop method for forming silicon oxide during the fabrication of IC devices
AT86310234T ATE196215T1 (de) 1986-01-08 1986-12-31 Verfahren zur herstellung integrierter schaltungen unter verwendung einer schicht gegen der diffusion von sauerstoff
DE3650747T DE3650747T2 (de) 1986-01-08 1986-12-31 Verfahren zur Herstellung integrierter Schaltungen unter Verwendung einer Schicht gegen der Diffusion von Sauerstoff
EP86310234A EP0237684B1 (fr) 1986-01-08 1986-12-31 Procédé pour la fabrication de circuits intégrés utilisant une barrière contre la diffusion de l'oxygène
JP62000958A JP2673183B2 (ja) 1986-01-08 1987-01-06 シリコン酸化物を形成する方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/817,233 US4789560A (en) 1986-01-08 1986-01-08 Diffusion stop method for forming silicon oxide during the fabrication of IC devices

Publications (1)

Publication Number Publication Date
US4789560A true US4789560A (en) 1988-12-06

Family

ID=25222635

Family Applications (1)

Application Number Title Priority Date Filing Date
US06/817,233 Expired - Lifetime US4789560A (en) 1986-01-08 1986-01-08 Diffusion stop method for forming silicon oxide during the fabrication of IC devices

Country Status (5)

Country Link
US (1) US4789560A (fr)
EP (1) EP0237684B1 (fr)
JP (1) JP2673183B2 (fr)
AT (1) ATE196215T1 (fr)
DE (1) DE3650747T2 (fr)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5123994A (en) * 1989-05-30 1992-06-23 Motorola, Inc. Ramped oxide formation method
US5169491A (en) * 1991-07-29 1992-12-08 Micron Technology, Inc. Method of etching SiO2 dielectric layers using chemical mechanical polishing techniques
EP0525650A2 (fr) * 1991-07-29 1993-02-03 Nec Corporation Dispositif semi-conducteur comportant un film isolant pour condensateur et son procédé de fabrication
US5213670A (en) * 1989-06-30 1993-05-25 Siemens Aktiengesellschaft Method for manufacturing a polycrystalline layer on a substrate
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US5453634A (en) * 1987-12-21 1995-09-26 Kabushiki Kaisha Toshiba Non-volatile semiconductor device
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US5940725A (en) * 1994-05-09 1999-08-17 International Business Machines Corporation Semiconductor device with non-deposited barrier layer
US20010021549A1 (en) * 1998-07-17 2001-09-13 J. Dennis Keller Methods of enhancing data retention of a floating gate transistor, methods of forming floating gate transistors, and floating gate transistors
US6306727B1 (en) * 1997-08-18 2001-10-23 Micron Technology, Inc. Advanced isolation process for large memory arrays
EP1150350A2 (fr) * 2000-02-25 2001-10-31 Infineon Technologies North America Corp. Fabrication d'un condensateur en tranchée
US20030203587A1 (en) * 2001-12-10 2003-10-30 Oleg Gluschenkov Vertical thermal nitride mask (anti-collar) and processing thereof
US20040058509A1 (en) * 2000-11-14 2004-03-25 Hans-Peter Moll Method for producing an integrated semiconductor component
US6759314B1 (en) * 1999-09-27 2004-07-06 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor devices using thermal nitride films as gate insulating films
US20060038259A1 (en) * 2004-08-19 2006-02-23 Patrick Thomas Silicon pillars for vertical transistors
US20060043450A1 (en) * 2004-09-02 2006-03-02 Tang Sanh D Vertical transistors
US20060160323A1 (en) * 2005-01-14 2006-07-20 Wells David H Memory array buried digit line
US20070018231A1 (en) * 2005-07-25 2007-01-25 Yuuichiro Mitani Nonvolatile semiconductor memory device, semiconductor device and manufacturing method of nonvolatile semiconductor memory device
US20070066019A1 (en) * 2005-07-06 2007-03-22 Leonard Forbes Surround gate access transistors with grown ultra-thin bodies
US20070128809A1 (en) * 2004-12-13 2007-06-07 Micron Technology, Inc. Methods of reducing floating body effect
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US20080224125A1 (en) * 2004-07-12 2008-09-18 Pioneer Corporation (Tmk) Semiconductor Device
US7510954B1 (en) 2005-05-13 2009-03-31 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7566620B2 (en) 2005-07-25 2009-07-28 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US8274106B2 (en) 2004-07-20 2012-09-25 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
CN104078494A (zh) * 2013-03-29 2014-10-01 三星电机株式会社 功率半导体设备及其制作方法
US9287271B2 (en) 2011-08-23 2016-03-15 Micron Technology, Inc. Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices
US9356116B2 (en) 2013-02-26 2016-05-31 Samsung Electro-Mechanics Co., Ltd. Power semiconductor device and method of fabricating the same
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20220344343A1 (en) * 2021-04-27 2022-10-27 Winbond Electronics Corp. Dynamic random access memory and method of fabricating the same

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4666556A (en) * 1986-05-12 1987-05-19 International Business Machines Corporation Trench sidewall isolation by polysilicon oxidation
DE69223601T2 (de) * 1991-08-19 1998-06-18 Eastman Kodak Co Photografisches papier mit geringer sauerstoffdurchlässigkeit
JP3396553B2 (ja) * 1994-02-04 2003-04-14 三菱電機株式会社 半導体装置の製造方法及び半導体装置
JP5829196B2 (ja) 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3549411A (en) * 1967-06-27 1970-12-22 Texas Instruments Inc Method of preparing silicon nitride films
US3652324A (en) * 1968-08-15 1972-03-28 Westinghouse Electric Corp A METHOD OF VAPOR DEPOSITING A LAYER OF Si{11 N{11 {0 ON A SILICON BASE
JPS4831034A (fr) * 1971-08-26 1973-04-24
US3911168A (en) * 1973-06-01 1975-10-07 Fairchild Camera Instr Co Method for forming a continuous layer of silicon dioxide over a substrate
US4001465A (en) * 1974-03-01 1977-01-04 Siemens Aktiengesellschaft Process for producing semiconductor devices
US4230745A (en) * 1977-08-18 1980-10-28 Motoren- Und Turbinen-Union Munchen Gmbh Method of encapsulating a molded ceramic member
US4254161A (en) * 1979-08-16 1981-03-03 International Business Machines Corporation Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking
US4278705A (en) * 1979-11-08 1981-07-14 Bell Telephone Laboratories, Incorporated Sequentially annealed oxidation of silicon to fill trenches with silicon dioxide
US4333964A (en) * 1980-09-15 1982-06-08 General Electric Company Method of making integrated circuits
US4435447A (en) * 1978-12-26 1984-03-06 Fujitsu Limited Method for forming an insulating film on a semiconductor substrate surface
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
US4583281A (en) * 1985-03-13 1986-04-22 General Electric Company Method of making an integrated circuit
US4597159A (en) * 1984-03-09 1986-07-01 Kabushiki Kaisha Toshiba Method of manufacturing SiO2 -Si interface for floating gate semiconductor device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4400865A (en) * 1980-07-08 1983-08-30 International Business Machines Corporation Self-aligned metal process for integrated circuit metallization
GB8528967D0 (en) * 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
JPS62137854A (ja) * 1985-12-11 1987-06-20 Nec Corp 半導体装置の製造方法

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3549411A (en) * 1967-06-27 1970-12-22 Texas Instruments Inc Method of preparing silicon nitride films
US3652324A (en) * 1968-08-15 1972-03-28 Westinghouse Electric Corp A METHOD OF VAPOR DEPOSITING A LAYER OF Si{11 N{11 {0 ON A SILICON BASE
JPS4831034A (fr) * 1971-08-26 1973-04-24
US3911168A (en) * 1973-06-01 1975-10-07 Fairchild Camera Instr Co Method for forming a continuous layer of silicon dioxide over a substrate
US4001465A (en) * 1974-03-01 1977-01-04 Siemens Aktiengesellschaft Process for producing semiconductor devices
US4230745A (en) * 1977-08-18 1980-10-28 Motoren- Und Turbinen-Union Munchen Gmbh Method of encapsulating a molded ceramic member
US4435447A (en) * 1978-12-26 1984-03-06 Fujitsu Limited Method for forming an insulating film on a semiconductor substrate surface
US4254161A (en) * 1979-08-16 1981-03-03 International Business Machines Corporation Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking
US4278705A (en) * 1979-11-08 1981-07-14 Bell Telephone Laboratories, Incorporated Sequentially annealed oxidation of silicon to fill trenches with silicon dioxide
US4333964A (en) * 1980-09-15 1982-06-08 General Electric Company Method of making integrated circuits
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
US4597159A (en) * 1984-03-09 1986-07-01 Kabushiki Kaisha Toshiba Method of manufacturing SiO2 -Si interface for floating gate semiconductor device
US4583281A (en) * 1985-03-13 1986-04-22 General Electric Company Method of making an integrated circuit

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Bassous, "Fabricating Submicrometer Silicon Devices", IBM Tech. Disclosure Bulletin, vol. 15, No. 6, pp. 1823-1825, Nov. 1972.
Bassous, Fabricating Submicrometer Silicon Devices , IBM Tech. Disclosure Bulletin, vol. 15, No. 6, pp. 1823 1825, Nov. 1972. *

Cited By (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453634A (en) * 1987-12-21 1995-09-26 Kabushiki Kaisha Toshiba Non-volatile semiconductor device
US5123994A (en) * 1989-05-30 1992-06-23 Motorola, Inc. Ramped oxide formation method
US5213670A (en) * 1989-06-30 1993-05-25 Siemens Aktiengesellschaft Method for manufacturing a polycrystalline layer on a substrate
US5169491A (en) * 1991-07-29 1992-12-08 Micron Technology, Inc. Method of etching SiO2 dielectric layers using chemical mechanical polishing techniques
EP0525650A2 (fr) * 1991-07-29 1993-02-03 Nec Corporation Dispositif semi-conducteur comportant un film isolant pour condensateur et son procédé de fabrication
EP0525650B1 (fr) * 1991-07-29 2001-10-17 Nec Corporation Procédé de fabrication d'un dispositif semi-conducteur comportant un film isolant pour condensateur
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US6015760A (en) * 1992-06-15 2000-01-18 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US6287978B1 (en) 1992-06-15 2001-09-11 Micron Technology, Inc. Method of etching a substrate
US7049244B2 (en) 1992-06-15 2006-05-23 Micron Technology, Inc. Method for enhancing silicon dioxide to silicon nitride selectivity
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US20020000423A1 (en) * 1992-06-15 2002-01-03 Micron Technologies, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US5940725A (en) * 1994-05-09 1999-08-17 International Business Machines Corporation Semiconductor device with non-deposited barrier layer
US6306727B1 (en) * 1997-08-18 2001-10-23 Micron Technology, Inc. Advanced isolation process for large memory arrays
US6677658B2 (en) 1997-08-18 2004-01-13 Micron Technology, Inc. Advanced isolation process for large memory arrays
US20010021549A1 (en) * 1998-07-17 2001-09-13 J. Dennis Keller Methods of enhancing data retention of a floating gate transistor, methods of forming floating gate transistors, and floating gate transistors
US7192829B2 (en) 1998-07-17 2007-03-20 Micron Technology, Inc. Methods of forming floating gate transistors
US6791141B1 (en) 1998-07-17 2004-09-14 Micron Technology, Inc. Semiconductor constructions comprising stacks with floating gates therein
US6759314B1 (en) * 1999-09-27 2004-07-06 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor devices using thermal nitride films as gate insulating films
EP1150350A3 (fr) * 2000-02-25 2002-04-24 Infineon Technologies North America Corp. Fabrication d'un condensateur en tranchée
EP1150350A2 (fr) * 2000-02-25 2001-10-31 Infineon Technologies North America Corp. Fabrication d'un condensateur en tranchée
US20040058509A1 (en) * 2000-11-14 2004-03-25 Hans-Peter Moll Method for producing an integrated semiconductor component
US6924209B2 (en) * 2000-11-14 2005-08-02 Infineon Technologies Ag Method for fabricating an integrated semiconductor component
US20030203587A1 (en) * 2001-12-10 2003-10-30 Oleg Gluschenkov Vertical thermal nitride mask (anti-collar) and processing thereof
US6797582B2 (en) * 2001-12-10 2004-09-28 International Business Machines Corporation Vertical thermal nitride mask (anti-collar) and processing thereof
US20080224125A1 (en) * 2004-07-12 2008-09-18 Pioneer Corporation (Tmk) Semiconductor Device
US8482047B2 (en) 2004-07-20 2013-07-09 Micron Technology, Inc. DRAM layout with vertical FETS and method of formation
US8274106B2 (en) 2004-07-20 2012-09-25 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US8629533B2 (en) 2004-08-19 2014-01-14 Micron Technology, Inc. Pillars for vertical transistors
US8847298B2 (en) 2004-08-19 2014-09-30 Micron Technology, Inc. Pillars for vertical transistors
US20060263973A1 (en) * 2004-08-19 2006-11-23 Patrick Thomas Silicon pillars for vertical transistors
US7413480B2 (en) 2004-08-19 2008-08-19 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060038259A1 (en) * 2004-08-19 2006-02-23 Patrick Thomas Silicon pillars for vertical transistors
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7521322B2 (en) 2004-09-02 2009-04-21 Micron Technology, Inc. Vertical transistors
US20060043450A1 (en) * 2004-09-02 2006-03-02 Tang Sanh D Vertical transistors
US7368344B2 (en) 2004-12-13 2008-05-06 Micron Technology, Inc. Methods of reducing floating body effect
US20070128809A1 (en) * 2004-12-13 2007-06-07 Micron Technology, Inc. Methods of reducing floating body effect
US20070138528A1 (en) * 2004-12-13 2007-06-21 Micron Technology, Inc. Memory structure for reduced floating body effect
US7626223B2 (en) 2004-12-13 2009-12-01 Micron Technology, Inc. Memory structure for reduced floating body effect
US20060258119A1 (en) * 2005-01-14 2006-11-16 Wells David H Memory array buried digit line
US7768073B2 (en) 2005-01-14 2010-08-03 Micron Technology, Inc. Memory array buried digit line
US20060160323A1 (en) * 2005-01-14 2006-07-20 Wells David H Memory array buried digit line
US7229895B2 (en) 2005-01-14 2007-06-12 Micron Technology, Inc Memory array buried digit line
US20100276741A1 (en) * 2005-01-14 2010-11-04 Micron Technology, Inc. Integrated circuit with buried digit line
US7368365B2 (en) 2005-01-14 2008-05-06 Wells David H Memory array buried digit line
US7601608B2 (en) 2005-01-14 2009-10-13 Micron Technologies, Inc. Memory array buried digit line
US8102008B2 (en) 2005-01-14 2012-01-24 Micron Technology, Inc. Integrated circuit with buried digit line
US20060258118A1 (en) * 2005-01-14 2006-11-16 Wells David H Memory array buried digit line
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US8350320B2 (en) 2005-05-13 2013-01-08 Micron Technology, Inc. Memory array and memory device
US8227305B2 (en) 2005-05-13 2012-07-24 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US8101992B2 (en) 2005-05-13 2012-01-24 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US8609523B2 (en) 2005-05-13 2013-12-17 Micron Technology, Inc. Method of making a memory array with surrounding gate access transistors and capacitors with global staggered local bit lines
US7525141B1 (en) 2005-05-13 2009-04-28 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7510954B1 (en) 2005-05-13 2009-03-31 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US8637362B2 (en) 2005-05-13 2014-01-28 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7910972B2 (en) 2005-05-13 2011-03-22 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7601595B2 (en) 2005-07-06 2009-10-13 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7626219B2 (en) 2005-07-06 2009-12-01 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US20070066019A1 (en) * 2005-07-06 2007-03-22 Leonard Forbes Surround gate access transistors with grown ultra-thin bodies
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US8115243B2 (en) 2005-07-06 2012-02-14 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US20070018231A1 (en) * 2005-07-25 2007-01-25 Yuuichiro Mitani Nonvolatile semiconductor memory device, semiconductor device and manufacturing method of nonvolatile semiconductor memory device
US7883967B2 (en) 2005-07-25 2011-02-08 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device, semiconductor device and manufacturing method of nonvolatile semiconductor memory device
US8093126B2 (en) 2005-07-25 2012-01-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device, semiconductor device and manufacturing method of nonvolatile semiconductor memory device
US20100171169A1 (en) * 2005-07-25 2010-07-08 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device, semiconductor device and manufactoring method of nonvolatile semiconductor memory device
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7566620B2 (en) 2005-07-25 2009-07-28 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US8222105B2 (en) 2005-08-31 2012-07-17 Micron Technology, Inc. Methods of fabricating a memory device
US8546215B2 (en) 2005-08-31 2013-10-01 Micron Technology, Inc. Methods of fabricating a memory device
US8481385B2 (en) 2005-08-31 2013-07-09 Micron Technology, Inc. Methods of fabricating a memory device
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US9287271B2 (en) 2011-08-23 2016-03-15 Micron Technology, Inc. Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices
US9401363B2 (en) 2011-08-23 2016-07-26 Micron Technology, Inc. Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices
US9356116B2 (en) 2013-02-26 2016-05-31 Samsung Electro-Mechanics Co., Ltd. Power semiconductor device and method of fabricating the same
CN104009081B (zh) * 2013-02-26 2017-05-24 三星电机株式会社 功率半导体器件及其制造方法
CN104078494A (zh) * 2013-03-29 2014-10-01 三星电机株式会社 功率半导体设备及其制作方法
CN104078494B (zh) * 2013-03-29 2017-04-12 三星电机株式会社 功率半导体设备及其制作方法
US20220344343A1 (en) * 2021-04-27 2022-10-27 Winbond Electronics Corp. Dynamic random access memory and method of fabricating the same
US11664435B2 (en) * 2021-04-27 2023-05-30 Winbond Electronics Corp. Dynamic random access memory and method of fabricating the same

Also Published As

Publication number Publication date
EP0237684A2 (fr) 1987-09-23
JPS62177931A (ja) 1987-08-04
ATE196215T1 (de) 2000-09-15
JP2673183B2 (ja) 1997-11-05
DE3650747D1 (de) 2000-11-16
EP0237684A3 (fr) 1991-01-09
EP0237684B1 (fr) 2000-09-06
DE3650747T2 (de) 2001-04-26

Similar Documents

Publication Publication Date Title
US4789560A (en) Diffusion stop method for forming silicon oxide during the fabrication of IC devices
US7824993B2 (en) Field-effect transistor with local source/drain insulation and associated method of production
CA1230431A (fr) Technique de dopage a partir d'une couche de transfert a polysilicium
KR100376237B1 (ko) 반도체 장치 및 그 제조 방법
EP0262294A1 (fr) Méthode de fabrication pour former une fenêtre de contact auto-alignée et une connexion sur une couche épitaxiale et structures de dispositifs utilisant cette méthode
US5998253A (en) Method of forming a dopant outdiffusion control structure including selectively grown silicon nitride in a trench capacitor of a DRAM cell
US6225171B1 (en) Shallow trench isolation process for reduced for junction leakage
KR100525216B1 (ko) 고성능 dram 시스템 또는 논리 회로에서 사용하기위한 듀얼 게이트 산화물을 형성하는 공정
JP3305929B2 (ja) 半導体装置及びその製造方法
US5716891A (en) Fabrication process of semiconductor device
US5554558A (en) Method of making high precision w-polycide-to-poly capacitors in digital/analog process
US6097061A (en) Trenched gate metal oxide semiconductor device and method
JP3417866B2 (ja) 半導体装置およびその製造方法
EP0685883B1 (fr) Procédé pour former un diélectrique amélioré pour un circuit intégré
US5811865A (en) Dielectric in an integrated circuit
US5854121A (en) Semiconductor fabrication employing barrier atoms incorporated at the edges of a trench isolation structure
US6744113B2 (en) Semiconductor device with element isolation using impurity-doped insulator and oxynitride film
JP3039978B2 (ja) 集積misfetデバイス中に電界分離構造及びゲート構造を形成する方法
JPH05114579A (ja) 半導体装置およびその製造方法
KR930009482B1 (ko) 반도체장치 및 그 제조방법
JPS5982768A (ja) 半導体装置の製造方法
GB1593694A (en) Method for making a semiconductor device
KR100211947B1 (ko) 다결정규소 소오스/드레인 모스 트랜지스터 및 그 제조방법
US6989311B2 (en) Method for fabricating a trench contact to a deep trench capacitor having a polysilicon filling
JPH0715938B2 (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED MICRO DEVICES, INC., 901 THOMPSON PLACE,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST.;ASSIGNOR:YEN, YUNG-CHAU;REEL/FRAME:004516/0455

Effective date: 19851220

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12