US20230247831A9 - Methods for fabricating a 3-dimensional memory structure of nor memory strings - Google Patents

Methods for fabricating a 3-dimensional memory structure of nor memory strings Download PDF

Info

Publication number
US20230247831A9
US20230247831A9 US17/382,126 US202117382126A US2023247831A9 US 20230247831 A9 US20230247831 A9 US 20230247831A9 US 202117382126 A US202117382126 A US 202117382126A US 2023247831 A9 US2023247831 A9 US 2023247831A9
Authority
US
United States
Prior art keywords
layer
trench
oxide
semiconductor
shafts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US17/382,126
Other versions
US20220028886A1 (en
US11751391B2 (en
Inventor
Vinod Purayath
Yosuke Nosho
Shohei Kamisaka
Michiru Nakane
Eli Harari
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sunrise Memory Corp
Original Assignee
Sunrise Memory Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/510,610 external-priority patent/US10741581B2/en
Application filed by Sunrise Memory Corp filed Critical Sunrise Memory Corp
Priority to US17/382,126 priority Critical patent/US11751391B2/en
Assigned to SUNRISE MEMORY CORPORATION reassignment SUNRISE MEMORY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NAKANE, Michiru, PURAYATH, VINOD, HARARI, ELI, KAMISAKA, Shohei, NOSHO, YOSUKE
Publication of US20220028886A1 publication Critical patent/US20220028886A1/en
Publication of US20230247831A9 publication Critical patent/US20230247831A9/en
Application granted granted Critical
Publication of US11751391B2 publication Critical patent/US11751391B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • H01L27/11582
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0466Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/08Address circuits; Decoders; Word-line control circuits

Definitions

  • the present invention relates to processes for manufacturing memory integrated circuits.
  • the present invention relates to processes for fabricating thin-film storage transistors in a 3-dimensional memory structure formed on a planar surface of a semiconductor substrate.
  • High density memory arrays e.g., 3-dimensional arrays of NOR memory strings (“3-D NOR memory arrays”)
  • 3-D NOR memory arrays have been disclosed in, for example, U.S. Patent Application Publication 2017/0092371A1 (“Structural Reference I”), entitled “Capacitive-Coupled Non-Volatile Thin-film Transistor Strings in Three-Dimensional Arrays,” and U.S. Patent Application Publication 2018/0366489A1 (“Structural Reference II”), entitled “3-Dimensional NOR Memory Array Architecture and Methods for Fabrication Thereof.”
  • Structural References I and II are hereby incorporated by reference in their entireties.
  • these 3-D NOR memory arrays may be operated to provide memory circuits at highly desirable speeds that rival conventional memory circuits of much lower circuit densities and significantly higher power dissipation, e.g., as dynamic random-access memories (“DRAMs”).
  • DRAMs dynamic random-access memories
  • a 3-D NOR memory array includes numerous stacks of NOR memory strings, with each stack having numerous NOR memory strings stacked one on top of another.
  • NOR memory string includes numerous storage cells that share a common drain region (“common bit line”) and a common source region (“common source line”), the storage cells being provided on one or both sides along the length of the NOR memory string.
  • Each storage cell is controlled by a conductor (“word line” or “local word line”) that runs substantially orthogonal to the memory string.
  • word line may be shared by numerous storage cells in different NOR memory strings along its length and on opposite sides of the word line.
  • Etching conductors e.g., tungsten
  • a high aspect ratio e.g., 50 or greater
  • a process that creates a NOR memory array with word lines that are separated by a very fine pitch include (i) providing over a planar surface of a semiconductor substrate first and second semiconductor structures separated by a trench having a predetermined width along a first direction that is substantially parallel the planar surface, each semiconductor structure may include multi-layer active strips each extending lengthwise along a second direction that is substantially orthogonal to the first direction, and which are stacked one on top of another along a third direction that is substantially normal to the planar surface, adjacent multi-layer active strips being electrically isolated from each other by a layer of an isolation material, wherein each active multi-layer strip may include first and second semiconductor layers of a first conductivity type separated by a dielectric material; (ii) recessing the sidewalls of the trench at the multi-layer strips along the first direction, thereby creating recesses between two layers of isolation material; (iii) providing in the recesses a predetermined material; (iv) filling the trench with a first
  • the first and second semiconductor layers of each multi-layer active strip, the charge-trapping layer, the conductive material may provide, respectively, a common bit line, a common source line, a charge storage layer and a gate electrode of a thin-film storage transistor in a NOR memory string.
  • the predetermined material may be a channel polysilicon material that serves as a channel region for a thin-film storage transistor.
  • the predetermined material is replaced after the word lines are formed to the final channel material, which may be sealed with a dielectric liner (e.gt., an atomic layer deposition (ALD) silicon oxide liner).
  • ALD atomic layer deposition
  • the present invention avoids the challenge of etching a conductor material that is aimed at providing the local word lines at the fine pitch. Etching of the shafts that provide isolation between the thin-film storage transistors may proceed at a lower aspect ratio than would be required for etching the conductor material.
  • the first and second semiconductor layers may include N + -doped amorphous silicon or polysilicon
  • the third semiconductor layer may include P ⁇ -doped amorphous or polysilicon
  • the isolation material may include silicon oxycarbide (SiOC) or silicon oxide
  • the charge-trapping layer may include (i) a tunneling layer (e.g., any silicon oxide (SiO x ), silicon nitride (SiN), silicon oxynitride (SiON), any aluminum oxide (AlO x ), any hafnium oxide (HfO x ), zirconium oxide (ZrO x ), any hafnium silicon oxide (HfSi x O y ), any hafnium zirconium oxide (HfZrO), or any combination thereof); (ii) a charge storage layer (e.g., silicon nitride (SiN), hafnium oxide (HfO 2 ), or hafnium
  • the conductive material may include a metal liner (e.g., titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN)) and a refractory metal (e.g., tungsten (W), tungsten nitride (WN) or molybdenum (Mo)).
  • the first filler material may include silicon oxide.
  • a cap e.g., tungsten
  • the shafts may be lined with a dielectric liner (e.g., silicon nitride) prior to filling by the second filler material (e.g., silicon oxide).
  • a dielectric liner e.g., silicon nitride
  • FIG. 1 is a schematic top view of modular unit (“tile”) 100 in a memory structure that includes 3-D NOR memory arrays, in accordance with one embodiment of the present invention.
  • FIG. 2 a ( i ) shows a cross section in the Z-X plane of memory structure 200 after depositions of numerous material layers (discussed below), in accordance with one embodiment of the present invention.
  • FIG. 2 a ( ii ) illustrates successive recessing and etching steps to create staircase portion 102 a or 102 b of FIG. 1 , in accordance with one embodiment of the present invention.
  • FIG. 2 b shows resulting memory structure 200 in an X-Z plane cross section, after separation etch of P ⁇ -doped amorphous silicon layer 250 is carried out, in accordance with one embodiment of the present invention.
  • FIG. 2 c shows resulting memory structure 200 in an X-Z plane cross section, after silicon oxide 223 is deposited to fill trenches 216 and planarized.
  • FIG. 2 d shows resulting memory structure 200 in an X-Z plane cross section, after second group of trenches 218 are formed, according to one embodiment of the present invention.
  • FIG. 2 e shows resulting memory structure 200 in an X-Z plane cross section, after removal of SiN layers 204 a and 204 e from each active multi-layer 204 , according to one embodiment of the present invention.
  • FIG. 2 f shows resulting memory structure 200 in an X-Z plane cross section, after replacement of SiN layers 204 a and 204 e from each of active multi-layers 204 by conductive material 229 , according to one embodiment of the present invention.
  • FIG. 2 g shows resulting memory structure 200 in an X-Z cross section, after recessing conductive material 229 , N + amorphous semiconductor layers 204 b and 204 d and oxide layer 203 of each active multi-layer 204 , according to one embodiment of the present invention.
  • FIG. 2 h shows resulting memory structure 200 in an X-Z cross section, after deposition of channel polysilicon 250 into trenches 218 , according to one embodiment of the present invention.
  • FIG. 2 i shows resulting memory structure 200 in an X-Z cross section, after deposition of silicon oxide 223 into trenches 218 , according to one embodiment of the present invention.
  • FIGS. 2 j ( i ) and 2 j ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 j ( i )) of resulting memory structure 200 , after shafts 263 are formed, according to one embodiment of the present invention.
  • FIGS. 2 k ( i ) and 2 k ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 k ( i )) of resulting memory structure 200 , after shafts 263 are filled by sacrificial silicon 265 , according to one embodiment of the present invention.
  • FIGS. 2 l ( i ) and 2 l ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 l ( i )) of resulting memory structure 200 , after silicon oxide 223 is removed from trenches 218 , according to one embodiment of the present invention.
  • FIGS. 2 m ( i ) and 2 m ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 m ( i )) of resulting memory structure 200 , after conductive material 272 is deposited into trenches 218 , according to one embodiment of the present invention.
  • FIGS. 2 n ( i ) and 2 n ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 n ( i )) of resulting memory structure 200 , after cap 272 t has been formed to protect charge-trapping layer 268 , according to one embodiment of the present invention.
  • FIGS. 2 o ( i ) and 2 o ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 o ( i )) of resulting memory structure 200 , after sacrificial amorphous silicon 265 is removed, according to one embodiment of the present invention.
  • FIGS. 2 p ( i ) and 2 p ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 p ( i )) of resulting memory structure 200 , after silicon nitride liner 264 is removed, according to an alternative embodiment of the present invention.
  • FIGS. 2 q ( i ) and 2 q ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 q ( i )) of resulting memory structure 200 , after channel polysilicon 250 is removed, according to an alternative embodiment of the present invention.
  • FIGS. 2 r ( i ) and 2 r ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 r ( i )) of resulting memory structure 200 , after channel polysilicon 280 is deposited, according to an alternative embodiment of the present invention.
  • FIGS. 2 s ( i ) and 2 s ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 s ( i )) of resulting memory structure 200 , after channel polysilicon 280 is recessed, according to an alternative embodiment of the present invention.
  • FIGS. 2 t ( i ) and 2 t ( ii ) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 t ( i )) of resulting memory structure 200 , after ALD oxide liner 285 is deposited, according to an alternative embodiment of the present invention.
  • FIG. 1 is a schematic top view of modular unit (“tile”) 100 in a memory structure that includes 3-D NOR memory arrays, in accordance with one embodiment of the present invention.
  • Tile 100 is typically formed on a planar surface of a semiconductor substrate, such as a monocrystalline epitaxial layer of a silicon wafer.
  • a rectilinear coordinate reference frame is used, which postulates the planar surface on an X-Y plane, and a normal of the planar surface in the Z-direction orthogonal to the X-Y plane.
  • the semiconductor substrate may include support circuitry for the 3-D NOR memory arrays formed therein or thereon underneath the 3-D NOR memory arrays.
  • Such support circuits may include both analog and digital circuits.
  • Some examples of such support circuits include shift registers, latches, sense amplifiers, reference cells, power supply lines, bias and reference voltage generators, inverters, NAND, NOR, Exclusive-Or and other logic gates, input/output drivers, address decoders (e.g., bit line and word line decoders), other memory elements, data encoding and decoding circuits including, for example, error detection and correction circuits), sequencers and state machines.
  • tile 100 includes “array” portion 101 , which is provided between “staircase portions” 102 a and 102 b .
  • the thin-film storage transistors of the NOR memory strings in tile 100 are formed in array portion 101 and staircase portions 102 a and 102 b allow connections through conductive vias to the common bit lines and, optionally, the common source lines also, of the NOR memory strings.
  • the Structural References disclose a scheme in which the common source lines are pre-charged to serve as virtual voltage reference source during programming, reading and erase operations, thereby obviating the need for a continuous electrical connection with the support circuitry during such operations.
  • array portion 101 and staircase portions 102 a and 102 b are not drawn to scale.
  • array portion 101 may be much larger in area than either of staircase portions 102 a and 102 b.
  • FIG. 2 a ( i ) shows a cross section in the Z-X plane of memory structure 200 after depositions of numerous material layers (discussed below), in accordance with one embodiment of the present invention.
  • a pad oxide 201 e.g., a silicon oxide
  • Etch stop layer 202 e.g., tungsten (W), tungsten nitride (WN), aluminum oxide (AlO) or aluminum nitride (AlN)
  • SiOC Silicon oxycarbide
  • active multi-layers 204 (eight in total, as shown in FIG.
  • Active multi-layers 204 each include, in order of deposition, (i) silicon nitride (SiN) layer 204 a , ( ii ) N + -doped amorphous silicon (or polysilicon) layer 204 b , (iii) sacrificial oxide layer 204 c , (iv) N + -doped amorphous silicon (or polysilicon) layer 204 d , and (v) SiN layer 204 e . Between adjacent active multi-layers is deposited a SiOC layer, indicated in FIG. 2 a ( i ) as SiOC layer 203 . Isolation SiOC layer 205 is then deposited on top of multi-layers 204 . The resulting structure is memory structure 200 of FIG. 2 .
  • FIG. 2 a ( ii ) illustrates successive recessing and etching steps to create staircase portion 102 a or 102 b of FIG. 1 , in accordance with one embodiment of the present invention.
  • the surface of memory structure 200 is patterned to form mask layer 210 , exposing a first portion of memory structure 211 , as shown in FIG. 2 a ( i )( 1 ).
  • the exposed portion of isolation SiOC layer 205 is then removed to expose a portion of active multi-layer 204 underneath. That exposed portion of active multi-layer 204 is then removed, exposing a portion of SiOC layer 203 underneath.
  • the resulting structure is shown in FIG. 2 a ( ii )( 2 ).
  • Mask layer 210 is then recessed to expose a new portion of isolation SiOC layer 205 . Removal of the exposed SiOC layers 205 and 203 , removal of active multi-layer 204 and recessing mask layer 210 are then repeated 7 more times, thereby forming staircase structure 102 a or 102 b . Thereafter, an oxide is deposited to fill the portions of active layers 240 removed. A chemical-mechanical polishing (CMP) step is carried out to remove mask layer 210 and to planarize the top surface of memory structure 200 . Conductor-filled vias may be created at a suitable subsequent time to provide connection to conductive layers in the active multi-layers 204 .
  • CMP chemical-mechanical polishing
  • array portion 101 may also be processed prior to formation of staircase structures 102 a and 102 b.
  • a hard mask layer (e.g., carbon hard mask) is deposited and photo-lithographically patterned over memory structure 200 .
  • the hard mask transfers its pattern to allow etching first group of trenches 216 in memory structure 200 .
  • Each of trenches 216 extends through isolation layers 205 and 203 , active multi-layers 204 and etch stop layer 202 .
  • trenches 216 are each 70 nm wide, with corresponding edges of adjacent trenches separated 190 nm from each other. In that embodiment, trenches 216 are etched at an aspect ratio that is less than 50 (and even less than 30).
  • a series of etching steps then recesses SiN layers 204 a and 204 e , N + doped amorphous silicon layers 204 b and 204 d and oxide layer 204 c of each active layer 204 by, for example, 10 nm. Thereafter, P ⁇ -doped amorphous silicon (or polysilicon) layer 250 is conformally deposited and etched back (i.e., a separation etch). N + amorphous silicon layers 204 b and 204 d of each active multi-layer 204 would provide the common bit line and the common source line of the thin-film transistors of a NOR memory string to be formed.
  • P ⁇ -doped amorphous silicon layer 250 would provide channel regions for the storage transistors of the NOR memory string.
  • the hard mask and excess P ⁇ -doped amorphous polysilicon layer 250 on top of memory structure 200 are then removed (e.g., by CMP).
  • Resulting memory structure 200 is shown in an X-Z plane cross section in FIG. 2 b , after separation etch of P ⁇ -doped amorphous silicon layer 250 is carried out, in accordance with one embodiment of the present invention.
  • silicon oxide 223 is deposited to fill trenches 216 , followed by removal of silicon oxide 223 on the top surface of semiconductor structure 200 and planarization (e.g., by CMP), as shown in an X-Z plane cross section in FIG. 2 c.
  • second group of trenches 218 are etched in substantially the same manner as trenches 216 , as discussed above in conjunction with FIG. 2 b .
  • Second group of trenches 218 are created between adjacent ones of trenches 216 . Since trenches 216 are oxide-filled, the material stacks between adjacent trenches have substantially the same pitch as in the etching of first group of trenches 216 , thus providing mechanical support during etching of trenches 218 .
  • Trenches 218 are performed at substantially the same aspect ratio as etching of trenches 216 . In this manner, forming the trenches in multiple groups allow each trench-forming etches to be performed within a desirable aspect ratio (e.g., less than 50).
  • FIG. 2 d shows resulting memory structure 200 in an X-Z plane cross section, after second group of trenches 218 are formed, according to one embodiment of the present invention.
  • SiN layers 204 a and 204 e of each of active multi-layers 204 may be removed using, for example, a silicon nitride wet etch.
  • FIG. 2 e shows resulting memory structure 200 in an X-Z plane cross section, after removal of SiN layers 204 a and 204 e from each of active multi-layers 204 , according to one embodiment of the present invention.
  • An atomic layer deposition (ALD) step deposits conductor material 229 (e.g., a liner of one or more of the following material—titanium, titanium nitride (TiN), tantalum (Ta), or tantalum nitride (TaN)—followed by a refractory metal (e.g., tungsten (W), tungsten nitride, or Molybdenum (Mo)) into the cavities resulting from removing SiN layers 204 a and 204 e from each of active multi-layers 204 .
  • conductor material 229 e.g., a liner of one or more of the following material—titanium, titanium nitride (TiN), tantalum (Ta), or tantalum nitride (TaN)—followed by a refractory metal (e.g., tungsten (W), tungsten nitride, or Molybdenum (Mo
  • FIG. 2 f shows resulting memory structure 200 in an X-Z plane cross section, after replacement of SiN layers 204 a and 204 e from each of active multi-layers 204 by conductive material 229 , according to one embodiment of the present invention.
  • Conductive material 229 form optional conductor layers in contact with N + amorphous silicon layers 204 b and 204 d . As N + amorphous silicon layers 204 b and 204 d of each active multi-layer 204 would become, respectively, the common bit line and the common source line of a NOR memory string to be formed, conductive material 229 reduces the resistivities in the common bit line and the common source line.
  • Conductive material 229 may be further etched to remove it from the sidewalls of trenches 218 and to be further recessed. A series of etching steps then recesses first and second N + -doped amorphous silicon layers 204 b and 204 d , and oxide layer 204 c of each active layer 204 by, for example, 10 nm.
  • FIG. 2 g shows resulting memory structure 200 in an X-Z cross section, after recessing conductive material 229 , N + amorphous semiconductor layers 204 b and 204 d and oxide layer 203 of each active multi-layer 204 , according to one embodiment of the present invention.
  • FIG. 2 h shows resulting memory structure 200 in an X-Z cross section, after deposition of channel polysilicon 250 into trenches 218 , according to one embodiment of the present invention.
  • Trenches 218 may then be filled by silicon oxide 223 and planarized in the same manner as described above in conjunction with the steps for providing silicon oxide 223 of FIG. 2 c .
  • FIG. 2 i shows resulting memory structure 200 in an X-Z cross section, after deposition of silicon oxide 223 into trenches 218 , according to one embodiment of the present invention.
  • trenches 216 and 218 are deposited into trenches 218 and planarized, no further distinction between trenches 216 and 218 is necessary in the detailed description below.
  • trenches 216 and 218 are both referred to as trenches 218 .
  • the next steps provide the storage layer and the gate electrode (“word line” or “local word line”) for each thin-film storage transistors of the NOR memory strings.
  • Hard mask 260 is provided over memory structure 200 , photolithographically patterned and developed.
  • Hard mask 260 includes columns of oval openings 261 .
  • a “column” of objects denotes objects aligned along the Y-direction
  • a “row” of objects denotes objects aligned along the X-direction.
  • FIG. 2 j ( i ) adjacent columns of openings 261 are staggered relative to each other along the X-direction, such that the closest openings in adjacent columns have a greater separation between them than if such openings are aligned in the X-direction.
  • adjacent openings within each column are located at a 110 nm pitch along the Y-direction, while adjacent columns are also provided at 110 nm pitch along the X-direction.
  • the major and minor axes of each opening may be 100 nm and 60 nm along the X-direction and the Y-direction, respectively, for example.
  • a series of etchings through openings 261 excavates corresponding shaft 263 , removing oxide layers 203 and active multi-layers 204 and reaching down to etch step layer 202 .
  • a top view and a cross section view (in an X-Z plane along line A-A′ of FIG.
  • the aspect ratio of the etch steps creating shafts 263 have an aspect ratio that is still substantially within the desirable range (e.g., less than 50).
  • silicon nitride liner 264 (e.g., 5 nm thick) is deposited conformally in shafts 263 , which are then filled by sacrificial amorphous silicon 265 .
  • Hard mask 260 is then removed and the surface of memory structure 200 is planarized (e.g., by CMP).
  • a wet etch then removes silicon oxide 223 from trenches 218 .
  • Charge-trapping layer 268 may be a multi-layer that includes:
  • the conductive material may include a metal liner (e.g., titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN)) and a refractory metal (e.g., tungsten (W), tungsten nitride (WN) or molybdenum (Mo)).
  • a metal liner e.g., titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN)
  • a refractory metal e.g., tungsten (W), tungsten nitride (WN) or molybdenum (Mo)
  • Trenches 218 can then be filled by conductive material 272 (e.g., tungsten, with an TiN adhesion layer), which forms a gate electrode (i.e., the “word line” or the “local word line”) for a storage cell in each active multi-layer 240 along the gate electrode's length.
  • conductive material 272 e.g., tungsten, with an TiN adhesion layer
  • the gate electrode may be 60 nm ⁇ 60 nm or less.
  • a planarization step e.g., CMP may be used to remove excess conductive material 272 from the top surface of memory structure 200 .
  • Cap 272 t may be provided to facilitate contact to the underlying word line and to protect charge-trapping layer 268 in subsequent processing steps.
  • the cap may be formed using additional masking, patterning, depositing (consisting of conductive material 272 ). and planarization steps.
  • Sacrificial amorphous silicon 265 may then be removed from shafts 263 and replaced by a silicon oxide to serve as the isolation between thin-film storage transistors. Excess silicon oxide on the top surface of memory structure 200 may be removed by a planarization step (e.g., CMP). Shafts 263 may also be left unfilled, allowing the air gaps to serve as the isolation between thin-film storage transistors.
  • a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 o ( i )) of resulting memory structure 200 , after sacrificial amorphous silicon 265 is removed, are shown in FIGS.
  • channel polysilicon 250 is formed early in the manufacturing process (e.g., prior to formation of shafts 263 , which is described above in conjunction with FIGS. 2 j ( i ) and 2 j ( ii ).
  • an alternative embodiment replaces channel polysilicon 250 after sacrificial amorphous silicon 265 is removed.
  • silicon nitride liner 264 is also removed.
  • a top view and a cross section view (in an X-Z plane along line A-A′ of FIG.
  • channel polysilicon 250 is removed by, for example, a wet etch.
  • P ⁇ -doped channel polysilicon 280 is then deposited into the cavities resulting from removing channel polysilicon 250 from underneath charge-trapping layer 268 , for example, and up to 10 nm on the sidewalls of shafts 263 .
  • Channel polysilicon 280 may be recessed to provide greater isolation.
  • an ALD silicon oxide liner 285 (e.g., 10 nm) is deposited into the recesses of channel polysilicon 280 and on the sidewalls of shafts 263 to provide separation.
  • a silicon oxide may be deposited into shafts 263 to serve as the isolation between thin-film storage transistors. Excess silicon oxide on the top surface of memory structure 200 may be removed by a planarization step (e.g., CMP). Shafts 263 may also be left unfilled, allowing the air gaps to serve as the isolation between thin-film storage transistors.
  • CMP planarization step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

A process for building a 3-Dimensional NOR memory array avoids the challenge of etching a conductor material that is aimed at providing local word lines at a fine pitch. The process defines the local word lines between isolation shafts that may be carried out at a lower aspect ratio than would be required for etching the conductor material.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This present application relates to and claims priority of U.S. provisional patent application (“Related Application I”), Ser. No. 63/054,750, entitled “Methods for Fabricating a 3-Dimensional Memory Structure of NOR Memory Strings,” filed on Jul. 21, 2020.
  • The present application is also related to (i) U.S. patent application (“Related Application II”), Ser. No. 16/510,610, entitled “Fabrication Method For a 3-Dimensional NOR Memory Array,” filed on Jul. 12, 2019; (ii) U.S. patent application (“related Application III”), Ser. No. 16/894,624, entitled “3-Dimensional NOR Memory Array With Very Fine Pitch: Device and Method,” filed Jun. 5, 2020; and (iii) U.S. provisional patent application (“Related Application IV”), Ser. No. 62/950,390, entitled “Process For Preparing A Channel Region Of A Thin-Film Transistor In A 3-Dimensional Thin-Film Transistor Array,” filed on Dec. 19, 2019.
  • The disclosures of Related Applications I-IV (collectively, the “Related Applications”) are hereby incorporated by reference in their entireties.
  • BACKGROUND OF THE INVENTION 1. Field of the Invention
  • The present invention relates to processes for manufacturing memory integrated circuits. In particular, the present invention relates to processes for fabricating thin-film storage transistors in a 3-dimensional memory structure formed on a planar surface of a semiconductor substrate.
  • 2. Discussion of the Related Art
  • High density memory arrays, e.g., 3-dimensional arrays of NOR memory strings (“3-D NOR memory arrays”), have been disclosed in, for example, U.S. Patent Application Publication 2017/0092371A1 (“Structural Reference I”), entitled “Capacitive-Coupled Non-Volatile Thin-film Transistor Strings in Three-Dimensional Arrays,” and U.S. Patent Application Publication 2018/0366489A1 (“Structural Reference II”), entitled “3-Dimensional NOR Memory Array Architecture and Methods for Fabrication Thereof.” The disclosures of Structural References I and II (collectively, “Structural References”) are hereby incorporated by reference in their entireties. In addition to providing high memory density and capacity, these 3-D NOR memory arrays may be operated to provide memory circuits at highly desirable speeds that rival conventional memory circuits of much lower circuit densities and significantly higher power dissipation, e.g., as dynamic random-access memories (“DRAMs”).
  • In some examples in the Structural References, a 3-D NOR memory array includes numerous stacks of NOR memory strings, with each stack having numerous NOR memory strings stacked one on top of another. In that context, a NOR memory string includes numerous storage cells that share a common drain region (“common bit line”) and a common source region (“common source line”), the storage cells being provided on one or both sides along the length of the NOR memory string. Each storage cell is controlled by a conductor (“word line” or “local word line”) that runs substantially orthogonal to the memory string. Each word line may be shared by numerous storage cells in different NOR memory strings along its length and on opposite sides of the word line. However, to achieve high density in the 3-D NOR memory array requires a fine pitch between adjacent word lines. Etching conductors (e.g., tungsten) at a high aspect ratio (e.g., 50 or greater) is a challenging task.
  • SUMMARY
  • According to one embodiment of the present invention, a process that creates a NOR memory array with word lines that are separated by a very fine pitch include (i) providing over a planar surface of a semiconductor substrate first and second semiconductor structures separated by a trench having a predetermined width along a first direction that is substantially parallel the planar surface, each semiconductor structure may include multi-layer active strips each extending lengthwise along a second direction that is substantially orthogonal to the first direction, and which are stacked one on top of another along a third direction that is substantially normal to the planar surface, adjacent multi-layer active strips being electrically isolated from each other by a layer of an isolation material, wherein each active multi-layer strip may include first and second semiconductor layers of a first conductivity type separated by a dielectric material; (ii) recessing the sidewalls of the trench at the multi-layer strips along the first direction, thereby creating recesses between two layers of isolation material; (iii) providing in the recesses a predetermined material; (iv) filling the trench with a first filler material; (v) forming first and second shafts at a predetermined distance along the second direction by removing in each shaft a portion of each multi-layer strip from each of the first and second semiconductor structures and a portion of the second isolation material in the trench; (vi) filling the first and second shafts with a second filler material; (vii) removing the first filler material from the trench between the first and second shafts; and (viii) providing a charge-trapping layer conformally on the sidewalls of the trench and filling the remainder of the trench with a conductive material.
  • The first and second semiconductor layers of each multi-layer active strip, the charge-trapping layer, the conductive material may provide, respectively, a common bit line, a common source line, a charge storage layer and a gate electrode of a thin-film storage transistor in a NOR memory string. In one embodiment, the predetermined material may be a channel polysilicon material that serves as a channel region for a thin-film storage transistor. In another embodiment, the predetermined material is replaced after the word lines are formed to the final channel material, which may be sealed with a dielectric liner (e.gt., an atomic layer deposition (ALD) silicon oxide liner).
  • The present invention avoids the challenge of etching a conductor material that is aimed at providing the local word lines at the fine pitch. Etching of the shafts that provide isolation between the thin-film storage transistors may proceed at a lower aspect ratio than would be required for etching the conductor material.
  • In one embodiment of the present invention, the first and second semiconductor layers may include N+-doped amorphous silicon or polysilicon, the third semiconductor layer may include P-doped amorphous or polysilicon, the isolation material may include silicon oxycarbide (SiOC) or silicon oxide, and the charge-trapping layer may include (i) a tunneling layer (e.g., any silicon oxide (SiOx), silicon nitride (SiN), silicon oxynitride (SiON), any aluminum oxide (AlOx), any hafnium oxide (HfOx), zirconium oxide (ZrOx), any hafnium silicon oxide (HfSixOy), any hafnium zirconium oxide (HfZrO), or any combination thereof); (ii) a charge storage layer (e.g., silicon nitride (SiN), hafnium oxide (HfO2), or hafnium silicon oxynitride (HfSiON)) and a blocking layer (e.g., any silicon oxide, aluminum oxide, or both). The conductive material may include a metal liner (e.g., titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN)) and a refractory metal (e.g., tungsten (W), tungsten nitride (WN) or molybdenum (Mo)). The first filler material may include silicon oxide.
  • According to one embodiment of the present invention a cap (e.g., tungsten) may be provided to protect the charge-trapping layer and the local word line from subsequent process steps after they are formed. The shafts may be lined with a dielectric liner (e.g., silicon nitride) prior to filling by the second filler material (e.g., silicon oxide).
  • The present invention is better understood upon consideration of the detailed description below in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic top view of modular unit (“tile”) 100 in a memory structure that includes 3-D NOR memory arrays, in accordance with one embodiment of the present invention.
  • FIG. 2 a (i) shows a cross section in the Z-X plane of memory structure 200 after depositions of numerous material layers (discussed below), in accordance with one embodiment of the present invention.
  • FIG. 2 a (ii) illustrates successive recessing and etching steps to create staircase portion 102 a or 102 b of FIG. 1 , in accordance with one embodiment of the present invention.
  • FIG. 2 b shows resulting memory structure 200 in an X-Z plane cross section, after separation etch of P-doped amorphous silicon layer 250 is carried out, in accordance with one embodiment of the present invention.
  • FIG. 2 c shows resulting memory structure 200 in an X-Z plane cross section, after silicon oxide 223 is deposited to fill trenches 216 and planarized.
  • FIG. 2 d shows resulting memory structure 200 in an X-Z plane cross section, after second group of trenches 218 are formed, according to one embodiment of the present invention.
  • FIG. 2 e shows resulting memory structure 200 in an X-Z plane cross section, after removal of SiN layers 204 a and 204 e from each active multi-layer 204, according to one embodiment of the present invention.
  • FIG. 2 f shows resulting memory structure 200 in an X-Z plane cross section, after replacement of SiN layers 204 a and 204 e from each of active multi-layers 204 by conductive material 229, according to one embodiment of the present invention.
  • FIG. 2 g shows resulting memory structure 200 in an X-Z cross section, after recessing conductive material 229, N+ amorphous semiconductor layers 204 b and 204 d and oxide layer 203 of each active multi-layer 204, according to one embodiment of the present invention.
  • FIG. 2 h shows resulting memory structure 200 in an X-Z cross section, after deposition of channel polysilicon 250 into trenches 218, according to one embodiment of the present invention.
  • FIG. 2 i shows resulting memory structure 200 in an X-Z cross section, after deposition of silicon oxide 223 into trenches 218, according to one embodiment of the present invention.
  • FIGS. 2 j (i) and 2 j(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 j (i)) of resulting memory structure 200, after shafts 263 are formed, according to one embodiment of the present invention.
  • FIGS. 2 k (i) and 2 k(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 k (i)) of resulting memory structure 200, after shafts 263 are filled by sacrificial silicon 265, according to one embodiment of the present invention.
  • FIGS. 2 l (i) and 2 l(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 l (i)) of resulting memory structure 200, after silicon oxide 223 is removed from trenches 218, according to one embodiment of the present invention.
  • FIGS. 2 m (i) and 2 m(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 m (i)) of resulting memory structure 200, after conductive material 272 is deposited into trenches 218, according to one embodiment of the present invention.
  • FIGS. 2 n (i) and 2 n(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 n (i)) of resulting memory structure 200, after cap 272 t has been formed to protect charge-trapping layer 268, according to one embodiment of the present invention.
  • FIGS. 2 o (i) and 2 o(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 o (i)) of resulting memory structure 200, after sacrificial amorphous silicon 265 is removed, according to one embodiment of the present invention.
  • FIGS. 2 p (i) and 2 p(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 p (i)) of resulting memory structure 200, after silicon nitride liner 264 is removed, according to an alternative embodiment of the present invention.
  • FIGS. 2 q (i) and 2 q(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 q (i)) of resulting memory structure 200, after channel polysilicon 250 is removed, according to an alternative embodiment of the present invention.
  • FIGS. 2 r (i) and 2 r(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 r (i)) of resulting memory structure 200, after channel polysilicon 280 is deposited, according to an alternative embodiment of the present invention.
  • FIGS. 2 s (i) and 2 s(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 s (i)) of resulting memory structure 200, after channel polysilicon 280 is recessed, according to an alternative embodiment of the present invention.
  • FIGS. 2 t (i) and 2 t(ii) show, respectively, a top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 t (i)) of resulting memory structure 200, after ALD oxide liner 285 is deposited, according to an alternative embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIG. 1 is a schematic top view of modular unit (“tile”) 100 in a memory structure that includes 3-D NOR memory arrays, in accordance with one embodiment of the present invention. Tile 100 is typically formed on a planar surface of a semiconductor substrate, such as a monocrystalline epitaxial layer of a silicon wafer. In this detailed description, to facilitate visualization of the 3-dimensional structures, a rectilinear coordinate reference frame is used, which postulates the planar surface on an X-Y plane, and a normal of the planar surface in the Z-direction orthogonal to the X-Y plane.
  • In some examples, the semiconductor substrate may include support circuitry for the 3-D NOR memory arrays formed therein or thereon underneath the 3-D NOR memory arrays. Such support circuits may include both analog and digital circuits. Some examples of such support circuits include shift registers, latches, sense amplifiers, reference cells, power supply lines, bias and reference voltage generators, inverters, NAND, NOR, Exclusive-Or and other logic gates, input/output drivers, address decoders (e.g., bit line and word line decoders), other memory elements, data encoding and decoding circuits including, for example, error detection and correction circuits), sequencers and state machines. This detailed description begins with a semiconductor substrate in which such support circuits, if any, have already been formed in a conventional manner. This detailed description and the skill of those of ordinary skill in the art inform any constraints or relevant design options imposed or made available by the process or processes carried out in the formation of the support circuit of the semiconductor substrate on the various embodiments of the present invention, and vice versa.
  • As shown in FIG. 1 , tile 100 includes “array” portion 101, which is provided between “staircase portions” 102 a and 102 b. The thin-film storage transistors of the NOR memory strings in tile 100 are formed in array portion 101 and staircase portions 102 a and 102 b allow connections through conductive vias to the common bit lines and, optionally, the common source lines also, of the NOR memory strings. (The Structural References disclose a scheme in which the common source lines are pre-charged to serve as virtual voltage reference source during programming, reading and erase operations, thereby obviating the need for a continuous electrical connection with the support circuitry during such operations.) In FIG. 1 , array portion 101 and staircase portions 102 a and 102 b are not drawn to scale. For example, array portion 101 may be much larger in area than either of staircase portions 102 a and 102 b.
  • FIG. 2 a (i) shows a cross section in the Z-X plane of memory structure 200 after depositions of numerous material layers (discussed below), in accordance with one embodiment of the present invention. Initially, a pad oxide 201 (e.g., a silicon oxide) is provided over the planar surface of the semiconductor substrate. Etch stop layer 202 (e.g., tungsten (W), tungsten nitride (WN), aluminum oxide (AlO) or aluminum nitride (AlN)) is then provided. Silicon oxycarbide (SiOC) layer 203 is then provided to isolate etch stop layer 202 from the next layer to be deposited. Thereafter, active multi-layers 204 (eight in total, as shown in FIG. 2 a (i)) are successively deposited. Active multi-layers 204 each include, in order of deposition, (i) silicon nitride (SiN) layer 204 a, (ii) N+-doped amorphous silicon (or polysilicon) layer 204 b, (iii) sacrificial oxide layer 204 c, (iv) N+-doped amorphous silicon (or polysilicon) layer 204 d, and (v) SiN layer 204 e. Between adjacent active multi-layers is deposited a SiOC layer, indicated in FIG. 2 a (i) as SiOC layer 203. Isolation SiOC layer 205 is then deposited on top of multi-layers 204. The resulting structure is memory structure 200 of FIG. 2 .
  • FIG. 2 a (ii) illustrates successive recessing and etching steps to create staircase portion 102 a or 102 b of FIG. 1 , in accordance with one embodiment of the present invention. As shown in FIG. 2 a (ii), the surface of memory structure 200 is patterned to form mask layer 210, exposing a first portion of memory structure 211, as shown in FIG. 2 a (i)(1). The exposed portion of isolation SiOC layer 205 is then removed to expose a portion of active multi-layer 204 underneath. That exposed portion of active multi-layer 204 is then removed, exposing a portion of SiOC layer 203 underneath. The resulting structure is shown in FIG. 2 a (ii)(2). Mask layer 210 is then recessed to expose a new portion of isolation SiOC layer 205. Removal of the exposed SiOC layers 205 and 203, removal of active multi-layer 204 and recessing mask layer 210 are then repeated 7 more times, thereby forming staircase structure 102 a or 102 b. Thereafter, an oxide is deposited to fill the portions of active layers 240 removed. A chemical-mechanical polishing (CMP) step is carried out to remove mask layer 210 and to planarize the top surface of memory structure 200. Conductor-filled vias may be created at a suitable subsequent time to provide connection to conductive layers in the active multi-layers 204.
  • This description describes formation of staircase structures 102 a and 102 b prior to describing in detail in the following processing of array portion 101. However, array portion 101 may also be processed prior to formation of staircase structures 102 a and 102 b.
  • At the beginning of processing array portion 101, a hard mask layer (e.g., carbon hard mask) is deposited and photo-lithographically patterned over memory structure 200. The hard mask transfers its pattern to allow etching first group of trenches 216 in memory structure 200. Each of trenches 216 extends through isolation layers 205 and 203, active multi-layers 204 and etch stop layer 202. In one embodiment, trenches 216 are each 70 nm wide, with corresponding edges of adjacent trenches separated 190 nm from each other. In that embodiment, trenches 216 are etched at an aspect ratio that is less than 50 (and even less than 30). A series of etching steps then recesses SiN layers 204 a and 204 e, N+ doped amorphous silicon layers 204 b and 204 d and oxide layer 204 c of each active layer 204 by, for example, 10 nm. Thereafter, P-doped amorphous silicon (or polysilicon) layer 250 is conformally deposited and etched back (i.e., a separation etch). N+ amorphous silicon layers 204 b and 204 d of each active multi-layer 204 would provide the common bit line and the common source line of the thin-film transistors of a NOR memory string to be formed. In one embodiment, P-doped amorphous silicon layer 250 would provide channel regions for the storage transistors of the NOR memory string. The hard mask and excess P-doped amorphous polysilicon layer 250 on top of memory structure 200 are then removed (e.g., by CMP). Resulting memory structure 200 is shown in an X-Z plane cross section in FIG. 2 b , after separation etch of P-doped amorphous silicon layer 250 is carried out, in accordance with one embodiment of the present invention.
  • Thereafter, silicon oxide 223 is deposited to fill trenches 216, followed by removal of silicon oxide 223 on the top surface of semiconductor structure 200 and planarization (e.g., by CMP), as shown in an X-Z plane cross section in FIG. 2 c.
  • Then, second group of trenches 218 are etched in substantially the same manner as trenches 216, as discussed above in conjunction with FIG. 2 b . Second group of trenches 218 are created between adjacent ones of trenches 216. Since trenches 216 are oxide-filled, the material stacks between adjacent trenches have substantially the same pitch as in the etching of first group of trenches 216, thus providing mechanical support during etching of trenches 218. Trenches 218 are performed at substantially the same aspect ratio as etching of trenches 216. In this manner, forming the trenches in multiple groups allow each trench-forming etches to be performed within a desirable aspect ratio (e.g., less than 50). FIG. 2 d shows resulting memory structure 200 in an X-Z plane cross section, after second group of trenches 218 are formed, according to one embodiment of the present invention.
  • Through trenches 218, SiN layers 204 a and 204 e of each of active multi-layers 204 may be removed using, for example, a silicon nitride wet etch. FIG. 2 e shows resulting memory structure 200 in an X-Z plane cross section, after removal of SiN layers 204 a and 204 e from each of active multi-layers 204, according to one embodiment of the present invention.
  • An atomic layer deposition (ALD) step deposits conductor material 229 (e.g., a liner of one or more of the following material—titanium, titanium nitride (TiN), tantalum (Ta), or tantalum nitride (TaN)—followed by a refractory metal (e.g., tungsten (W), tungsten nitride, or Molybdenum (Mo)) into the cavities resulting from removing SiN layers 204 a and 204 e from each of active multi-layers 204. Thereafter, an etch-back step or an anisotropic etch removes conductive material 229 from the bottom of trenches 218, leaving a substantially conformal layer on their sidewalls. FIG. 2 f shows resulting memory structure 200 in an X-Z plane cross section, after replacement of SiN layers 204 a and 204 e from each of active multi-layers 204 by conductive material 229, according to one embodiment of the present invention.
  • Conductive material 229 form optional conductor layers in contact with N+ amorphous silicon layers 204 b and 204 d. As N+ amorphous silicon layers 204 b and 204 d of each active multi-layer 204 would become, respectively, the common bit line and the common source line of a NOR memory string to be formed, conductive material 229 reduces the resistivities in the common bit line and the common source line.
  • Conductive material 229 may be further etched to remove it from the sidewalls of trenches 218 and to be further recessed. A series of etching steps then recesses first and second N+-doped amorphous silicon layers 204 b and 204 d, and oxide layer 204 c of each active layer 204 by, for example, 10 nm. FIG. 2 g shows resulting memory structure 200 in an X-Z cross section, after recessing conductive material 229, N+ amorphous semiconductor layers 204 b and 204 d and oxide layer 203 of each active multi-layer 204, according to one embodiment of the present invention.
  • Thereafter, P-doped amorphous silicon layer (“channel polysilicon”) 250 may be conformally deposited on the sidewalls of trenches 218, in substantially the same manner as described above in conjunction with FIG. 2 b . FIG. 2 h shows resulting memory structure 200 in an X-Z cross section, after deposition of channel polysilicon 250 into trenches 218, according to one embodiment of the present invention.
  • Trenches 218 may then be filled by silicon oxide 223 and planarized in the same manner as described above in conjunction with the steps for providing silicon oxide 223 of FIG. 2 c . FIG. 2 i shows resulting memory structure 200 in an X-Z cross section, after deposition of silicon oxide 223 into trenches 218, according to one embodiment of the present invention.
  • After silicon oxide 223 is deposited into trenches 218 and planarized, no further distinction between trenches 216 and 218 is necessary in the detailed description below.
  • Therefore, hereinafter, trenches 216 and 218 are both referred to as trenches 218. The next steps provide the storage layer and the gate electrode (“word line” or “local word line”) for each thin-film storage transistors of the NOR memory strings.
  • After planarization of silicon oxide 223, hard mask 260 is provided over memory structure 200, photolithographically patterned and developed. Hard mask 260 includes columns of oval openings 261. (In this description, a “column” of objects denotes objects aligned along the Y-direction, whereas a “row” of objects denotes objects aligned along the X-direction.) FIG. 2 j (i), adjacent columns of openings 261 are staggered relative to each other along the X-direction, such that the closest openings in adjacent columns have a greater separation between them than if such openings are aligned in the X-direction. In one embodiment, adjacent openings within each column are located at a 110 nm pitch along the Y-direction, while adjacent columns are also provided at 110 nm pitch along the X-direction. In this embodiment, the major and minor axes of each opening may be 100 nm and 60 nm along the X-direction and the Y-direction, respectively, for example. A series of etchings through openings 261 excavates corresponding shaft 263, removing oxide layers 203 and active multi-layers 204 and reaching down to etch step layer 202. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 j (i)) of resulting memory structure 200, after shafts 263 are formed, are shown in FIGS. 2 j (i) and 2 j(ii), respectively, according to one embodiment of the present invention. The aspect ratio of the etch steps creating shafts 263 have an aspect ratio that is still substantially within the desirable range (e.g., less than 50).
  • Next, silicon nitride liner 264 (e.g., 5 nm thick) is deposited conformally in shafts 263, which are then filled by sacrificial amorphous silicon 265. Hard mask 260 is then removed and the surface of memory structure 200 is planarized (e.g., by CMP). A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 k (i)) of resulting memory structure 200, after shafts 263 are filled by sacrificial amorphous silicon 265, are shown in FIGS. 2 k (i) and 2 k(ii), respectively, according to one embodiment of the present invention.
  • A wet etch then removes silicon oxide 223 from trenches 218. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 l (i)) of resulting memory structure 200, after silicon oxide 223 is removed from trenches 218, are shown in FIGS. 2 l (i) and 2 l(ii), respectively, according to one embodiment of the present invention.
  • Thereafter, a conformal charge-trapping layer 268 is conformally deposited on the sidewalls of trenches 218. Charge-trapping layer 268 may be a multi-layer that includes:
      • (i) a tunneling layer (e.g., any silicon oxide (SiOx), silicon nitride (SiN), silicon oxynitride (SiON), any aluminum oxide (AlOx), any hafnium oxide (HfOx), zirconium oxide (ZrOx), any hafnium silicon oxide (HfSixOy), any hafnium zirconium oxide (HfZrO), or any combination thereof);
      • (ii) a charge storage layer (e.g., silicon nitride (SiN), hafnium oxide (HfO2), or hafnium silicon oxynitride (HfSiON)) and
      • (iii) a blocking layer (e.g., any silicon oxide (SiOx), any aluminum oxide (AlOx), or both).
  • The conductive material may include a metal liner (e.g., titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN)) and a refractory metal (e.g., tungsten (W), tungsten nitride (WN) or molybdenum (Mo)).
  • a tunnel dielectric layer (e.g., silicon oxide), a storage layer (e.g., silicon nitride), and a blocking dielectric layer (e.g., silicon oxide, aluminum oxide, or both). Trenches 218 can then be filled by conductive material 272 (e.g., tungsten, with an TiN adhesion layer), which forms a gate electrode (i.e., the “word line” or the “local word line”) for a storage cell in each active multi-layer 240 along the gate electrode's length. Formed in this manner, the gate electrode may be 60 nm×60 nm or less. A planarization step (e.g., CMP) may be used to remove excess conductive material 272 from the top surface of memory structure 200. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 m (i)) of resulting memory structure 200, after conductive material 272 is deposited into trenches 218 and planarized, are shown in FIGS. 2 m (i) and 2 m(ii), respectively, according to one embodiment of the present invention.
  • Cap 272 t may be provided to facilitate contact to the underlying word line and to protect charge-trapping layer 268 in subsequent processing steps. The cap may be formed using additional masking, patterning, depositing (consisting of conductive material 272). and planarization steps. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 n (i)) of resulting memory structure 200, after cap 272 t is formed, are shown in FIGS. 2 n (i) and 2 n(ii), respectively, according to one embodiment of the present invention.
  • Sacrificial amorphous silicon 265 may then be removed from shafts 263 and replaced by a silicon oxide to serve as the isolation between thin-film storage transistors. Excess silicon oxide on the top surface of memory structure 200 may be removed by a planarization step (e.g., CMP). Shafts 263 may also be left unfilled, allowing the air gaps to serve as the isolation between thin-film storage transistors. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 o (i)) of resulting memory structure 200, after sacrificial amorphous silicon 265 is removed, are shown in FIGS. 2 o (i) and 2 o(ii), respectively, according to one embodiment of the present invention. At this point, the process for forming of a 3-dimensional NOR memory string array is substantially complete without requiring etching of conductive material 272 to form the word lines. Conventional interconnection layers, programmable switch circuits and other useful circuit may be formed above memory structure 200 in a conventional manner.
  • In the process described in detail above, channel polysilicon 250 is formed early in the manufacturing process (e.g., prior to formation of shafts 263, which is described above in conjunction with FIGS. 2 j (i) and 2 j(ii). To have a higher quality channel region in each thin-film storage transistor, an alternative embodiment replaces channel polysilicon 250 after sacrificial amorphous silicon 265 is removed. According to this alternative embodiment of the present invention, after amorphous silicon 265 is removed, silicon nitride liner 264 is also removed. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 p (i)) of resulting memory structure 200, after silicon nitride liner 264 is removed, are shown in FIGS. 2 p (i) and 2 p(ii), respectively, according to an alternative embodiment of the present invention.
  • Thereafter, channel polysilicon 250 is removed by, for example, a wet etch. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 q (i)) of resulting memory structure 200, after removal of channel polysilicon 250, are shown in FIGS. 2 q (i) and 2 q(ii), respectively, according to an alternative embodiment of the present invention.
  • P-doped channel polysilicon 280 is then deposited into the cavities resulting from removing channel polysilicon 250 from underneath charge-trapping layer 268, for example, and up to 10 nm on the sidewalls of shafts 263. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 r (i)) of resulting memory structure 200, after deposition of channel polysilicon 280, are shown in FIGS. 2 r (i) and 2 r(ii), respectively, according to an alternative embodiment of the present invention.
  • Channel polysilicon 280 may be recessed to provide greater isolation. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 s (i)) of resulting memory structure 200, after recess of channel polysilicon 280, are shown in FIGS. 2 s (i) and 2 s(ii), respectively, according to an alternative embodiment of the present invention.
  • Thereafter, an ALD silicon oxide liner 285 (e.g., 10 nm) is deposited into the recesses of channel polysilicon 280 and on the sidewalls of shafts 263 to provide separation. A top view and a cross section view (in an X-Z plane along line A-A′ of FIG. 2 t (i)) of resulting memory structure 200, after deposition of ALD oxide liner 285, are shown in FIGS. 2 t (i) and 2 t(ii), respectively, according to an alternative embodiment of the present invention.
  • A silicon oxide may be deposited into shafts 263 to serve as the isolation between thin-film storage transistors. Excess silicon oxide on the top surface of memory structure 200 may be removed by a planarization step (e.g., CMP). Shafts 263 may also be left unfilled, allowing the air gaps to serve as the isolation between thin-film storage transistors.
  • The above detailed description is provided to illustrate specific embodiments of the present invention and is not intended to be limiting. Numerous variations and modifications within the scope of the present invention are possible. The present invention is set forth in the accompanying claims.

Claims (27)

We claim:
1. A process, comprising:
providing over a planar surface of a semiconductor substrate first and second semiconductor structures that are separated by a trench with a predetermined width, as measured along a first direction that is substantially parallel the planar surface, each semiconductor structure comprising a plurality of multi-layer active strips each extending lengthwise along a second direction that is substantially orthogonal to the first direction and which are stacked one on top of another along a third direction that is substantially normal to the planar surface, wherein adjacent ones of the multi-layer active strips are electrically isolated from each other by a layer of an isolation material, and wherein each active multi-layer strip comprises first and second semiconductor layers of a first conductivity type separated by a dielectric material;
recessing the sidewalls of the trench at the multi-layer strips along the first direction, thereby creating recesses between adjacent layers of isolation material;
providing in the recesses a predetermined material;
filling the trench with a first filler material;
forming first and second shafts at a predetermined distance along the second direction by removing in each shaft a portion of each multi-layer strip from each of the first and second semiconductor structures and a portion of the second isolation material from the trench;
filling the first and second shafts with a second filler material;
removing the first filler material from the trench between the first and second shafts;
providing a charge-trapping layer conformally on the sidewalls of the trench and filling the remainder of the trench with a conductive material.
2. The process of claim 1, wherein the isolation material comprises silicon oxycarbide (SiOC).
3. The process of claim 1, wherein the charge-trapping layer comprises a tunneling layer, a charge storage layer and a blocking layer.
4. The process of claim 3, wherein the tunneling layer comprises one or more of: any silicon oxide (SiOx), silicon nitride (SiN), silicon oxynitride (SiON), any aluminum oxide (AlOx), any hafnium oxide (HfOx), zirconium oxide (ZrOx), any hafnium silicon oxide (HfSixOy), and any hafnium zirconium oxide (HfZrO)
5. The process of claim 3, wherein the blocking layer comprises one or more of: any silicon oxide (SiOx) and any aluminum oxide (AlOx).
6. The process of claim 3, wherein the charge storage layer comprises one or more of: silicon nitride (SiN), hafnium oxide (HfO2), and hafnium silicon oxynitride (HfSiON).
7. The process of claim 1, wherein the conductive material comprises a metal liner and a refractory metal.
8. The process of claim 7, wherein the metal liner comprises one or more of: titanium (Ti), titanium nitride (TiN), tantalum (Ta) and tantalum nitride (TaN).
9. The process of claim 7, wherein the refractory metal comprises one or more of: tungsten (W), tungsten nitride (WN) and molybdenum (Mo).
10. The process of claim 1, wherein the first filler material comprises silicon oxide.
11. The process of claim 1, further comprising forming a cap over both the charge-trapping layer and the conductive material in the trench.
12. The process of claim 1, wherein the predetermined material comprises a third semiconductor layer of a second conductivity type opposite the first conductivity type.
13. The process of claim 12, wherein providing a dielectric liner on the sidewalls of the first and second shafts prior to filling the shafts with the second filler material.
14. The process of claim 13, wherein the dielectric liner comprises silicon nitride.
15. The process of claim 13, wherein the second filler material comprises silicon oxide.
16. The process of claim 13, further comprising, after filling the trench with the conductive material, removing the second filler material and replacing it with the isolation material.
17. The process of claim 11, further comprising, after forming the cap, removing the second filler material from the shafts and the predetermined material, and replacing the predetermined material with a third semiconductor layer of a second conductivity type opposite the first conductivity type.
18. The process of claim 17, further comprising, after replacing the predetermined material, sealing the third semiconductor layer at the shafts with an atomic layer deposition (ALD) silicon oxide liner.
19. The process of claim 18, further comprising, after providing the ALD silicon oxide liner, filling the shaft with the isolation material.
20. The process of claim 1, wherein the first and second semiconductor layers of each multi-layer active strip, the charge-trapping layer, the conductive material provide a common bit line, a common source line, a charge storage layer and a gate electrode, respectively, of a thin-film storage transistor in a NOR memory string.
21. The process of claim 1, wherein the trench is one of a plurality of trenches created in a semiconductor structure, and wherein the trenches are formed by a plurality of high aspect ratio etches.
22. The process of claim 21, wherein each high aspect ratio etches has an aspect ratio less than 50.
23. The process of claim 1, wherein each multi-layer active strip further comprises a conductive layer adjacent and in contact with one or more of the first and second semiconductor layers.
24. The process of claim 23, wherein the conductive layers of the multi-layer active strips replace a sacrificial material that was in place prior to forming the trench.
25. The process of claim 1, further comprising providing an etch stop layer between the first and second semiconductor structures and the planar surface of the semiconductor substrate.
26. The process of claim 25, wherein the etch stop layer includes one or more of tungsten (W), tungsten nitride (WN), aluminum oxide (AlO) or aluminum nitride (AlN).
27. The process of claim 25, further comprising a pad oxide layer between the etch stop layer and the planar surface of the semiconductor substrate.
US17/382,126 2018-07-12 2021-07-21 Methods for fabricating a 3-dimensional memory structure of nor memory strings Active 2039-08-25 US11751391B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/382,126 US11751391B2 (en) 2018-07-12 2021-07-21 Methods for fabricating a 3-dimensional memory structure of nor memory strings

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862697085P 2018-07-12 2018-07-12
US16/510,610 US10741581B2 (en) 2018-07-12 2019-07-12 Fabrication method for a 3-dimensional NOR memory array
US16/914,089 US11177281B2 (en) 2018-07-12 2020-06-26 Fabrication method for a 3-dimensional NOR memory array
US202063054750P 2020-07-21 2020-07-21
US17/382,126 US11751391B2 (en) 2018-07-12 2021-07-21 Methods for fabricating a 3-dimensional memory structure of nor memory strings

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/914,089 Continuation-In-Part US11177281B2 (en) 2018-07-12 2020-06-26 Fabrication method for a 3-dimensional NOR memory array

Publications (3)

Publication Number Publication Date
US20220028886A1 US20220028886A1 (en) 2022-01-27
US20230247831A9 true US20230247831A9 (en) 2023-08-03
US11751391B2 US11751391B2 (en) 2023-09-05

Family

ID=87432988

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/382,126 Active 2039-08-25 US11751391B2 (en) 2018-07-12 2021-07-21 Methods for fabricating a 3-dimensional memory structure of nor memory strings

Country Status (1)

Country Link
US (1) US11751391B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US11751391B2 (en) 2018-07-12 2023-09-05 Sunrise Memory Corporation Methods for fabricating a 3-dimensional memory structure of nor memory strings
WO2021127218A1 (en) 2019-12-19 2021-06-24 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor
WO2022108848A1 (en) 2020-11-17 2022-05-27 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
TW202310429A (en) 2021-07-16 2023-03-01 美商日升存儲公司 3-dimensional memory string array of thin-film ferroelectric transistors
FR3141799A1 (en) * 2022-11-08 2024-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives STACKING MONOCRYSTAL LAYERS FOR THE PRODUCTION OF MICROELECTRONIC DEVICES WITH 3D ARCHITECTURE

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5583808A (en) 1994-09-16 1996-12-10 National Semiconductor Corporation EPROM array segmented for high performance and method for controlling same
US5646886A (en) 1995-05-24 1997-07-08 National Semiconductor Corporation Flash memory having segmented array for improved operation
JPH098290A (en) 1995-06-20 1997-01-10 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5768192A (en) 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
KR100242723B1 (en) 1997-08-12 2000-02-01 윤종용 Cell array structure of non-volatile semiconductor memory device and method for manufacturing thereof
US6350704B1 (en) * 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
US6040605A (en) 1998-01-28 2000-03-21 Hitachi, Ltd. Semiconductor memory device
US6107133A (en) 1998-05-28 2000-08-22 International Business Machines Corporation Method for making a five square vertical DRAM cell
JP2000200842A (en) 1998-11-04 2000-07-18 Sony Corp Non-volatile semiconductor memory device, and manufacturing and wring method thereof
US6118171A (en) 1998-12-21 2000-09-12 Motorola, Inc. Semiconductor device having a pedestal structure and method of making
JP4899241B2 (en) 1999-12-06 2012-03-21 ソニー株式会社 Nonvolatile semiconductor memory device and operation method thereof
US6362508B1 (en) 2000-04-03 2002-03-26 Tower Semiconductor Ltd. Triple layer pre-metal dielectric structure for CMOS memory devices
JP2001357682A (en) 2000-06-12 2001-12-26 Sony Corp Memory system, and its programming method
CN100358147C (en) 2000-08-14 2007-12-26 矩阵半导体公司 Dense arrays and charge storage devices, and methods for making same
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US6621725B2 (en) 2000-08-17 2003-09-16 Kabushiki Kaisha Toshiba Semiconductor memory device with floating storage bulk region and method of manufacturing the same
US6587365B1 (en) 2000-08-31 2003-07-01 Micron Technology, Inc. Array architecture for depletion mode ferroelectric memory devices
US20020193484A1 (en) 2001-02-02 2002-12-19 The 54 Group, Ltd. Polymeric resins impregnated with insect repellants
US6531727B2 (en) 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US6873004B1 (en) 2002-02-04 2005-03-29 Nexflash Technologies, Inc. Virtual ground single transistor memory cell, memory array incorporating same, and method of operation thereof
US6643159B2 (en) 2002-04-02 2003-11-04 Hewlett-Packard Development Company, L.P. Cubic memory array
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US7064018B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Methods for fabricating three dimensional integrated circuits
US6774458B2 (en) 2002-07-23 2004-08-10 Hewlett Packard Development Company, L.P. Vertical interconnection structure and methods
US6995053B2 (en) 2004-04-23 2006-02-07 Sharp Laboratories Of America, Inc. Vertical thin film transistor
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
KR100881201B1 (en) 2003-01-09 2009-02-05 삼성전자주식회사 Memory device having side gate and method of manufacturing the same
US7307308B2 (en) 2003-04-07 2007-12-11 Silicon Storage Technology, Inc. Buried bit line non-volatile floating gate memory cell with independent controllable control gate in a trench, and array thereof, and method of formation
US6754105B1 (en) 2003-05-06 2004-06-22 Advanced Micro Devices, Inc. Trench side wall charge trapping dielectric flash memory device
JP4108537B2 (en) 2003-05-28 2008-06-25 富士雄 舛岡 Semiconductor device
KR100546331B1 (en) 2003-06-03 2006-01-26 삼성전자주식회사 Multi-Port memory device with stacked banks
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP4545423B2 (en) 2003-12-09 2010-09-15 ルネサスエレクトロニクス株式会社 Semiconductor device
US7223653B2 (en) 2004-06-15 2007-05-29 International Business Machines Corporation Process for forming a buried plate
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
JP4795660B2 (en) 2004-09-29 2011-10-19 ルネサスエレクトロニクス株式会社 Semiconductor device
US7366826B2 (en) 2004-12-16 2008-04-29 Sandisk Corporation Non-volatile memory and method with multi-stream update tracking
US8314024B2 (en) 2008-12-19 2012-11-20 Unity Semiconductor Corporation Device fabrication
KR100673105B1 (en) 2005-03-31 2007-01-22 주식회사 하이닉스반도체 Vertical transistor of semiconductor device and method for forming the same
US7612411B2 (en) 2005-08-03 2009-11-03 Walker Andrew J Dual-gate device and method
KR100834396B1 (en) * 2006-12-27 2008-06-04 주식회사 하이닉스반도체 Method for forming a pattern in semiconductor device
JP2008251138A (en) 2007-03-30 2008-10-16 Toshiba Corp Nonvolatile semiconductor memory, control method of nonvolatile semiconductor memory, nonvolatile semiconductor memory system, and memory card
US7512012B2 (en) 2007-04-30 2009-03-31 Macronix International Co., Ltd. Non-volatile memory and manufacturing method and operating method thereof and circuit system including the non-volatile memory
JP5130596B2 (en) 2007-05-30 2013-01-30 国立大学法人東北大学 Semiconductor device
DE102007035251B3 (en) 2007-07-27 2008-08-28 X-Fab Semiconductor Foundries Ag Isolation ditches with different side panel doping production method for use in dig-insulating smart-power-technology for leading connection of buried endowed layers, comprises drilling narrow and broad ditch by photolithographic process
US20090157946A1 (en) 2007-12-12 2009-06-18 Siamak Arya Memory having improved read capability
US7898857B2 (en) 2008-03-20 2011-03-01 Micron Technology, Inc. Memory structure having volatile and non-volatile memory portions
US8072811B2 (en) 2008-05-07 2011-12-06 Aplus Flash Technology, Inc, NAND based NMOS NOR flash memory cell, a NAND based NMOS NOR flash memory array, and a method of forming a NAND based NMOS NOR flash memory array
JP2009301600A (en) 2008-06-10 2009-12-24 Panasonic Corp Nonvolatile semiconductor memory device, and signal processing system
WO2009154799A1 (en) 2008-06-20 2009-12-23 Aplus Flash Technology, Inc. An apparatus and method for inhibiting excess leakage current in unselected nonvolatile memory cells in an array
JP2010010349A (en) 2008-06-26 2010-01-14 Toshiba Corp Nonvolatile semiconductor storage device, and manufacturing method of the same
JP2010118580A (en) 2008-11-14 2010-05-27 Toshiba Corp Non-volatile semiconductor memory device
CN102246240A (en) 2008-12-09 2011-11-16 拉姆伯斯公司 Non-volatile memory device for concurrent and pipelined memory operations
US8178396B2 (en) 2009-03-11 2012-05-15 Micron Technology, Inc. Methods for forming three-dimensional memory devices, and related structures
US8139418B2 (en) 2009-04-27 2012-03-20 Micron Technology, Inc. Techniques for controlling a direct injection semiconductor memory device
KR101635504B1 (en) 2009-06-19 2016-07-04 삼성전자주식회사 Program method of non-volatile memory device with three-dimentional vertical channel structure
KR101584113B1 (en) 2009-09-29 2016-01-13 삼성전자주식회사 3 Three Dimensional Semiconductor Memory Device And Method Of Fabricating The Same
JP5031809B2 (en) 2009-11-13 2012-09-26 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
KR101660432B1 (en) 2010-06-07 2016-09-27 삼성전자 주식회사 Semiconductor memory device having vertical structure
JP5617487B2 (en) 2010-09-28 2014-11-05 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
KR20120085591A (en) 2011-01-24 2012-08-01 김진선 Non-volatile memory device, method of operating the same, and method of fabricating the same
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
JP2012204684A (en) 2011-03-25 2012-10-22 Toshiba Corp Nonvolatile semiconductor memory device
US9559216B2 (en) 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
US20120327714A1 (en) 2011-06-23 2012-12-27 Macronix International Co., Ltd. Memory Architecture of 3D Array With Diode in Memory String
JP5985293B2 (en) 2011-10-04 2016-09-06 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
KR20130088348A (en) 2012-01-31 2013-08-08 에스케이하이닉스 주식회사 3-dimensional non-volatile memory device
KR20130103908A (en) 2012-03-12 2013-09-25 에스케이하이닉스 주식회사 Semiconductor device with buried bit line and method for fabricating the same
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
JP2013214552A (en) 2012-03-30 2013-10-17 Toshiba Corp Semiconductor device and method for manufacturing the same
US8923050B2 (en) 2012-06-15 2014-12-30 Sandisk 3D Llc 3D memory with vertical bit lines and staircase word lines and vertical switches and methods thereof
US9054183B2 (en) 2012-07-13 2015-06-09 United Silicon Carbide, Inc. Trenched and implanted accumulation mode metal-oxide-semiconductor field-effect transistor
US8946018B2 (en) 2012-08-21 2015-02-03 Micron Technology, Inc. Methods of forming memory arrays and semiconductor constructions
JP2014053568A (en) 2012-09-10 2014-03-20 Toshiba Corp Ferroelectric memory and method of manufacturing the same
JP2014093319A (en) 2012-10-31 2014-05-19 Toshiba Corp Semiconductor device and method for manufacturing the same
US10403766B2 (en) 2012-12-04 2019-09-03 Conversant Intellectual Property Management Inc. NAND flash memory with vertical cell stack structure and method for manufacturing same
US8877586B2 (en) * 2013-01-31 2014-11-04 Sandisk 3D Llc Process for forming resistive switching memory cells using nano-particles
US8878271B2 (en) 2013-03-01 2014-11-04 Micron Technology, Inc. Vertical access device and apparatuses having a body connection line, and related method of operating the same
US9202694B2 (en) 2013-03-04 2015-12-01 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US8901631B2 (en) 2013-03-11 2014-12-02 Nanya Technology Corporation Vertical transistor in semiconductor device and method for fabricating the same
US9368625B2 (en) 2013-05-01 2016-06-14 Zeno Semiconductor, Inc. NAND string utilizing floating body memory cell
US9281044B2 (en) 2013-05-17 2016-03-08 Micron Technology, Inc. Apparatuses having a ferroelectric field-effect transistor memory array and related method
US9337210B2 (en) 2013-08-12 2016-05-10 Micron Technology, Inc. Vertical ferroelectric field effect transistor constructions, constructions comprising a pair of vertical ferroelectric field effect transistors, vertical strings of ferroelectric field effect transistors, and vertical strings of laterally opposing pairs of vertical ferroelectric field effect transistors
US8987089B1 (en) 2013-09-17 2015-03-24 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
KR102529174B1 (en) 2013-12-27 2023-05-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
KR102066743B1 (en) 2014-01-09 2020-01-15 삼성전자주식회사 Nonvolatile memory device and manufactureing the same
US9368601B2 (en) 2014-02-28 2016-06-14 Sandisk Technologies Inc. Method for forming oxide below control gate in vertical channel thin film transistor
US9520485B2 (en) 2014-05-21 2016-12-13 Macronix International Co., Ltd. 3D independent double gate flash memory on bounded conductor layer
US9673054B2 (en) 2014-08-18 2017-06-06 Micron Technology, Inc. Array of gated devices and methods of forming an array of gated devices
US9245901B1 (en) 2014-08-21 2016-01-26 Macronix International Co., Ltd. Memory device and method for fabricating the same
US10014317B2 (en) 2014-09-23 2018-07-03 Haibing Peng Three-dimensional non-volatile NOR-type flash memory
US10026771B1 (en) 2014-09-30 2018-07-17 Apple Inc. Image sensor with a cross-wafer capacitor
US9230985B1 (en) 2014-10-15 2016-01-05 Sandisk 3D Llc Vertical TFT with tunnel barrier
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9595566B2 (en) 2015-02-25 2017-03-14 Sandisk Technologies Llc Floating staircase word lines and process in a 3D non-volatile memory having vertical bit lines
US10007573B2 (en) 2015-04-27 2018-06-26 Invensas Corporation Preferred state encoding in non-volatile memories
CN106206447A (en) 2015-05-05 2016-12-07 中芯国际集成电路制造(上海)有限公司 The forming method of 3D NAND device
US10254968B1 (en) 2015-06-10 2019-04-09 Firquest Llc Hybrid memory device for lookup operations
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US20190148286A1 (en) 2015-09-21 2019-05-16 Monolithic 3D Inc. Multi-level semiconductor device and structure with memory
CN108401468A (en) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3D semiconductor devices and structure
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US10121553B2 (en) * 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
US10417098B2 (en) 2016-06-28 2019-09-17 International Business Machines Corporation File level access to block level incremental backups of a virtual disk
US10157780B2 (en) 2016-11-29 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a device having a doping layer and device formed
JP2018152419A (en) 2017-03-10 2018-09-27 東芝メモリ株式会社 Semiconductor memory device
US10374013B2 (en) 2017-03-30 2019-08-06 Sandisk Technologies Llc Methods and apparatus for three-dimensional nonvolatile memory
US10475514B2 (en) 2017-05-11 2019-11-12 The Penn State Research Foundation Nonvolatile digital computing with ferroelectric FET
US10319635B2 (en) * 2017-05-25 2019-06-11 Sandisk Technologies Llc Interconnect structure containing a metal slilicide hydrogen diffusion barrier and method of making thereof
US10692874B2 (en) 2017-06-20 2020-06-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10608008B2 (en) 2017-06-20 2020-03-31 Sunrise Memory Corporation 3-dimensional nor strings with segmented shared source regions
CN111033625B (en) 2017-06-20 2024-02-06 日升存储公司 Three-dimensional NOR memory array architecture and method of fabrication
US10460817B2 (en) 2017-07-13 2019-10-29 Qualcomm Incorporated Multiple (multi-) level cell (MLC) non-volatile (NV) memory (NVM) matrix circuits for performing matrix computations with multi-bit input vectors
US10431596B2 (en) 2017-08-28 2019-10-01 Sunrise Memory Corporation Staggered word line architecture for reduced disturb in 3-dimensional NOR memory arrays
KR102332456B1 (en) 2017-08-31 2021-12-02 마이크론 테크놀로지, 인크 A device having a memory cell having two transistors and a capacitor and having body regions of transistors coupled to a reference voltage.
US10896916B2 (en) 2017-11-17 2021-01-19 Sunrise Memory Corporation Reverse memory cell
CN115910160A (en) * 2017-12-28 2023-04-04 日升存储公司 Three-dimensional NOR memory arrays with very fine pitch: apparatus and method
US10475812B2 (en) 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
US10381378B1 (en) 2018-02-02 2019-08-13 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
US10461095B2 (en) 2018-03-28 2019-10-29 Sandisk Technologies Llc Ferroelectric non-volatile memory
US10748931B2 (en) 2018-05-08 2020-08-18 Micron Technology, Inc. Integrated assemblies having ferroelectric transistors with body regions coupled to carrier reservoirs
WO2020014655A1 (en) * 2018-07-12 2020-01-16 Sunrise Memory Corporation Fabrication method for a 3-dimensional nor memory array
US11069696B2 (en) 2018-07-12 2021-07-20 Sunrise Memory Corporation Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto
US11751391B2 (en) 2018-07-12 2023-09-05 Sunrise Memory Corporation Methods for fabricating a 3-dimensional memory structure of nor memory strings
US11488830B2 (en) 2018-08-23 2022-11-01 Applied Materials, Inc. Oxygen free deposition of platinum group metal films
TWI757635B (en) 2018-09-20 2022-03-11 美商森恩萊斯記憶體公司 Memory structure and process for staircase structures for electrically connecting multiple horizontal conductive layers of a 3-dimensional memory device
TWI713195B (en) 2018-09-24 2020-12-11 美商森恩萊斯記憶體公司 Wafer bonding in fabrication of 3-dimensional nor memory circuits and integrated circuit formed therefrom
US11404431B2 (en) 2018-12-04 2022-08-02 Sunrise Memory Corporation Methods for forming multilayer horizontal NOR-type thin-film memory strings
JP2022519537A (en) 2019-02-11 2022-03-24 サンライズ メモリー コーポレイション Applied memory circuit method as a bit line connector for a vertical thin film transistor and a vertical thin film transistor for a three-dimensional memory array.
US11251199B2 (en) 2019-12-09 2022-02-15 Sandisk Technologies Llc Three-dimensional NOR array including active region pillars and method of making the same
TW202220191A (en) 2020-07-21 2022-05-16 美商日升存儲公司 Methods for fabricating a 3-dimensional memory structure of nor memory strings

Also Published As

Publication number Publication date
US20220028886A1 (en) 2022-01-27
US11751391B2 (en) 2023-09-05

Similar Documents

Publication Publication Date Title
US11751391B2 (en) Methods for fabricating a 3-dimensional memory structure of nor memory strings
US11177281B2 (en) Fabrication method for a 3-dimensional NOR memory array
US11844217B2 (en) Methods for forming multi-layer vertical nor-type memory string arrays
US20220028876A1 (en) Methods for fabricating a 3-dimensional memory structure of nor memory strings
KR102371571B1 (en) Three-dimensional memory device with logic signal routing through memory die and methods of manufacturing the same
US20210225872A1 (en) Three-dimensional nand memory device and method of forming the same
US20200098779A1 (en) Staircase Structures for Electrically Connecting Multiple Horizontal Conductive Layers of a 3-Dimensional Memory Device
US11631690B2 (en) Three-dimensional memory device including trench-isolated memory planes and method of making the same
US11557655B2 (en) Device and method of forming with three-dimensional memory and three-dimensional logic
US11515319B2 (en) Semiconductor memory structure and manufacturing method thereof
US11276704B2 (en) Device and method of forming with three-dimensional memory and three-dimensional logic
US11737274B2 (en) Curved channel 3D memory device
WO2022020502A1 (en) Methods for fabricating a 3-dimensional memory structure of nor memory strings
US20220383953A1 (en) Three-dimensional memory structure fabricated using repeated active stack sections
US20220231040A1 (en) Vertical nor flash thin film transistor strings and fabrication thereof
US20220344364A1 (en) Methods for fabrication of 3-dimensional nor memory arrays
US20210134828A1 (en) Word line architecture for three dimensional nand flash memory

Legal Events

Date Code Title Description
AS Assignment

Owner name: SUNRISE MEMORY CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PURAYATH, VINOD;NOSHO, YOSUKE;KAMISAKA, SHOHEI;AND OTHERS;SIGNING DATES FROM 20200722 TO 20200727;REEL/FRAME:056938/0887

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: SMAL); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

FEPP Fee payment procedure

Free format text: PETITION RELATED TO MAINTENANCE FEES GRANTED (ORIGINAL EVENT CODE: PTGR); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE