US20230197573A1 - 3d semiconductor device and structure with metal layers and a connective path - Google Patents

3d semiconductor device and structure with metal layers and a connective path Download PDF

Info

Publication number
US20230197573A1
US20230197573A1 US18/109,254 US202318109254A US2023197573A1 US 20230197573 A1 US20230197573 A1 US 20230197573A1 US 202318109254 A US202318109254 A US 202318109254A US 2023197573 A1 US2023197573 A1 US 2023197573A1
Authority
US
United States
Prior art keywords
layer
transistors
level
wafer
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US18/109,254
Other versions
US11694944B1 (en
Inventor
Zvi Or-Bach
Deepak C. Sekar
Brian Cronquist
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Monolithic 3D Inc
Original Assignee
Monolithic 3D Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/441,923 external-priority patent/US8557632B1/en
Priority claimed from US14/880,276 external-priority patent/US9691869B2/en
Priority claimed from US15/622,124 external-priority patent/US9954080B2/en
Priority claimed from US15/917,629 external-priority patent/US10038073B1/en
Priority claimed from US16/004,404 external-priority patent/US10600888B2/en
Priority claimed from US16/536,606 external-priority patent/US10665695B2/en
Priority claimed from US16/852,506 external-priority patent/US11088050B2/en
Priority claimed from US17/313,986 external-priority patent/US11164811B2/en
Priority claimed from US17/492,577 external-priority patent/US11410912B2/en
Priority claimed from US17/850,819 external-priority patent/US11476181B1/en
Priority claimed from US17/941,891 external-priority patent/US11594473B2/en
Priority claimed from US18/070,422 external-priority patent/US11616004B1/en
Priority to US18/109,254 priority Critical patent/US11694944B1/en
Application filed by Monolithic 3D Inc filed Critical Monolithic 3D Inc
Priority to US18/136,336 priority patent/US11735501B1/en
Publication of US20230197573A1 publication Critical patent/US20230197573A1/en
Priority to US18/215,631 priority patent/US11881443B2/en
Application granted granted Critical
Publication of US11694944B1 publication Critical patent/US11694944B1/en
Priority to US18/534,433 priority patent/US20240128165A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66704Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • H01L29/66901Unipolar field-effect transistors with a PN junction gate, i.e. JFET with a PN homojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/732Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B80/00Assemblies of multiple devices comprising at least one memory device covered by this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6835Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during build up manufacturing of active devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0623Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13062Junction field-effect transistor [JFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/1615Shape
    • H01L2924/16152Cap comprising a cavity for hosting the device, e.g. U-shaped cap
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • H10B63/845Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays the switching components being connected to a common vertical conductor

Definitions

  • This application relates to the general field of Integrated Circuit (IC) devices and fabrication methods, and more particularly to multilayer or Three Dimensional Integrated Circuit (3D IC) devices and fabrication methods.
  • IC Integrated Circuit
  • 3D IC Three Dimensional Integrated Circuit
  • Performance enhancements and cost reductions in generations of electronic device technology has generally been achieved by reducing the size of the device, resulting in an enhancement in device speed and a reduction in the area of the device, and hence, its cost. This may be generally referred to as ‘device scaling’.
  • the dominant electronic device technology in use today may be the Metal-Oxide-Semiconductor field effect transistor (MOSFET) technology.
  • MOSFET Metal-Oxide-Semiconductor field effect transistor
  • 3D ICs three dimensional integrated circuits
  • 3D integration would provide shorter interconnect wiring and hence improved performance, lower power consumption, and higher density devices.
  • TSV Thru Silicon Vias
  • SOI Silicon On Insulator
  • Another approach may be to monolithically build transistors on top of a wafer of interconnected transistors.
  • the utility of this approach may be limited by the requirement to maintain the reliability of the high performance lower layer interconnect metallization, such as, for example, aluminum and copper, and low-k intermetal dielectrics, and hence limits the allowable temperature exposure to below approximately 400° C.
  • Some of the processing steps to create useful transistor elements may require temperatures above about 700° C., such as activating semiconductor doping or crystallization of a previously deposited amorphous material such as silicon to create a poly-crystalline silicon (polysilicon or poly) layer. It may be very difficult to achieve high performance transistors with only low temperature processing and without mono-crystalline silicon channels. However, this approach may be useful to construct memory devices where the transistor performance may not be critical.
  • Sang-Yun Lee in U.S. Pat. No. 7,052,941 discloses methods to construct vertical transistors by preprocessing a single crystal silicon wafer with doping layers activated at high temperature, layer transferring the wafer to another wafer with preprocessed circuitry and metallization, and then forming vertical transistors from those doping layers with low temperature processing, such as etching silicon.
  • This may be less than satisfactory as the semiconductor devices in the market today utilize horizontal or horizontally oriented transistors and it would be very difficult to convince the industry to move away from the horizontal. Additionally, the transistor performance may be less than satisfactory as a result from large parasitic capacitances and resistances in the vertical structures, and the lack of self-alignment of the transistor gate.
  • a key technology for 3D IC construction may be layer transfer, whereby a thin layer of a silicon wafer, called the donor wafer, may be transferred to another wafer, called the acceptor wafer, or target wafer.
  • the transfer of a thin (about tens of microns to tens of nanometers) layer of mono-crystalline silicon at low temperatures (below approximately 400° C.) may be performed with low temperature direct oxide-oxide bonding, wafer thinning, and surface conditioning. This process is called “Smart Stacking” by Soitec (Crolles, France).
  • the “SmartCut” process is a well understood technology used for fabrication of SOI wafers.
  • the “SmartCut” process employs a hydrogen implant to enable cleaving of the donor wafer after the layer transfer.
  • SiGen Silicon Genesis Corporation, San Jose, Calif.
  • a room temperature wafer bonding process utilizing ion-beam preparation of the wafer surfaces in a vacuum has been recently demonstrated by Mitsubishi Heavy Industries Ltd., Tokyo, Japan. This process allows room temperature layer transfer.
  • TSV Through-silicon via
  • Monolithic 3D technology With this approach, multiple layers of transistors and wires can be monolithically constructed.
  • Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,406,670, 9,460,978, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,799,761, 9,871,034, 9,953,870, 9,953,994, 10,014,292, 10,014,
  • Electro-Optics There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031, 9,941,319, 10,679,977, 10,943,934, 10,998,374, 11,063,071, and 11,133,344.
  • 3D technology may enable some very innovative IC alternatives with reduced development costs, increased yield, and other illustrative benefits.
  • the invention may be directed to multilayer or Three Dimensional Integrated Circuit (3D IC) devices and fabrication methods.
  • 3D IC Three Dimensional Integrated Circuit
  • a 3D semiconductor device including: a first level including a plurality of first metal layers; a second level, where the second level overlays the first level, where the second level includes at least one single crystal silicon layer, where the second level includes a plurality of transistors, where each transistor of the plurality of transistors includes a single crystal channel, where the second level includes a plurality of second metal layers, where the plurality of second metal layers include interconnections between the transistors of the plurality of transistors, and where the second level is overlaid by a first isolation layer; and a connective path between the plurality of transistors and the plurality of first metal layers, where the connective path includes a via disposed through at least the single crystal silicon layer, and where the via includes contact with at least one of the plurality of transistors.
  • a 3D semiconductor device including: a first level including a plurality of first metal layers; a second level, where the second level overlays the first level, where the second level includes at least one single crystal silicon layer, where the second level includes a plurality of transistors, where each transistor of the plurality of transistors includes a single crystal channel, where the second level includes a plurality of second metal layers, where the plurality of second metal layers include interconnections between the transistors of the plurality of transistors, and where the second level is overlaid by a first isolation layer; and a connective path between the plurality of transistors and the plurality of first metal layers, where the connective path includes a via disposed through at least the single crystal silicon layer, where the via is part of connective path between at least one of the transistors to at least one of the first metal layers, and where the transistors of the plurality of transistors are aligned to the first metal layers with a less than 40 nm alignment error.
  • a 3D semiconductor device including: a first level including a plurality of first metal layers; a second level, where the second level overlays the first level, where the second level includes at least one single crystal silicon layer, where the second level includes a plurality of transistors, where each transistor of the plurality of transistors includes a single crystal channel, where the second level includes a plurality of second metal layers, where the plurality of second metal layers include interconnections between the transistors of the plurality of transistors, and where the second level is overlaid by a first isolation layer; and a connective path between the plurality of transistors and the plurality of first metal layers, where the connective path includes a via disposed through at least the single crystal silicon layer, and where the device include plurality of capacitors.
  • a 3D semiconductor device including: a first level including a single crystal silicon layer and a plurality of first transistors, the plurality of first transistors each including a single crystal channel; a first metal layer overlaying the plurality of first transistors; a second metal layer overlaying the first metal layer; a third metal layer overlaying the second metal layer; a second level, where the second level overlays the first level, where the second level includes a plurality of second transistors; a fourth metal layer overlaying the second level; and a connective path between the fourth metal layer and either the third metal layer or the second metal layer, where the connective path includes a via disposed through the second level, where the via has a diameter of less than 500 nm and greater than 5 nm, and where the third metal layer is connected to provide a power or ground signal to at least one of the plurality of second transistors.
  • a 3D semiconductor device including: a first level comprising a single crystal silicon layer and a plurality of first transistors, said plurality of first transistors each comprising a single crystal channel; a first metal layer overlaying said plurality of first transistors; a second metal layer overlaying said first metal layer; a third metal layer overlaying said second metal layer; a second level, wherein said second level overlays said first level, wherein said second level comprises a plurality of second transistors; a fourth metal layer overlaying said second level; a connective path between said fourth metal layer and said third metal layer or said second metal layer, wherein said connective path comprises a via disposed through said second level, wherein said via has a diameter of less than 500 nm and greater than 5 nm, wherein at least one of said plurality of second transistors is vertically oriented, and wherein said third metal layer is connected to provide a power or a ground signal to at least one of said plurality of second transistors.
  • a 3D semiconductor device including: a first level comprising a single crystal silicon layer and plurality of first transistors, said plurality of first transistors each comprising a single crystal channel; a first metal layer overlaying said plurality of first transistors; a second metal layer overlaying said first metal layer; a third metal layer overlaying said second metal layer; a second level, wherein said second level overlays said first level, wherein said second level comprises a plurality of second transistors; a fourth metal layer overlaying said second level; and a connective path between said fourth metal layer and said third metal layer or said second metal layer, wherein said connective path comprises a via disposed through said second level, wherein said via has a diameter of less than 500 nm and greater than 5 nm, wherein at least one of said plurality of second transistors comprises a metal gate, and wherein said third metal layer is connected to provide a power or a ground signal to at least one of said plurality of second transistors.
  • FIG. 1 is an exemplary drawing illustration of a layer transfer process flow
  • FIGS. 2 A- 2 H are exemplary drawing illustrations of the preprocessed wafers and layers and generalized layer transfer
  • FIGS. 3 A- 3 D are exemplary drawing illustrations of a generalized layer transfer process flow
  • FIG. 4 is an exemplary drawing illustration and a transistor characteristic graph of a junction-less transistor
  • FIGS. 5 A- 5 B are exemplary device simulations of a junction-less transistor
  • FIGS. 6 A- 6 K , 6 K 1 , 6 K 2 , and 6 L are exemplary drawing illustrations of the formation of a floating body DRAM transistor and device
  • FIGS. 7 A- 7 J , 7 J 1 , 7 J 2 , and 7 K are exemplary drawing illustrations of the formation of a resistive memory transistor and device
  • FIGS. 8 A- 8 J , 8 J 1 , 8 J 2 , and 8 K are exemplary drawing illustrations of the formation of a resistive memory transistor and device
  • FIG. 9 is a block diagram representation of an exemplary mobile computing device (MCD).
  • FIGS. 10 A- 10 G are exemplary drawing illustrations of the formation of a charge trap memory transistor, array and device.
  • FIG. 11 A- 11 J are exemplary drawing illustrations of the formation of a junction-less transistor.
  • process flows for building devices may be a sequence of steps for building a device. These process flows, which may be a sequence of steps for building a device, may have many structures, numerals and labels that may be common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in the previous steps' figures.
  • acceptor substrate 100 which may be a preprocessed CMOS silicon wafer, or a partially processed CMOS, or other prepared silicon or semiconductor substrate.
  • CMOS may include n-type transistors and p-type transistors.
  • Acceptor substrate 100 may include elements such as, for example, transistors, alignment marks, metal layers, and metal connection strips. The metal layers may be utilized to interconnect the transistors.
  • the acceptor substrate may also be called target wafer.
  • the acceptor substrate 100 may be prepared for oxide to oxide wafer bonding by a deposition of an oxide 102 , and the acceptor substrate surface 104 may be made ready for low temperature bonding by various surface treatments, such as, for example, an RCA pre-clean that may include dilute ammonium hydroxide or hydrochloric acid, and may include plasma surface preparations, wherein gases such as oxygen, argon, and other gases or combinations of gases and plasma energies that changes the oxide surfaces so to lower the oxide to oxide bonding energy. In addition, polishes may be employed to achieve satisfactory flatness.
  • an RCA pre-clean that may include dilute ammonium hydroxide or hydrochloric acid
  • plasma surface preparations wherein gases such as oxygen, argon, and other gases or combinations of gases and plasma energies that changes the oxide surfaces so to lower the oxide to oxide bonding energy.
  • polishes may be employed to achieve satisfactory flatness.
  • a donor wafer or substrate 110 may be prepared for cleaving by an implant or implants of atomic species, such as, for example, Hydrogen and Helium, to form a layer transfer demarcation plane 199 , shown as a dashed line.
  • Layer transfer demarcation plane 199 may be formed before or after other processing on the donor wafer or substrate 110 .
  • the donor wafer or substrate 110 may be prepared for oxide to oxide wafer bonding by a deposition of an oxide 112 , and the donor wafer surface 114 may be made ready for low temperature bonding by various surface treatments, such as, for example, an RCA pre-clean that may include dilute ammonium hydroxide or hydrochloric acid, and may include plasma surface preparations, wherein gases such as oxygen, argon, and other gases or combinations of gases and plasma energies that change the oxide surfaces so to lower the oxide to oxide bonding energy. In addition, polishes may be employed to achieve satisfactory flatness.
  • the donor wafer or substrate 110 may have prefabricated layers, structures, alignment marks, transistors or circuits.
  • Donor wafer or substrate 110 may be bonded to acceptor substrate 100 , or target wafer, by bringing the donor wafer surface 114 in physical contact with acceptor substrate surface 104 , and then applying mechanical force and/or thermal annealing to strengthen the oxide to oxide bond. Alignment of the donor wafer or substrate 110 with the acceptor substrate 100 may be performed immediately prior to the wafer bonding. Acceptable bond strengths may be obtained with bonding thermal cycles that do not exceed approximately 400° C.
  • the donor wafer or substrate 110 may be cleaved at or near the layer transfer demarcation plane 199 and removed leaving transferred layer 120 bonded and attached to acceptor substrate 100 , or target wafer.
  • the cleaving may be accomplished by various applications of energy to the layer transfer demarcation plane, such as, for example, a mechanical strike by a knife, or jet of liquid or jet of air, or by local laser heating, or other suitable cleaving methods that propagate a fracture or separation approximately at the layer transfer demarcation plane 199 .
  • the transferred layer 120 may be polished chemically and mechanically to provide a suitable surface for further processing.
  • the transferred layer 120 may be of thickness approximately 200 nm or less to enable formation of nanometer sized thru layer vias and create a high density of interconnects between the donor wafer and acceptor wafer.
  • the thinner the transferred layer 120 the smaller the thru layer via diameter obtainable, as a result of maintaining manufacturable via aspect ratios.
  • the transferred layer 120 may be, for example, less than about 2 microns thick, less than about 1 micron thick, less than about 0.4 microns thick, less than about 200 nm thick, less than about 150 nm thick, or less than about 100 nm thick.
  • the thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable lithographic resolution capability of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers.
  • the donor wafer or substrate 110 may now also be processed and reused for more layer transfers.
  • Transferred layer 120 may then be further processed to create a monolithic layer of interconnected devices 120 ′ and the formation of thru layer vias (TLVs, or through-layer vias) to electrically couple (connection path) donor wafer circuitry with acceptor wafer circuitry.
  • TLVs thru layer vias
  • Alignment marks in acceptor substrate 100 and/or in transferred layer 120 may be utilized to contact transistors and circuitry in transferred layer 120 and electrically couple them to transistors and circuitry in the acceptor substrate 100 .
  • an implanted atomic species such as, for example, Hydrogen or Helium or a combination
  • a cleaving plane such as, for example, layer transfer demarcation plane 199
  • the subsequent cleaving at or near the cleaving plane as described above may be referred to in this document as “ion-cut”, and may be the typically illustrated layer transfer method.
  • the thickness of the TLVs may be, for example, less than about 2 microns thick, less than about 1 micron thick, less than about 0.4 microns thick, less than about 200 nm thick, less than about 150 nm thick, or less than about 100 nm thick.
  • TLVs may be constructed mostly out of electrically conductive materials including, for example, copper, aluminum, conductive carbon, or tungsten.
  • Barrier metals including, for example, TiN and TaN, may be utilized to form TLVs.
  • FIG. 1 is exemplary only and are not drawn to scale.
  • a heavily doped (greater than 1e20 atoms/cm3) boron layer or a silicon germanium (SiGe) layer may be utilized as an etch stop layer either within the ion-cut process flow, wherein the layer transfer demarcation plane may be placed within the etch stop layer or into the substrate material below, or the etch stop layers may be utilized without an implant cleave or ion-cut process and the donor wafer may be preferentially etched away until the etch stop layer may be reached.
  • the IBM's layer transfer method employs a SOI technology and utilizes glass handle wafers.
  • the donor circuit may be high-temperature processed on an SOI wafer, temporarily bonded to a borosilicate glass handle wafer, backside thinned by chemical mechanical polishing of the silicon and then the Buried Oxide (BOX) may be selectively etched off.
  • the now thinned donor wafer may be subsequently aligned and low-temperature oxide-to-oxide bonded to the acceptor wafer topside.
  • a low temperature release of the glass handle wafer from the thinned donor wafer may be next performed, and then thru layer via (or layer to layer) connections may be made.
  • ELO epitaxial liftoff
  • P. Demeester, et. al, of IMEC in Semiconductor Science Technology 1993 may be utilized for layer transfer.
  • ELO makes use of the selective removal of a very thin sacrificial layer between the substrate and the layer structure to be transferred.
  • the to-be-transferred layer of GaAs or silicon may be adhesively ‘rolled’ up on a cylinder or removed from the substrate by utilizing a flexible carrier, such as, for example, black wax, to bow up the to-be-transferred layer structure when the selective etch, such as, for example, diluted Hydrofluoric (HF) Acid, etches the exposed release layer, such as, for example, the silicon oxide in SOI or a layer of AlAs. After liftoff, the transferred layer may be then aligned and bonded to the desired acceptor substrate or wafer.
  • a flexible carrier such as, for example, black wax
  • ELTRAN epitaxial Layer TRANsfer from porous silicon.
  • ELTRAN may be utilized as a layer transfer method.
  • the Electrochemical Society Meeting abstract No. 438 from year 2000 and the JSAP International July 2001 paper show a seed wafer being anodized in an HF/ethanol solution to create pores in the top layer of silicon, the pores may be treated with a low temperature oxidation and then high temperature hydrogen annealed to seal the pores.
  • Epitaxial silicon may then be deposited on top of the porous silicon and then oxidized to form the SOI BOX.
  • the seed wafer may be bonded to a handle wafer and the seed wafer may be split off by high pressure water directed at the porous silicon layer.
  • the porous silicon may then be selectively etched off leaving a uniform silicon layer.
  • FIG. 2 A is a drawing illustration of a generalized preprocessed wafer or layer 200 .
  • the wafer or layer 200 may have preprocessed circuitry, such as, for example, logic circuitry, microprocessors, circuitry including transistors of various types, and other types of digital or analog circuitry including, but not limited to, the various embodiments described herein.
  • Preprocessed wafer or layer 200 may have preprocessed metal interconnects, such as, for example, of copper or aluminum.
  • the preprocessed metal interconnects such as, for example, metal strips pads, or lines, may be designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 200 to the layer or layers to be transferred.
  • FIG. 2 B is a drawing illustration of a generalized transfer layer 202 prior to being attached to preprocessed wafer or layer 200 .
  • Preprocessed wafer or layer 200 may be called a target wafer or acceptor substrate.
  • Transfer layer 202 may be attached to a carrier wafer or substrate during layer transfer.
  • Transfer layer 202 may have metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 200 .
  • Transfer layer 202 which may also be called the second semiconductor layer, may include mono-crystalline silicon, or doped mono-crystalline silicon layer or layers, or other semiconductor, metal (including such as aluminum or copper interconnect layers), and insulator materials, layers; or multiple regions of single crystal silicon, or mono-crystalline silicon, or dope mono-crystalline silicon, or other semiconductor, metal, or insulator materials.
  • a preprocessed wafer that can withstand subsequent processing of transistors on top at high temperatures may be a called the “Foundation” or a foundation wafer, layer or circuitry.
  • the terms ‘mono-crystalline silicon’ and ‘single crystal silicon’ may be used interchangeably.
  • FIG. 2 C is a drawing illustration of a preprocessed wafer or layer 200 A created by the layer transfer of transfer layer 202 on top of preprocessed wafer or layer 200 .
  • the top of preprocessed wafer or layer 200 A may be further processed with metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 200 A to the next layer or layers to be transferred.
  • FIG. 2 D is a drawing illustration of a generalized transfer layer 202 A prior to being attached to preprocessed wafer or layer 200 A.
  • Transfer layer 202 A may be attached to a carrier wafer or substrate during layer transfer.
  • Transfer layer 202 A may have metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 200 A.
  • Transfer layer 202 A may include mono-crystalline silicon, or doped mono-crystalline silicon layer or layers, or other semiconductor, metal, and insulator materials, layers; or multiple regions of single crystal silicon, or mono-crystalline silicon, or dope mono-crystalline silicon, or other semiconductor, metal, or insulator materials.
  • FIG. 2 E is a drawing illustration of a preprocessed wafer or layer 200 B created by the layer transfer of transfer layer 202 A on top of preprocessed wafer or layer 200 A. Transfer layer 202 A may also be called the third semiconductor layer.
  • the top of preprocessed wafer or layer 200 B may be further processed with metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 200 B to the next layer or layers to be transferred.
  • FIG. 2 F is a drawing illustration of a generalized transfer layer 202 B prior to being attached to preprocessed wafer or layer 200 B.
  • Transfer layer 202 B may be attached to a carrier wafer or substrate during layer transfer.
  • Transfer layer 202 B may have metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 200 B.
  • Transfer layer 202 B may include mono-crystalline silicon, or doped mono-crystalline silicon layer or layers, or other semiconductor, metal, and insulator materials, layers; or multiple regions of single crystal silicon, or mono-crystalline silicon, or dope mono-crystalline silicon, or other semiconductor, metal, or insulator materials.
  • FIG. 2 G is a drawing illustration of preprocessed wafer or layer 200 C created by the layer transfer of transfer layer 202 B on top of preprocessed wafer or layer 200 B.
  • the top of preprocessed wafer or layer 200 C may be further processed with metal interconnect, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 200 C to the next layer or layers to be transferred.
  • FIG. 2 H is a drawing illustration of preprocessed wafer or layer 200 C, a 3D IC stack, which may include transferred layers 202 A and 202 B on top of the original preprocessed wafer or layer 200 .
  • Transferred layers 202 A and 202 B and the original preprocessed wafer or layer 200 may include transistors of one or more types in one or more layers, metallization such as, for example, copper or aluminum in one or more layers, interconnections to and among layers above and below (connection paths, such as TLVs or TSVs), and interconnections within the layer.
  • the transistors may be of various types that may be different from layer to layer or within the same layer.
  • the transistors may be in various organized patterns.
  • the transistors may be in various pattern repeats or bands.
  • the transistors may be in multiple layers involved in the transfer layer.
  • the transistors may be, for example, junction-less transistors or recessed channel transistors or other types of transistors described in this document.
  • Transferred layers 202 A and 202 B and the original preprocessed wafer or layer 200 may further include semiconductor devices such as, for example, resistors and capacitors and inductors, one or more programmable interconnects, memory structures and devices, sensors, radio frequency devices, or optical interconnect with associated transceivers.
  • semiconductor devices such as, for example, resistors and capacitors and inductors, one or more programmable interconnects, memory structures and devices, sensors, radio frequency devices, or optical interconnect with associated transceivers.
  • carrier wafer or carrier substrate may also be called holder wafer or holder substrate.
  • This layer transfer process can be repeated many times, thereby creating preprocessed wafers that may include many different transferred layers which, when combined, can then become preprocessed wafers or layers for future transfers.
  • This layer transfer process may be sufficiently flexible that preprocessed wafers and transfer layers, if properly prepared, can be flipped over and processed on either side with further transfers in either direction as a matter of design choice.
  • FIGS. 2 A through 2 H are exemplary only and are not drawn to scale.
  • the preprocessed wafer or layer 200 may act as a base or substrate layer in a wafer transfer flow, or as a preprocessed or partially preprocessed circuitry acceptor wafer in a wafer transfer process flow.
  • the invention is to be limited only by the appended claims.
  • the high-k metal gate structure may be formed as follows. Following an industry standard HF/SC1/SC2 cleaning to create an atomically smooth surface, a high-k dielectric may be deposited.
  • the semiconductor industry has chosen Hafnium-based dielectrics as the leading material of choice to replace SiO 2 and Silicon oxynitride.
  • the Hafnium-based family of dielectrics includes hafnium oxide and hafnium silicate/hafnium silicon oxynitride.
  • Hafnium oxide, HfO 2 may have a dielectric constant twice as much as that of hafnium silicate/hafnium silicon oxynitride (HfSiO/HfSiON k ⁇ 15).
  • the choice of the metal may be critical for the device to perform properly.
  • a metal replacing N + poly as the gate electrode may need to have a work function of approximately 4.2 eV for the device to operate properly and at the right threshold voltage.
  • a metal replacing P + poly as the gate electrode may need to have a work function of approximately 5.2 eV to operate properly.
  • a low temperature gate stack may be formed with a gate oxide formed by a microwave oxidation technique, such as, for example, the TEL SPA (Tokyo Electron Limited Slot Plane Antenna) oxygen radical plasma, that grows or deposits a low temperature Gate Dielectric to serve as the MOSFET gate oxide, or an atomic layer deposition (ALD) deposition technique may be utilized.
  • a metal gate of proper work function such as, for example, aluminum or tungsten, or low temperature doped amorphous silicon gate electrode, may then be deposited.
  • Transistors constructed in this document can be considered “planar transistors” when the current flow in the transistor channel may be substantially in the horizontal direction.
  • the horizontal direction may be defined as the direction being parallel to the largest area of surface of the substrate or wafer that the transistor may be built or layer transferred onto. These transistors can also be referred to as horizontal transistors, horizontally oriented transistors, or lateral transistors.
  • the horizontal transistor may be constructed in a two-dimensional plane where the source and the drain are in the same two dimensional horizontal plane.
  • An embodiment of the invention is to pre-process a donor wafer by forming wafer sized layers of various materials without a process temperature restriction, then layer transferring the pre-processed donor wafer to the acceptor wafer, and processing at either low temperature (below approximately 400° C.) or high temperature (greater than approximately 400° C.) after the layer transfer to form device structures, such as, for example, transistors and metal interconnect, on or in the donor wafer that may be physically aligned and may be electrically coupled or connected to the acceptor wafer.
  • a wafer sized layer denotes a continuous layer of material or combination of materials that may extend across the wafer to substantially the full extent of the wafer edges and may be approximately uniform in thickness. If the wafer sized layer compromises dopants, then the dopant concentration may be substantially the same in the x and y direction across the wafer, but can vary in the z direction perpendicular to the wafer surface.
  • a generalized process flow may begin with a donor wafer 300 that may be preprocessed with wafer sized layers 302 of conducting, semi-conducting or insulating materials that may be formed by deposition, ion implantation and anneal, oxidation, epitaxial growth, combinations of above, or other semiconductor processing steps and methods.
  • the donor wafer 300 may be preprocessed with a layer transfer demarcation plane (shown as dashed line) 399 , such as, for example, a hydrogen implant cleave plane, before or after layers 302 are formed.
  • Acceptor wafer 310 may be a preprocessed wafer that may have fully functional circuitry including metal layers (including aluminum or copper metal interconnect layers that may connect acceptor wafer 310 transistors) or may be a wafer with previously transferred layers, or may be a blank carrier or holder wafer, or other kinds of substrates suitable for layer transfer processing. Acceptor wafer 310 may have alignment marks 390 and metal connect pads or strips 380 . Acceptor wafer 310 and the donor wafer 300 may be a bulk mono-crystalline silicon wafer or a Silicon On Insulator (SOI) wafer or a Germanium on Insulator (GeOI) wafer.
  • SOI Silicon On Insulator
  • GaOI Germanium on Insulator
  • Both bonding surfaces 301 and 311 may be prepared for wafer bonding by depositions, polishes, plasma, or wet chemistry treatments to facilitate successful wafer to wafer bonding.
  • the donor wafer 300 with layers 302 and layer transfer demarcation plane 399 may then be flipped over, aligned, and bonded to the acceptor wafer 310 .
  • the donor wafer 300 with layers 302 may have alignment marks (not shown).
  • the donor wafer 300 may be cleaved at or thinned to the layer transfer demarcation plane 399 , leaving a portion of the donor wafer 300 ′ and the pre-processed layers 302 bonded to the acceptor wafer 310 , by methods such as, for example, ion-cut or other layer transfer methods.
  • Donor wafer device structures 350 may include, for example, CMOS transistors such as N type and P type transistors, or any of the other transistor or device types discussed herein this document. These donor wafer device structures 350 may utilize thru layer vias (TLVs) 360 to electrically couple (connection paths) the donor wafer device structures 350 to the acceptor wafer metal connect pads or strips 380 . TLVs 360 may be formed through the transferred layers 302 .
  • TLVs thru layer vias
  • the TLVs may be easily manufactured as a typical metal to metal via may be, and said TLV may have state of the art diameters such as nanometers or tens to a few hundreds of nanometers, such as, for example about 150 nm or about 100 nm or about 50 nm.
  • the thinner the transferred layers 302 the smaller the thru layer via diameter obtainable, which may result from maintaining manufacturable via aspect ratios.
  • the transferred layers 302 may be, for example, less than about 2 microns thick, less than about 1 micron thick, less than about 0.4 microns thick, less than about 200 nm thick, less than about 150 nm thick, or less than about 100 nm thick.
  • the thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable obtainable lithographic resolution, such as, for example, less than about 10 nm, 14 nm, 22 nm or 28 nm linewidth resolution and alignment capability, such as, for example, less than about 5 nm, 10 nm, 20 nm, or 40 nm alignment accuracy/precision/error, of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers.
  • Transferred layers 302 may be considered to be overlying the metal layer or layers of acceptor wafer 310 .
  • Alignment marks in acceptor substrate 310 and/or in transferred layers 302 may be utilized to enable reliable contact to transistors and circuitry in transferred layers 302 and donor wafer device structures 350 and electrically couple them to the transistors and circuitry in the acceptor substrate 310 .
  • the donor wafer 300 may now also be processed and reused for more layer transfers.
  • a transistor or other devices may be formed to enable a 3D IC.
  • junction-less Transistors are another transistor family that may utilize layer transfer and etch definition to construct a low-temperature monolithic 3D IC.
  • the junction-less transistor structure avoids the increasingly sharply graded junctions necessary for sufficient separation between source and drain regions as silicon technology scales. This allows the JLT to have a thicker gate oxide than a conventional MOSFET for an equivalent performance.
  • the junction-less transistor may also be known as a nanowire transistor without junctions, or gated resistor, or nanowire transistor as described in a paper by Jean-Pierre Colinge, et. al., (Colinge) published in Nature Nanotechnology on Feb. 21, 2010.
  • the junction-less transistor may be constructed whereby the transistor channel may be a thin solid piece of evenly and heavily doped single crystal silicon.
  • Single crystal silicon may also be referred to as mono-crystalline silicon.
  • the doping concentration of the channel underneath the gate 406 and gate dielectric 408 may be identical to that of the source 404 and drain 402 .
  • the channel must be thin and narrow enough to allow for full depletion of the carriers when the device may be turned off. Additionally, the channel doping must be high enough to allow a reasonable current to flow when the device may be on.
  • a multi-sided gate may provide increased control of the channel.
  • the JLT may have a very small channel area (typically less than about 20 nm on one or more sides), so the gate can deplete the channel of charge carriers at approximately 0V and turn the source to drain current substantially off. I-V curves from Colinge of n channel and p channel junction-less transistors are shown in FIG. 4 . This illustrates that the JLT can obtain comparable performance to the tri-gate transistor (junction-ed) that may be commonly researched and reported by transistor developers.
  • the channel may be doped unevenly; whereby the heaviest doping may be closest to the gate or gates and the channel doping may be lighter farther away from the gate electrode.
  • the cross-sectional center of a 2, 3, or 4 gate sided junction-less transistor channel may be more lightly doped than the edges. This may enable much lower transistor off currents for the same gate work function and control.
  • drain to source current (Ids) as a function of the gate voltage (Vg) for various junction-less transistor channel doping levels may be simulated where the total thickness of the n-type channel may be about 20 nm.
  • the y-axis of FIG. 5 A is plotted as logarithmic and FIG. 5 B as linear. Two of the four curves in each figure correspond to evenly doping the 20 nm channel thickness to 1E17 and 1E18 atoms/cm3, respectively. The remaining two curves show simulation results where the 20 nm channel has two layers of 10 nm thickness each.
  • the first number corresponds to the 10 nm portion of the channel that is the closest to the gate electrode.
  • FIG. 5 B illustrates that at a Vg of 1 volt, the Ids of both doping patterns are within a few percent of each other.
  • the junction-less transistor channel may be constructed with even, graded, or discrete layers of doping.
  • the channel may be constructed with materials other than doped mono-crystalline silicon, such as, for example, poly-crystalline silicon, or other semi-conducting, insulating, or conducting material, such as, for example, graphene or other graphitic material, and may be in combination with other layers of similar or different material.
  • the center of the channel may include a layer of oxide, or of lightly doped silicon, and the edges more heavily doped single crystal silicon. This may enhance the gate control effectiveness for the off state of the resistor, and may increase the on-current as a result of strain effects on the other layer or layers in the channel.
  • Strain techniques may be employed from covering and insulator material above, below, and surrounding the transistor channel and gate.
  • Lattice modifiers may be employed to strain the silicon, such as, for example, an embedded SiGe implantation and anneal.
  • the cross section of the transistor channel may be rectangular, circular, or oval shaped, to enhance the gate control of the channel.
  • the donor wafer may be rotated with respect to the acceptor wafer prior to bonding to facilitate the creation of the P-channel in the ⁇ 110> silicon plane direction or may include other silicon crystal orientations such as ⁇ 511>.
  • 3D memory device structures may also be constructed in layers of mono-crystalline silicon and utilize pre-processing a donor wafer by forming wafer sized layers of various materials without a process temperature restriction, then layer transferring the pre-processed donor wafer to the acceptor wafer, followed by some processing steps, and repeating this procedure multiple times, and then processing with either low temperature (below approximately 400° C.) or high temperature (greater than approximately 400° C.) after the final layer transfer to form memory device structures, such as, for example, transistors, capacitors, resistors, or memristors, on or in the multiple transferred layers that may be physically aligned and may be electrically coupled to the acceptor wafer.
  • pre-processing a donor wafer by forming wafer sized layers of various materials without a process temperature restriction, then layer transferring the pre-processed donor wafer to the acceptor wafer, followed by some processing steps, and repeating this procedure multiple times, and then processing with either low temperature (below approximately 400° C.) or high temperature (greater
  • Novel monolithic 3D Dynamic Random Access Memories may be constructed in the above manner. Some embodiments of the invention utilize the floating body DRAM type.
  • a horizontally-oriented monolithic 3D DRAM that utilizes zero additional masking steps per memory layer by sharing mask steps after substantially all the layers have been transferred may be constructed that may be suitable for 3D IC manufacturing.
  • a silicon substrate with peripheral circuitry 602 may be constructed with high temperature (greater than approximately 400° C.) resistant wiring, such as, for example, Tungsten.
  • the peripheral circuitry substrate 602 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory.
  • the peripheral circuitry substrate 602 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance.
  • RTA rapid-thermal-anneal
  • the peripheral circuits may be formed such that they have not been subjected to a weak RTA or no RTA for activating dopants in anticipation of anneals later in the process flow.
  • the top surface of the peripheral circuitry substrate 602 may be prepared for oxide wafer bonding with a deposition of a silicon oxide layer 604 , thus forming acceptor wafer 614 .
  • a mono-crystalline silicon donor wafer 612 may be processed to include a wafer sized layer of P ⁇ doping (not shown) which may have a different dopant concentration than the P ⁇ substrate 606 .
  • the P ⁇ doping layer may be formed by ion implantation and thermal anneal.
  • a screen oxide layer 608 may be grown or deposited prior to the implant to protect the silicon from implant contamination and to provide an oxide surface for later wafer to wafer bonding.
  • a layer transfer demarcation plane 610 (shown as a dashed line) may be formed in donor wafer 612 within the P ⁇ substrate 606 or the P ⁇ doping layer (not shown) by hydrogen implantation or other methods as previously described.
  • Both the donor wafer 612 and acceptor wafer 614 may be prepared for wafer bonding as previously described and then bonded at the surfaces of oxide layer 604 and oxide layer 608 , for example, at a low temperature (less than approximately 400° C.) for lowest stresses, or a moderate temperature (less than approximately 900° C.).
  • the portion of the P ⁇ layer (not shown) and the P ⁇ substrate 606 that may be above the layer transfer demarcation plane 610 may be removed by cleaving and polishing, or other processes as previously described, such as, for example, ion-cut or other methods, thus forming the remaining mono-crystalline silicon P ⁇ layer 606 ′.
  • Remaining P ⁇ layer 606 ′ and oxide layer 608 have been layer transferred to acceptor wafer 614 .
  • the top surface of P ⁇ layer 606 ′ may be chemically or mechanically polished smooth and flat.
  • transistors or portions of transistors may be formed and aligned to the acceptor wafer 614 alignment marks (not shown).
  • Oxide layer 620 may be deposited to prepare the surface for later oxide to oxide bonding. This now forms the first Si/SiO2 layer 623 which includes silicon oxide layer 620 , P ⁇ layer 606 ′, and oxide layer 608 .
  • additional Si/SiO2 layers such as, for example, second Si/SiO2 layer 625 and third Si/SiO2 layer 627 , may each be formed as described in FIGS. 6 A to 6 C .
  • Oxide layer 629 may be deposited to electrically isolate the top silicon layer.
  • oxide layer 629 , third Si/SiO2 layer 627 , second Si/SiO2 layer 625 and first Si/SiO2 layer 623 may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which may include regions of P ⁇ silicon 616 and oxide 622 .
  • a gate dielectric and gate electrode material may be deposited, planarized with a chemical mechanical polish (CMP), and then lithographically defined and plasma/RIE etched to form gate dielectric regions 628 which may either be self-aligned to and substantially covered by gate electrodes 630 (shown), or substantially cover the entire silicon/oxide multi-layer structure.
  • CMP chemical mechanical polish
  • the gate stack including gate electrode 630 and gate dielectric regions 628 may be formed with a gate dielectric, such as, for example, thermal oxide, and a gate electrode material, such as, for example, poly-crystalline silicon.
  • the gate dielectric may be an atomic layer deposited (ALD) material that may be paired with a work function specific gate metal in the industry standard high k metal gate process schemes described previously. Further, the gate dielectric may be formed with a rapid thermal oxidation (RTO), a low temperature oxide deposition or low temperature microwave plasma oxidation of the silicon surfaces and then a gate electrode such as, for example, tungsten or aluminum may be deposited.
  • ALD atomic layer deposited
  • N+ silicon regions 626 may be formed in a self-aligned manner to the gate electrodes 630 by ion implantation of an N type species, such as, for example, Arsenic, into the portions of P ⁇ silicon regions 616 that may not be blocked by the gate electrodes 630 . This forms remaining regions of P ⁇ silicon 617 (not shown) in the gate electrode 630 blocked areas.
  • N type species such as, for example, Arsenic
  • Different implant energies or angles, or multiples of each, may be utilized to place the N type species into each layer of P ⁇ silicon regions 616 .
  • Spacers (not shown) may be utilized during this multi-step implantation process and layers of silicon present in different layers of the stack may have different spacer widths to account for the differing lateral straggle of N type species implants.
  • Bottom layers such as, for example, first Si/SiO2 layer 623 , could have larger spacer widths than top layers, such as, for example, third Si/SiO2 layer 627 .
  • angular ion implantation with substrate rotation may be utilized to compensate for the differing implant straggle.
  • the top layer implantation may have a steeper angle than perpendicular to the wafer surface and hence land ions slightly underneath the gate electrode 630 edges and closely match a more perpendicular lower layer implantation which may land ions slightly underneath the gate electrode 630 edge as a result of the straggle effects of the greater implant energy necessary to reach the lower layer.
  • a rapid thermal anneal may be conducted to activate the dopants in substantially all of the memory layers 623 , 625 , 627 and in the peripheral circuitry substrate 602 .
  • RTA rapid thermal anneal
  • optical anneals such as, for example, a laser based anneal, may be performed.
  • the entire structure may be substantially covered with a gap fill oxide 632 , which be planarized with chemical mechanical polishing.
  • the oxide 632 is shown transparent in the figure for clarity.
  • Word-line regions (WL) 650 coupled with and composed of gate electrodes 630 , and source-line regions (SL) 652 , composed of indicated N+ silicon regions 626 , are shown.
  • bit-line (BL) contacts 634 may be lithographically defined, etched with plasma/RIE, photoresist removed, and then metal, such as, for example, copper, aluminum, or tungsten, may be deposited to fill the contact and etched or polished to the top of oxide 632 .
  • Each BL contact 634 may be shared among substantially all layers of memory, shown as three layers of memory in FIG. 6 I .
  • a thru layer via (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor wafer 614 peripheral circuitry via an acceptor wafer metal connect pad (not shown).
  • BL metal lines 636 may be formed and connect to the associated BL contacts 634 .
  • Contacts and associated metal interconnect lines may be formed for the WL and SL at the memory array edges.
  • FIGS. 6 K , 6 K 1 and 6 K 2 cross section cut II of FIG. 6 K is shown in FIG. 6 K 1
  • cross section cut III of FIG. 6 K is shown in FIG. 6 K 2
  • BL metal lines 636 , oxide 632 , BL contact 634 , WL regions 650 , gate dielectric regions 628 , N+ silicon regions 626 , P ⁇ silicon regions 617 , and peripheral circuitry substrate 602 are shown in FIG. 6 K 1 .
  • the BL contact 634 couples to one side of the three levels of floating body transistors that may include two N+ silicon regions 626 in each level with their associated P ⁇ silicon region 617 .
  • BL metal lines 636 , oxide 632 , gate electrode 630 , gate dielectric regions 628 , P ⁇ silicon regions 617 , interlayer oxide region (‘ox’), and peripheral circuitry substrate 602 are shown in FIG. 6 K 2 .
  • the gate electrode 630 may be common to substantially all six P ⁇ silicon regions 617 and forms six two-sided gated floating body transistors.
  • a single exemplary floating body two gate transistor on the first Si/SiO2 layer 623 may include P ⁇ silicon region 617 (functioning as the floating body transistor channel), N+ silicon regions 626 (functioning as source and drain), and two gate electrodes 630 with associated gate dielectric regions 628 .
  • the transistor may be electrically isolated from beneath by oxide layer 608 .
  • This flow enables the formation of a horizontally-oriented monolithic 3D DRAM that utilizes zero additional masking steps per memory layer and may be constructed by layer transfers of wafer sized doped mono-crystalline silicon layers and may be connected to an underlying multi-metal layer semiconductor device.
  • FIGS. 6 A through 6 L are exemplary only and are not drawn to scale.
  • the transistors may be of another type such as RCATs, or junction-less.
  • the contacts may utilize doped poly-crystalline silicon, or other conductive materials.
  • the stacked memory layer may be connected to a periphery circuit that may be above the memory stack.
  • each gate of the double gate 3D DRAM can be independently controlled for increased control of the memory cell.
  • Novel monolithic 3D memory technologies utilizing material resistance changes may be constructed in a similar manner.
  • resistance-based memories including phase change memory, Metal Oxide memory, resistive RAM (RRAM), memristors, solid-electrolyte memory, ferroelectric RAM, and MRAM. Background information on these resistive-memory types is given in “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development , vol. 52, no. 4.5, pp. 449-464, July 2008 by Burr, G. W., et al. The contents of this document are incorporated in this specification by reference.
  • a resistance-based zero additional masking steps per memory layer 3D memory may be constructed that may be suitable for 3D IC manufacturing.
  • This 3D memory utilizes junction-less transistors and may have a resistance-based memory element in series with a select or access transistor.
  • a silicon substrate with peripheral circuitry 702 may be constructed with high temperature (greater than approximately 400° C.) resistant wiring, such as, for example, Tungsten.
  • the peripheral circuitry substrate 702 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory.
  • the peripheral circuitry substrate 702 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance.
  • RTA rapid-thermal-anneal
  • the peripheral circuits may be formed such that they have not been subjected to a weak RTA or no RTA for activating dopants in anticipation of anneals later in the process flow.
  • the top surface of the peripheral circuitry substrate 702 may be prepared for oxide wafer bonding with a deposition of a silicon oxide layer 704 , thus forming acceptor wafer 714 .
  • a mono-crystalline silicon donor wafer 712 may be processed to include a wafer sized layer of N+ doping (not shown) which may have a different dopant concentration than the N+ substrate 706 .
  • the N+ doping layer may be formed by ion implantation and thermal anneal.
  • a screen oxide layer 708 may be grown or deposited prior to the implant to protect the silicon from implant contamination and to provide an oxide surface for later wafer to wafer bonding.
  • a layer transfer demarcation plane 710 (shown as a dashed line) may be formed in donor wafer 712 within the N+ substrate 706 or the N+ doping layer (not shown) by hydrogen implantation or other methods as previously described.
  • Both the donor wafer 712 and acceptor wafer 714 may be prepared for wafer bonding as previously described and then bonded at the surfaces of oxide layer 704 and oxide layer 708 , for example, at a low temperature (less than approximately 400° C.) for lowest stresses, or a moderate temperature (less than approximately 900° C.).
  • the portion of the N+ layer (not shown) and the N+ wafer substrate 706 that may be above the layer transfer demarcation plane 710 may be removed by cleaving and polishing, or other processes as previously described, such as, for example, ion-cut or other methods, thus forming the remaining mono-crystalline silicon N+ layer 706 ′.
  • Remaining N+ layer 706 ′ and oxide layer 708 have been layer transferred to acceptor wafer 714 .
  • the top surface of N+ layer 706 ′ may be chemically or mechanically polished smooth and flat.
  • transistors or portions of transistors may be formed and aligned to the acceptor wafer 714 alignment marks (not shown).
  • Oxide layer 720 may be deposited to prepare the surface for later oxide to oxide bonding. This now forms the first Si/SiO2 layer 723 which includes silicon oxide layer 720 , N+ silicon layer 706 ′, and oxide layer 708 .
  • additional Si/SiO2 layers such as, for example, second Si/SiO2 layer 725 and third Si/SiO2 layer 727 , may each be formed as described in FIGS. 7 A to 7 C .
  • Oxide layer 729 may be deposited to electrically isolate the top N+ silicon layer.
  • oxide layer 729 , third Si/SiO2 layer 727 , second Si/SiO2 layer 725 and first Si/SiO2 layer 723 may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which now includes regions of N+ silicon 726 and oxide 722 .
  • a gate dielectric and gate electrode material may be deposited, planarized with a chemical mechanical polish (CMP), and then lithographically defined and plasma/RIE etched to form gate dielectric regions 728 which may either be self-aligned to and substantially covered by gate electrodes 730 (shown), or substantially cover the entire N+ silicon 726 and oxide 722 multi-layer structure.
  • the gate stack including gate electrodes 730 and gate dielectric regions 728 may be formed with a gate dielectric, such as, for example, thermal oxide, and a gate electrode material, such as, for example, poly-crystalline silicon.
  • the gate dielectric may be an atomic layer deposited (ALD) material that may be paired with a work function specific gate metal in the industry standard high k metal gate process schemes described previously. Further, the gate dielectric may be formed with a rapid thermal oxidation (RTO), a low temperature oxide deposition or low temperature microwave plasma oxidation of the silicon surfaces and then a gate electrode such as, for example, tungsten or aluminum may be deposited.
  • ALD atomic layer deposited
  • the entire structure may be substantially covered with a gap fill oxide 732 , which may be planarized with chemical mechanical polishing.
  • the oxide 732 is shown transparent in the figure for clarity.
  • Word-line regions (WL) 750 coupled with and composed of gate electrodes 730 , and source-line regions (SL) 752 , composed of N+ silicon regions 726 , are shown.
  • bit-line (BL) contacts 734 may be lithographically defined, etched with plasma/RIE through oxide 732 , the three N+ silicon regions 726 , and associated oxide vertical isolation regions to connect substantially all memory layers vertically, and photoresist removed.
  • Resistance change material 738 such as, for example, hafnium oxide, may then be deposited, for example, with atomic layer deposition (ALD).
  • the electrode for the resistance change memory element may then be deposited by ALD to form the electrode/BL contact 734 .
  • the excess deposited material may be polished to planarity at or below the top of oxide 732 .
  • Each BL contact 734 with resistive change material 738 may be shared among substantially all layers of memory, shown as three layers of memory in FIG. 7 H .
  • BL metal lines 736 may be formed and connect to the associated BL contacts 734 with resistive change material 738 .
  • Contacts and associated metal interconnect lines may be formed for the WL and SL at the memory array edges.
  • a thru layer via (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor wafer 714 peripheral circuitry via an acceptor wafer metal connect pad (not shown).
  • FIGS. 7 J , 7 J 1 and 7 J 2 cross section cut II of FIG. 7 J is shown in FIG. 7 J 1
  • cross section cut III of FIG. 7 J is shown in FIG. 7 J 2
  • BL metal lines 736 , oxide 732 , BL contact/electrode 2534 , resistive change material 738 , WL regions 750 , gate dielectric regions 728 , N+ silicon regions 726 , and peripheral circuitry substrate 702 are shown in FIG. 7 J 1 .
  • the BL contact/electrode 2534 couples to one side of the three levels of resistive change material 738 .
  • the other side of the resistive change material 738 may be coupled to N+ regions 726 .
  • BL metal lines 736 , oxide 732 , gate electrodes 730 , gate dielectric regions 728 , N+ silicon regions 726 , interlayer oxide region (‘ox’), and peripheral circuitry substrate 702 are shown in FIG. 7 J 2 .
  • the gate electrode 730 may be common to substantially all six N+ silicon regions 726 and forms six two-sided gated junction-less transistors as memory select transistors.
  • a single exemplary two-sided gated junction-less transistor on the first Si/SiO2 layer 723 may include N+ silicon region 726 (functioning as the source, drain, and transistor channel), and two gate electrodes 730 with associated gate dielectric regions 728 .
  • the transistor may be electrically isolated from beneath by oxide layer 708 .
  • This flow enables the formation of a resistance-based multi-layer or 3D memory array with zero additional masking steps per memory layer, which utilizes junction-less transistors and may have a resistance-based memory element in series with a select transistor, and may be constructed by layer transfers of wafer sized doped mono-crystalline silicon layers, and this 3D memory array may be connected to an underlying multi-metal layer semiconductor device.
  • FIGS. 7 A through 7 K are exemplary only and are not drawn to scale.
  • the transistors may be of another type such as RCATs.
  • doping of each N+ layer may be slightly different to compensate for interconnect resistances.
  • the stacked memory layer may be connected to a periphery circuit that may be above the memory stack.
  • each gate of the double gate 3D resistance based memory can be independently controlled for increased control of the memory cell.
  • a resistance-based 3D memory with zero additional masking steps per memory layer may be constructed with methods that may be suitable for 3D IC manufacturing.
  • This 3D memory utilizes poly-crystalline silicon junction-less transistors that may have either a positive or a negative threshold voltage and may have a resistance-based memory element in series with a select or access transistor.
  • a layer of N+ doped poly-crystalline or amorphous silicon 806 may be deposited.
  • the amorphous silicon or poly-crystalline silicon layer 806 may be deposited using a chemical vapor deposition process, such as, for example, LPCVD or PECVD, or other process methods, and may be deposited doped with N+ dopants, such as, for example, Arsenic or Phosphorous, or may be deposited un-doped and subsequently doped with, such as, for example, ion implantation or PLAD (PLasma Assisted Doping) techniques.
  • Silicon Oxide 820 may then be deposited or grown. This now forms the first Si/SiO2 layer 823 which includes N+ doped poly-crystalline or amorphous silicon layer 806 and silicon oxide layer 820 .
  • additional Si/SiO2 layers such as, for example, second Si/SiO2 layer 825 and third Si/SiO2 layer 827 , may each be formed as described in FIG. 8 B .
  • Oxide layer 829 may be deposited to electrically isolate the top N+ doped poly-crystalline or amorphous silicon layer.
  • a Rapid Thermal Anneal may be conducted to crystallize the N+ doped poly-crystalline silicon or amorphous silicon layers 806 of first Si/SiO2 layer 823 , second Si/SiO2 layer 825 , and third Si/SiO2 layer 827 , forming crystallized N+ silicon layers 816 .
  • Temperatures during this RTA may be as high as approximately 800° C.
  • an optical anneal such as, for example, a laser anneal, could be performed alone or in combination with the RTA or other annealing processes.
  • oxide layer 829 , third Si/SiO2 layer 827 , second Si/SiO2 layer 825 and first Si/SiO2 layer 823 may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which now includes multiple layers of regions of crystallized N+ silicon 826 (previously crystallized N+ silicon layers 816 ) and oxide 822 .
  • a gate dielectric and gate electrode material may be deposited, planarized with a chemical mechanical polish (CMP), and then lithographically defined and plasma/RIE etched to form gate dielectric regions 828 which may either be self-aligned to and substantially covered by gate electrodes 830 (shown), or substantially cover the entire crystallized N+ silicon regions 826 and oxide regions 822 multi-layer structure.
  • the gate stack may include gate electrodes 830 and gate dielectric regions 828 , and may be formed with a gate dielectric, such as, for example, thermal oxide, and a gate electrode material, such as, for example, poly-crystalline silicon.
  • the gate dielectric may be an atomic layer deposited (ALD) material that may be paired with a work function specific gate metal in the industry standard high k metal gate process schemes described previously. Further, the gate dielectric may be formed with a rapid thermal oxidation (RTO), a low temperature oxide deposition or low temperature microwave plasma oxidation of the silicon surfaces and then a gate electrode such as, for example, tungsten or aluminum may be deposited.
  • ALD atomic layer deposited
  • the entire structure may be substantially covered with a gap fill oxide 832 , which may be planarized with chemical mechanical polishing.
  • the oxide 832 is shown transparently in the figure for clarity.
  • Word-line regions (WL) 850 coupled with and composed of gate electrodes 830 , and source-line regions (SL) 852 , composed of crystallized N+ silicon regions 826 , are shown.
  • bit-line (BL) contacts 834 may be lithographically defined, etched with plasma/RIE through oxide 832 , the three crystallized N+ silicon regions 826 , and associated oxide vertical isolation regions to connect substantially all memory layers vertically, and photoresist removed.
  • Resistance change material 838 such as, for example, hafnium oxides or titanium oxides, may then be deposited, for example, with atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • the electrode for the resistance change memory element may then be deposited by ALD to form the electrode/BL contact 834 .
  • the excess deposited material may be polished to planarity at or below the top of oxide 832 .
  • Each BL contact 834 with resistive change material 838 may be shared among substantially all layers of memory, shown as three layers of memory in FIG. 8 H .
  • BL metal lines 836 may be formed and connect to the associated BL contacts 834 with resistive change material 838 .
  • Contacts and associated metal interconnect lines may be formed for the WL and SL at the memory array edges.
  • Thru layer vias may be formed to electrically couple the BL, SL, and WL metallization to the acceptor substrate peripheral circuitry via acceptor wafer metal connect pads (not shown).
  • FIGS. 8 J , 8 J 1 and 8 J 2 cross section cut II of FIG. 8 J is shown in FIG. 8 J 1
  • cross section cut III of FIG. 8 J is shown in FIG. 8 J 2
  • BL metal line 836 , oxide 832 , BL contact/electrode 4934 , resistive change material 838 , WL regions 850 , gate dielectric regions 828 , crystallized N+ silicon regions 826 , and peripheral circuitry substrate 802 are shown in FIG. 8 J 1 .
  • the BL contact/electrode 4934 couples to one side of the three levels of resistive change material 838 .
  • the other side of the resistive change material 838 may be coupled to crystallized N+ regions 826 .
  • BL metal lines 836 , oxide 832 , gate electrode 830 , gate dielectric regions 828 , crystallized N+ silicon regions 826 , interlayer oxide region (‘ox’), and peripheral circuitry substrate 802 are shown in FIG. 8 J 2 .
  • the gate electrode 830 may be common to substantially all six crystallized N+ silicon regions 826 and forms six two-sided gated junction-less transistors as memory select transistors.
  • a single exemplary two-sided gated junction-less transistor on the first Si/SiO2 layer 823 may include crystallized N+ silicon region 826 (functioning as the source, drain, and transistor channel), and two gate electrodes 830 with associated gate dielectric regions 828 .
  • the transistor may be electrically isolated from beneath by oxide layer 808 .
  • This flow enables the formation of a resistance-based multi-layer or 3D memory array with zero additional masking steps per memory layer, which utilizes poly-crystalline silicon junction-less transistors and may have a resistance-based memory element in series with a select transistor, and may be constructed by layer transfers of wafer sized doped poly-crystalline silicon layers, and this 3D memory array may be connected to an underlying multi-metal layer semiconductor device.
  • FIGS. 8 A through 8 K are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, the RTAs and/or optical anneals of the N+ doped poly-crystalline or amorphous silicon layers 806 as described for FIG. 8 D may be performed after each Si/SiO2 layer is formed in FIG. 8 C . Additionally, N+ doped poly-crystalline or amorphous silicon layers 806 may be doped P+, or with a combination of dopants and other polysilicon network modifiers to enhance the RTA or optical annealing and subsequent crystallization and lower the N+ silicon layer 816 resistivity.
  • each crystallized N+ layer may be slightly different to compensate for interconnect resistances.
  • each gate of the double gated 3D resistance based memory may be independently controlled for increased control of the memory cell.
  • Some embodiments of the invention may include alternative techniques to build IC (Integrated Circuit) devices including techniques and methods to construct 3D IC systems. Some embodiments of the invention may enable device solutions with far less power consumption than prior art. These device solutions could be very useful for the growing application of mobile electronic devices and mobile systems such as, for example, mobile phones, smart phone, and cameras, those mobile systems may also connect to the internet. For example, incorporating the 3D IC semiconductor devices according to some embodiments of the invention within these mobile electronic devices and mobile systems could provide superior mobile units that could operate much more efficiently and for a much longer time than with prior art technology.
  • Smart mobile systems may be greatly enhanced by complex electronics at a limited power budget.
  • the 3D technology described in the multiple embodiments of the invention would allow the construction of low power high complexity mobile electronic systems. For example, it would be possible to integrate into a small form function a complex logic circuit with high density high speed memory utilizing some of the 3D DRAM embodiments of the invention and add some non-volatile 3D NAND charge trap or RRAM described in some embodiments of the invention.
  • the 3D image sensor described in the Ser. No. 12/903,862 application would be very effective for day/night and multi-spectrum surveillance applications.
  • the 3D image sensor could be supported by integrated logic and memory such as, for example, a monolithic 3D IC with a combination of image processing and image compression logic and memory, both high speed memory such as 3D DRAM and high density non-volatile memory such as 3D NAND or RRAM or other memory, and other combinations.
  • This street corner camera application would require low power, low cost, and low size or any combination of these features, and could be highly benefitted from the 3D technologies described herein.
  • 3D ICs according to some embodiments of the invention could enable electronic and semiconductor devices with much a higher performance as a result from the shorter interconnect as well as semiconductor devices with far more complexity via multiple levels of logic and providing the ability to repair or use redundancy.
  • the achievable complexity of the semiconductor devices according to some embodiments of the invention could far exceed what may be practical with the prior art technology.
  • Some embodiments of the invention may enable the design of state of the art electronic systems at a greatly reduced non-recurring engineering (NRE) cost by the use of high density 3D FPGAs or various forms of 3D array based ICs with reduced custom masks as described herein. These systems could be deployed in many products and in many market segments. Reduction of the NRE may enable new product family or application development and deployment early in the product lifecycle by lowering the risk of upfront investment prior to a market being developed. The above potential advantages may also be provided by various mixes such as reduced NRE using generic masks for layers of logic and other generic masks for layers of memories and building a very complex system using the repair technology to overcome the inherent yield difficulties.
  • NRE non-recurring engineering
  • Another form of mix could be building a 3D FPGA and add on it 3D layers of customizable logic and memory so the end system could have field programmable logic on top of the factory customized logic.
  • An end system could benefit from a memory devices utilizing the 3D memory of some embodiments of the invention together with high performance 3D FPGA of some of the embodiments of the invention together with high density 3D logic and so forth.
  • Using devices that can use one or multiple elements according to some embodiments of the invention may allow for increased performance or lower power and other potential advantages resulting from the use of some embodiments of the inventions to provide the end system with a competitive edge.
  • Such end system could be electronic based products or other types of systems that may include some level of embedded electronics, such as, for example, cars and remote controlled vehicles.
  • the mobile wireless terminal device has become part of our life, as well as the Internet, and the mobile wireless terminal device may continue to have a more important role on a worldwide basis.
  • the mobile phone network is a network such as a GSM, GPRS, or WCDMA, 3G and 4G standards, and the network may allow mobile phones to communicate with each other.
  • the base station may be for transmitting (and receiving) information to the mobile phone.
  • a typical mobile phone system may include, for example, a processor, a flash memory, a static random access memory, a display, a removable memory, a radio frequency (RF) receiver/transmitter, an analog base band (ABB), a digital base band (DBB), an image sensor, a high-speed bi-directional interface, a keypad, a microphone, and a speaker.
  • RF radio frequency
  • ABB analog base band
  • DBB digital base band
  • a typical mobile phone system may include a multiplicity of an element, for example, two or more static random access memories, two or more displays, two or more RF receiver/transmitters, and so on.
  • radios used in wireless communications typically may include several discrete RF circuit components.
  • Some receiver architectures may employ superhetrodyne techniques.
  • a superhetrodyne architecture an incoming signal may be frequency translated from its radio frequency (RF) to a lower intermediate frequency (IF).
  • the signal at IF may be subsequently translated to baseband where further digital signal processing or demodulation may take place.
  • Receiver designs may have multiple IF stages. The reason for using such a frequency translation scheme is that circuit design at the lower IF frequency may be more manageable for signal processing. It is at these IF frequencies that the selectivity of the receiver may be implemented, automatic gain control (AGC) may be introduced, etc.
  • AGC automatic gain control
  • GSM Global System for Mobile communications
  • GPRS General Packet Radio Service
  • EDGE Enhanced Data for GSM Evolution
  • 4th Generation (4G) mobile systems aim to provide broadband wireless access with nominal data rates of 100 Mbit/s.
  • 4G systems may be based on the 3GPP LTE (Long Term Evolution) cellular standard, WiMax or Flash-OFDM wireless metropolitan area network technologies.
  • the radio interface in these systems may be based on all-IP packet switching, MIMO diversity, multi-carrier modulation schemes, Dynamic Channel Assignment (DCA) and channel-dependent scheduling.
  • DCA Dynamic Channel Assignment
  • MCD Mobile computing/communication device
  • MCD is a device that may be a mobile communication device, such as a cell phone, or a mobile computer that performs wired and/or wireless communication via a connected wireless/wired network.
  • the MCD may include a combination of the functionality associated with both types of devices within a single standard device (e.g., a smart phones or personal digital assistant (PDA)) for use as both a communication device and a computing device.
  • PDA personal digital assistant
  • MCD 900 may be a desktop computer, a portable computing device, such as a laptop, personal digital assistant (PDA), a smart phone, and/or other types of electronic devices that may generally be considered processing devices.
  • MCD 900 may include at least one processor or central processing unit (CPU) 902 which may be connected to system memory 906 via system interconnect/bus 904 .
  • CPU 902 may include at least one digital signal processing unit (DSP).
  • DSP digital signal processing unit
  • I/O controller 915 Also connected to system interconnect/bus 904 may be input/output (I/O) controller 915 , which may provide connectivity and control for input devices, of which pointing device (or mouse) 916 and keyboard 917 are illustrated. I/O controller 915 may also provide connectivity and control for output devices, of which display 918 is illustrated. Additionally, a multimedia drive 919 (e.g., compact disk read/write (CDRW) or digital video disk (DVD) drive) and USB (universal serial bus) port 920 are illustrated, and may be coupled to I/O controller 915 .
  • CDRW compact disk read/write
  • DVD digital video disk
  • USB universal serial bus
  • Multimedia drive 919 and USB port 920 may enable insertion of a removable storage device (e.g., optical disk or “thumb” drive) on which data/instructions/code may be stored and/or from which data/instructions/code may be retrieved.
  • MCD 900 may also include storage 922 , within/from which data/instructions/code may also be stored/retrieved.
  • MCD 900 may further include a global positioning system (GPS) or local position system (LPS) detection component 924 by which MCD 900 may be able to detect its current location (e.g., a geographical position) and movement of MCD 900 , in real time.
  • GPS global positioning system
  • LPS local position system
  • MCD 900 may include a network/communication interface 925 , by which MCD 900 may connect to one or more second communication devices 932 or to wireless service provider server 937 , or to a third party server 938 via one or more access/external communication networks, of which a wireless Communication Network 930 is provided as one example and the Internet 936 is provided as a second example. It is appreciated that MCD 900 may connect to third party server 938 through an initial connection with Communication Network 930 , which in turn may connect to third party server 938 via the Internet 936 .
  • system memory 906 or other storage (e.g., storage 922 ) and may be executed by CPU 902 .
  • OS operating system
  • FIG. 908 illustrated within system memory 906 are a number of software/firmware/logic components, including operating system (OS) 908 (e.g., Microsoft Windows® or Windows Mobile®, trademarks of Microsoft Corp, or GNU®/Linux®, registered trademarks of the Free Software Foundation and The Linux Mark Institute, and AIX®, registered trademark of International Business Machines), and (word processing and/or other) application(s) 909 .
  • OS operating system
  • word processing and/or other application(s) 909 e.g., Microsoft Windows® or Windows Mobile®, trademarks of Microsoft Corp, or GNU®/Linux®, registered trademarks of the Free Software Foundation and The Linux Mark Institute
  • AIX® registered trademark of International Business Machines
  • STW Simultaneous Text Waiting
  • DCP Dynamic Area Code Pre-pending
  • AEI Advanced Editing and Interfacing
  • STDU Safe Texting Device Usage
  • MCD utility 910 may, in some embodiments, be combined with one or more other software modules, including for example, word processing application(s) 909 and/or OS 908 to provide a single executable component, which then may provide the collective functions of each individual software component when the corresponding combined code of the single executable component is executed by CPU 902 .
  • Each separate utility 111 / 112 / 113 / 114 is illustrated and described as a standalone or separate software/firmware component/module, which provides specific functions, as described below.
  • MCD utility 910 may be acquired as an off-the-shelf or after-market or downloadable enhancement to existing program applications or device functions, such as voice call waiting functionality (not shown) and user interactive applications with editable content, such as, for example, an application within the Windows Mobile® suite of applications.
  • MCD utility 910 may be downloaded from a server or website of a wireless provider (e.g., wireless service provider server 937 ) or a third party server 938 , and either installed on MCD 900 or executed from the wireless service provider server 937 or third party server 913 .
  • CPU 902 may execute MCD utility 910 as well as OS 908 , which, in one embodiment, may support the user interface features of MCD utility 910 , such as generation of a graphical user interface (GUI), where required/supported within MCD utility code.
  • MCD utility 910 may generate/provide one or more GUIs to enable user interaction with, or manipulation of, functional features of MCD utility 910 and/or of MCD 900 .
  • MCD utility 910 may, in certain embodiments, enable certain hardware and firmware functions and may thus be generally referred to as MCD logic.
  • MCD utility 910 may be enabled as processing code/instructions/logic executing on DSP/CPU 902 and/or other device hardware, and the processor thus may complete the implementation of those function(s).
  • the software code/instructions/logic provided by MCD utility 910 may be code/logic for performing several (one or a plurality) of the following functions: (1) Simultaneous texting during ongoing voice communication providing a text waiting mode for both single number mobile communication devices and multiple number mobile communication devices; (2) Dynamic area code determination and automatic back-filling of area codes when a requested/desired voice or text communication is initiated without the area code while the mobile communication device is outside of its home-base area code toll area; (3) Enhanced editing functionality for applications on mobile computing devices; (4) Automatic toggle from manual texting mode to voice-to-text based communication mode on detection of high velocity movement of the mobile communication device; and (5) Enhanced e-
  • MCD 900 Utilizing monolithic 3D IC technology described herein and in related application Ser. Nos. 12/903,862, 12/903,847, 12/904,103 and 13/041,405 significant power and cost could be saved. Most of the elements in MCD 900 could be integrated in one 3D IC. Some of the MCD 900 elements may be logic functions which could utilize monolithic 3D transistors such as, for example, RCAT or Gate-Last. Some of the MCD 900 elements are storage devices and could be integrated on a 3D non-volatile memory device, such as, for example, 3D NAND or 3D RRAM, or volatile memory such as, for example, 3D DRAM or SRAM formed from RCAT or gate-last transistors, as been described herein.
  • 3D non-volatile memory device such as, for example, 3D NAND or 3D RRAM, or volatile memory such as, for example, 3D DRAM or SRAM formed from RCAT or gate-last transistors, as been described herein.
  • Storage 922 elements formed in monolithic 3D could be integrated on top or under a logic layer to reduce power and space.
  • Keyboard 917 could be integrated as a touch screen or combination of image sensor and some light projection and could utilize structures described in some of the above mentioned related applications.
  • the network/communication interface 925 could utilize another layer of silicon optimized for RF and gigahertz speed analog circuits or even may be integrated on substrates, such as GaN, that may be a better fit for such circuits. As more and more transistors might be integrated to achieve a high complexity 3D IC system there might be a need to use some embodiments of the invention such as what were called repair and redundancy so to achieve good product yield.
  • system elements including non-mobile elements, such as the 3rd Party Server 938 , might also make use of some embodiments of the 3D IC inventions including repair and redundancy to achieve good product yield for high complexity and large integration. Such large integration may reduce power and cost of the end product which is most attractive and most desired by the system end-use customers.
  • Some embodiments of the 3D IC invention could be used to integrate many of the MCD 900 blocks or elements into one or a few devices. As various blocks get tightly integrated, much of the power required to transfer signals between these elements may be reduced and similarly costs associated with these connections may be saved. Form factor may be compacted as the space associated with the individual substrate and the associated connections may be reduced by use of some embodiments of the 3D IC invention. For mobile device these may be very important competitive advantages. Some of these blocks might be better processed in different process flow or wafer fab location. For example the DSP/CPU 902 is a logic function that might use a logic process flow while the storage 922 might better be done using a NAND Flash technology process flow or wafer fab.
  • An important advantage of some of the embodiments of the monolithic 3D inventions may be to allow some of the layers in the 3D structure to be processed using a logic process flow while another layer in the 3D structure might utilize a memory process flow, and then some other function the modems of the GPS or local position system (LPS) detection component 924 might use a high speed analog process flow or wafer fab.
  • LPS local position system
  • Charge trap NAND Non-volatile memory devices are another form of popular commercial non-volatile memories. Charge trap device store their charge in a charge trap layer, wherein this charge trap layer then influences the channel of a transistor.
  • Background information on charge-trap memory can be found in “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”), “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al., and “Introduction to Flash memory”, Proc. IEEE91, 489-502 (2003) by R. Bez, et al. Work described in Bakir utilized selective epitaxy, laser recrystallization, or polysilicon to form the transistor channel, which results in less than satisfactory transistor performance.
  • the architectures shown in FIGS. 10 A- 10 G may be relevant for any type of charge-trap memory.
  • a charge trap based 3D memory with zero additional masking steps per memory layer 3D memory may be constructed that may be suitable for 3D IC manufacturing.
  • This 3D memory utilizes NAND strings of charge trap junction-less transistors with junction-less select transistors constructed in mono-crystalline silicon.
  • a silicon substrate with peripheral circuitry 1002 may be constructed with high temperature (greater than approximately 400° C.) resistant wiring, such as, for example, Tungsten.
  • the peripheral circuitry substrate 1002 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory.
  • the peripheral circuitry substrate 1002 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance.
  • RTA rapid-thermal-anneal
  • the peripheral circuits may be formed such that they have not been subjected to a weak RTA or no RTA for activating dopants in anticipation of anneals later in the process flow.
  • the top surface of the peripheral circuitry substrate 1002 may be prepared for oxide wafer bonding with a deposition of a silicon oxide layer 1004 , thus forming acceptor wafer 1014 .
  • a mono-crystalline silicon donor wafer 1012 may be processed to include a wafer sized layer of N+ doping (not shown) which may have a different dopant concentration than the N+ substrate 1006 .
  • the N+ doping layer may be formed by ion implantation and thermal anneal.
  • a screen oxide layer 1008 may be grown or deposited prior to the implant to protect the silicon from implant contamination and to provide an oxide surface for later wafer to wafer bonding.
  • a layer transfer demarcation plane 1010 (shown as a dashed line) may be formed in donor wafer 1012 within the N+ substrate 1006 or the N+ doping layer (not shown) by hydrogen implantation or other methods as previously described.
  • Both the donor wafer 1012 and acceptor wafer 1014 may be prepared for wafer bonding as previously described and then bonded at the surfaces of oxide layer 1004 and oxide layer 1008 , for example, at a low temperature (less than approximately 400° C.) for lowest stresses, or a moderate temperature (less than approximately 900° C.).
  • the portion of the N+ layer (not shown) and the N+ wafer substrate 1006 that may be above the layer transfer demarcation plane 1010 may be removed by cleaving and polishing, or other processes as previously described, such as, for example, ion-cut or other methods, thus forming the remaining mono-crystalline silicon N+ layer 1006 ′.
  • Remaining N+ layer 1006 ′ and oxide layer 1008 have been layer transferred to acceptor wafer 1014 .
  • the top surface of N+ layer 1006 ′ may be chemically or mechanically polished smooth and flat.
  • Oxide layer 1020 may be deposited to prepare the surface for later oxide to oxide bonding. This now forms the first Si/SiO2 layer 1023 which includes silicon oxide layer 1020 , N+ silicon layer 1006 ′, and oxide layer 1008 .
  • additional Si/SiO2 layers such as, for example, second Si/SiO2 layer 1025 and third Si/SiO2 layer 1027 , may each be formed as described in FIGS. 10 A to 10 C .
  • Oxide layer 1029 may be deposited to electrically isolate the top N+ silicon layer.
  • oxide layer 1029 , third Si/SiO2 layer 1027 , second Si/SiO2 layer 1025 and first Si/SiO2 layer 1023 may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which now includes regions of N+ silicon 1026 and oxide 1022 .
  • a gate stack may be formed with growth or deposition of a charge trap gate dielectric layer, such as, for example, thermal oxide and silicon nitride layers (ONO: Oxide-Nitride-Oxide), and a gate metal electrode layer, such as, for example, doped or undoped poly-crystalline silicon.
  • the gate metal electrode layer may then be planarized with chemical mechanical polishing.
  • the charge trap gate dielectric layer may include silicon or III-V nano-crystals encased in an oxide.
  • the select transistor gate area 1038 may include a non-charge trap dielectric.
  • the gate metal electrode regions 1030 and gate dielectric regions 1028 of both the NAND string area 1036 and select transistor gate area 1038 may be lithographically defined and plasma/RIE etched.
  • the entire structure may be substantially covered with a gap fill oxide 1032 , which may be planarized with chemical mechanical polishing.
  • the oxide 1032 is shown transparent in the figure for clarity.
  • Select metal lines 1046 may be formed and connect to the associated select gate contacts 1034 .
  • Contacts and associated metal interconnect lines (not shown) may be formed for the WL and SL at the memory array edges.
  • Word-line regions (WL) 1036 coupled with and composed of gate metal electrode regions 1030 , and bit-line regions (BL) 1052 , composed of indicated N+ silicon regions 1026 , are shown.
  • Source regions 1044 may be formed by trench contact etch and fill to couple to the N+ silicon regions on the source end of the NAND string.
  • a thru layer via (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor wafer 1014 peripheral circuitry via an acceptor wafer metal connect pad (not shown).
  • This flow enables the formation of a charge trap based 3D memory with zero additional masking steps per memory layer constructed by layer transfers of wafer sized doped layers of mono-crystalline silicon and this 3D memory may be connected to an underlying multi-metal layer semiconductor device.
  • FIGS. 10 A through 10 G are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, BL or SL contacts may be constructed in a staircase manner as described previously. Additionally, the stacked memory layer may be connected to a periphery circuit that may be above the memory stack. Moreover, each tier of memory could be configured with a slightly different donor wafer N+ layer doping profile. Further, the memory could be organized in a different manner, such as BL and SL interchanged, or where buried wiring for the memory array may be below the memory layers but above the periphery.
  • 3D charge trap memories may be constructed by layer transfer of mono-crystalline silicon; for example, those found in “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. and “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology, 2009 by W. Kim, S. Choi, et al. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.
  • an n-channel 4-sided gated junction-less transistor may be constructed that may be suitable for 3D IC manufacturing.
  • 4-sided gated JLTs can also be referred to as gate-all around JLTs or silicon nanowire JLTs.
  • a P ⁇ (shown) or N ⁇ substrate donor wafer 1200 may be processed to include wafer sized layers of N+ doped silicon 1202 and 1206 , and wafer sized layers of n+ SiGe 1204 and 1208 .
  • Layers 1202 , 1204 , 1206 , and 1208 may be grown epitaxially and may be carefully engineered in terms of thickness and stoichiometry to keep the defect density that may result from the lattice mismatch between Si and SiGe low.
  • the stoichiometry of the SiGe may be unique to each SiGe layer to provide for different etch rates as may be described later.
  • the top surface of P ⁇ substrate donor wafer 1200 may be prepared for oxide wafer bonding with a deposition of an oxide 1213 . These processes may be done at temperatures above approximately 400° C. as the layer transfer to the processed substrate with metal interconnects has yet to be done.
  • the N+ doping layers 1202 and 1206 may have a doping concentration that may be more than 10 ⁇ the doping concentration of P ⁇ substrate donor wafer 1200 .
  • a layer transfer demarcation plane 1299 may be formed in P ⁇ substrate donor wafer 1200 by hydrogen implantation or other methods as previously described.
  • both the P ⁇ substrate donor wafer 1200 and acceptor wafer 1210 top layers and surfaces may be prepared for wafer bonding as previously described and then P ⁇ substrate donor wafer donor wafer 1200 may be flipped over, aligned to the acceptor wafer 1210 alignment marks (not shown) and bonded together at a low temperature (less than approximately 400° C.).
  • Oxide 1213 from the donor wafer and the oxide of the surface of the acceptor wafer 1210 may thus be atomically bonded together are designated as oxide 1214 .
  • the portion of the P ⁇ donor wafer substrate 1200 that is above the layer transfer demarcation plane 1299 may be removed by cleaving and polishing, or other low temperature processes as previously described, such as, for example, ion-cut or other layer transfer methods.
  • a CMP process may be used to remove the remaining P ⁇ layer until the N+ silicon layer 1202 may be reached.
  • stacks of N+ silicon and n+ SiGe regions that may become transistor channels and gate areas may be formed by lithographic definition and plasma/RIE etching of N+ silicon layers 1202 & 1206 and n+ SiGe layers 1204 & 1208 .
  • the result may be stacks of n+ SiGe 1216 and N+ silicon 1218 regions.
  • the isolation among stacks may be filled with a low temperature gap fill oxide 1220 and chemically and mechanically polished (CMP'ed) flat. This may fully isolate the transistors from each other.
  • CMP'ed chemically and mechanically polished
  • eventual ganged or common gate area 1230 may be lithographically defined and oxide etched. This may expose the transistor channels and gate area stack sidewalls of alternating N+ silicon 1218 and n+ SiGe 1216 regions to the eventual ganged or common gate area 1230 . The stack ends are exposed in the illustration for clarity of understanding.
  • the exposed n+ SiGe regions 1216 may be removed by a selective etch recipe that does not attack the N+ silicon regions 1218 . This creates air gaps among the N+ silicon regions 1218 in the eventual ganged or common gate area 1230 .
  • etching recipes are described in at least “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDMTech. Dig., 2005, pp. 717-720 by S. D. Suk, et al.
  • n+ SiGe layers farthest from the top edge may be stoichiometrically crafted such that the etch rate of the layer (now region) farthest from the top (such as n+ SiGe layer 1208 ) may etch slightly faster than the layer (now region) closer to the top (such as n+ SiGe layer 1204 ), thereby equalizing the eventual gate lengths of the two stacked transistors.
  • the stack ends are exposed in the illustration for clarity of understanding.
  • a step of reducing the surface roughness, rounding the edges, and thinning the diameter of the N+ silicon regions 1218 that may be exposed in the ganged or common gate area may utilize a low temperature oxidation and subsequent HF etch removal of the oxide just formed. This step may be repeated multiple times. Hydrogen may be added to the oxidation or separately utilized as a plasma treatment to the exposed N+ silicon surfaces. The result may be a rounded silicon nanowire-like structure to form the eventual transistor gated channel 1236 . The stack ends are exposed in the illustration for clarity of understanding.
  • a low temperature based Gate Dielectric (not shown in this FIG.) may be deposited and densified to serve as the junction-less transistor gate oxide.
  • a low temperature microwave plasma oxidation of the eventual transistor gated channel 1236 silicon surfaces may serve as the JLT gate oxide or an atomic layer deposition (ALD) technique may be utilized to form the HKMG gate oxide as previously described.
  • ALD atomic layer deposition
  • deposition of a low temperature gate material with proper work function and less than approximately 400° C. deposition temperature, such as, for example, P+ doped amorphous silicon may be performed, to form gate 1212 .
  • a HKMG gate structure may be formed as described previously.
  • a CMP may be performed after the gate material deposition. The stack ends are exposed in the illustration for clarity of understanding.
  • FIG. 11 J illustrates the JLT transistor stack formed in FIG. 11 I with the oxide removed for clarity of viewing, and a cross-sectional cut I of FIG. 11 I .
  • Gate 1212 and gate dielectric 1211 surrounds the transistor gated channel 1236 and each ganged or common transistor stack may be isolated from one another by oxide 1222 .
  • the source and drain connections of the transistor stacks can be made to the N+ Silicon 1218 and n+ SiGe 1216 regions that may not be covered by the gate 1212 .
  • Contacts to the 4-sided gated JLT source, drain, and gate may be made with conventional Back end of Line (BEOL) processing as described previously and coupling from the formed JLTs to the acceptor wafer may be accomplished with formation of a thru layer via connection to an acceptor wafer metal interconnect pad also described previously.
  • BEOL Back end of Line
  • This flow enables the formation of a mono-crystalline silicon channel 4-sided gated junction-less transistor that may be formed and connected to the underlying multi-metal layer semiconductor device without exposing the underlying devices to a high temperature.
  • a p channel 4-sided gated JLT may be constructed as above with the N+ silicon layers 1202 and 1208 formed as P+ doped, and the gate metals of gate 1212 may be of appropriate work function to shutoff the p channel at a gate voltage of zero.
  • FIGS. 11 A- 11 J illustrates the key steps involved in forming a four-sided gated JLT with 3D stacked components
  • process steps and additional materials/regions such as a stressed oxide within the transistor isolation regions, to add strain to JLTs may be added.
  • N+ SiGe layers 1204 and 1208 may instead include p+ SiGe or undoped SiGe and the selective etchant formula adjusted.
  • more than two layers of chips or circuits can be 3D stacked.
  • the channel may be doped unevenly; whereby the heaviest doping may be closest to the gate or gates and the channel doping may be lighter farther away from the gate electrode.
  • the cross-sectional center of a 2, 3, or 4 gate sided junction-less transistor channel may be more lightly doped than the edges. This may enable much lower transistor off currents for the same gate work function and control.

Abstract

A 3D semiconductor device including: a first level including a plurality of first metal layers; a second level, where the second level overlays the first level, where the second level includes at least one single crystal silicon layer, where the second level includes a plurality of transistors, where each transistor of the plurality of transistors includes a single crystal channel, where the second level includes a plurality of second metal layers, where the plurality of second metal layers include interconnections between the transistors of the plurality of transistors, and where the second level is overlaid by a first isolation layer; and a connective path between the plurality of transistors and the plurality of first metal layers, where the connective path includes a via disposed through at least the single crystal silicon layer, and where the via includes contact with at least one of the plurality of transistors.

Description

    CROSS-REFERENCE OF RELATED APPLICATION
  • This application is a continuation-in-part application of U.S. patent application Ser. No. 18/070,422 which was filed on Nov. 28, 2022, which is a continuation-in-part application of U.S. patent application Ser. No. 17/941,891 which was filed on Sep. 9, 2022 (now U.S. Pat. No. 11,594,473 to issue on Feb. 28, 2023), which is a continuation-in-part application of U.S. patent application Ser. No. 17/850,819 which was filed on Jun. 7, 2022 (now U.S. Pat. No. 11,476,181 issued on Oct. 18, 2022), which is a continuation-in-part application of U.S. patent application Ser. No. 17/492,577 which was filed on Oct. 2, 2021 (now U.S. Pat. No. 11,410,912 issued on Aug. 9, 2022), which is a continuation-in-part application of U.S. patent application Ser. No. 17/313,986, which was filed on May 6, 2021 (now U.S. Pat. No. 11,164,811 issued on Nov. 2, 2021), which is a continuation-in-part application of U.S. patent application Ser. No. 16/852,506, which was filed on Apr. 19, 2020 (now U.S. Pat. No. 11,088,050 issued on Aug. 10, 2021), which is a continuation-in-part application of U.S. patent application Ser. No. 16/536,606, which was filed on Aug. 9, 2019 (now U.S. Pat. No. 10,665,695 issued on May 26, 2020), which is a continuation-in-part application of U.S. patent application Ser. No. 16/004,404, which was filed on Jun. 10, 2018 (now U.S. Pat. No. 10,600,888 issued on Mar. 24, 2020), which is a continuation-in-part application of U.S. patent application Ser. No. 15/917,629, which was filed on Mar. 10, 2018 (now U.S. Pat. No. 10,038,073 issued on Jul. 31, 2018), which is a continuation-in-part application of U.S. patent application Ser. No. 15/622,124, which was filed on Jun. 14, 2017 (now U.S. Pat. No. 9,954,080 issued on Apr. 24, 2018), which is a continuation-in-part application of U.S. patent application Ser. No. 14/880,276, which was filed on Oct. 11, 2015 (now U.S. Pat. No. 9,691,869 issued on Jun. 27, 2017), which is a continuation-in-part application of U.S. patent application Ser. No. 14/472,108, which was filed on Aug. 28, 2014 (now U.S. Pat. No. 9,305,867 issued on Apr. 5, 2016), which is a continuation application of U.S. patent application Ser. No. 13/959,994, which was filed on Aug. 6, 2013 (now U.S. Pat. No. 8,836,073 issued on Sep. 25, 2014), which is a continuation application of U.S. patent application Ser. No. 13/441,923, which was filed on Apr. 9, 2012 (now U.S. Pat. No. 8,557,632 issued on Oct. 15, 2013); the entire contents of the foregoing are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION 1. Field of the Invention
  • This application relates to the general field of Integrated Circuit (IC) devices and fabrication methods, and more particularly to multilayer or Three Dimensional Integrated Circuit (3D IC) devices and fabrication methods.
  • 2. Discussion of Background Art
  • Performance enhancements and cost reductions in generations of electronic device technology has generally been achieved by reducing the size of the device, resulting in an enhancement in device speed and a reduction in the area of the device, and hence, its cost. This may be generally referred to as ‘device scaling’. The dominant electronic device technology in use today may be the Metal-Oxide-Semiconductor field effect transistor (MOSFET) technology.
  • Performance and cost are driven by transistor scaling and the interconnection, or wiring, between those transistors. As the dimensions of the device elements have approached the nanometer scale, the interconnection wiring now dominates the performance, power, and density of integrated circuit devices as described in J. A. Davis, et. al., Proc. IEEE, vol. 89, no. 3, pp. 305-324, March 2001 (Davis).
  • Davis further teaches that three dimensional integrated circuits (3D ICs), i.e. electronic chips in which active layers of transistors are stacked one above the other, separated by insulating oxides and connected to each other by metal interconnect wires, may be the best way to continue Moore's Law, especially as device scaling slows, stops, or becomes too costly to continue. 3D integration would provide shorter interconnect wiring and hence improved performance, lower power consumption, and higher density devices.
  • One approach to a practical implementation of a 3D IC independently processes two fully interconnected integrated circuits including transistors and wiring, thins one of the wafers, bonds the two wafers together, and then makes electrical connections between the bonded wafers with Thru Silicon Vias (TSV) that may be fabricated prior to or after the bonding. This approach may be less than satisfactory as the density of TSVs may be limited, because they may require large landing pads for the TSVs to overcome the poor wafer to wafer alignment and to allow for the large (about one to ten micron) diameter of the TSVs as a result of the thickness of the wafers bonded together. Additionally, handling and processing thinned silicon wafers may be very difficult and prone to yield loss. Current prototypes of this approach only obtain TSV densities of 10,000s per chip, in comparison to the millions of interconnections currently obtainable within a single chip.
  • By utilizing Silicon On Insulator (SOI) wafers and glass handle wafers, A. W. Topol, et. al, in the IEDM Tech Digest, p 363-5 (2005), describe attaining TSVs of tenths of microns. The TSV density may be still limited as a result from misalignment issues resulting from pre-forming the random circuitry on both wafers prior to wafer bonding. In addition, SOI wafers are more costly than bulk silicon wafers.
  • Another approach may be to monolithically build transistors on top of a wafer of interconnected transistors. The utility of this approach may be limited by the requirement to maintain the reliability of the high performance lower layer interconnect metallization, such as, for example, aluminum and copper, and low-k intermetal dielectrics, and hence limits the allowable temperature exposure to below approximately 400° C. Some of the processing steps to create useful transistor elements may require temperatures above about 700° C., such as activating semiconductor doping or crystallization of a previously deposited amorphous material such as silicon to create a poly-crystalline silicon (polysilicon or poly) layer. It may be very difficult to achieve high performance transistors with only low temperature processing and without mono-crystalline silicon channels. However, this approach may be useful to construct memory devices where the transistor performance may not be critical.
  • Bakir and Meindl in the textbook “Integrated Interconnect Technologies for 3D Nanosystems”, Artech House, 2009, Chapter 13, illustrate a 3D stacked Dynamic Random Access Memory (DRAM) where the silicon for the stacked transistors is produced using selective epitaxy technology or laser recrystallization. This concept may be unsatisfactory as the silicon processed in this manner may have a higher defect density when compared to single crystal silicon and hence may suffer in performance, stability, and control. It may also require higher temperatures than the underlying metallization or low-k intermetal dielectric could be exposed to without reliability concerns.
  • Sang-Yun Lee in U.S. Pat. No. 7,052,941 discloses methods to construct vertical transistors by preprocessing a single crystal silicon wafer with doping layers activated at high temperature, layer transferring the wafer to another wafer with preprocessed circuitry and metallization, and then forming vertical transistors from those doping layers with low temperature processing, such as etching silicon. This may be less than satisfactory as the semiconductor devices in the market today utilize horizontal or horizontally oriented transistors and it would be very difficult to convince the industry to move away from the horizontal. Additionally, the transistor performance may be less than satisfactory as a result from large parasitic capacitances and resistances in the vertical structures, and the lack of self-alignment of the transistor gate.
  • A key technology for 3D IC construction may be layer transfer, whereby a thin layer of a silicon wafer, called the donor wafer, may be transferred to another wafer, called the acceptor wafer, or target wafer. As described by L. DiCioccio, et. al., at ICICDT 2010 pg 110, the transfer of a thin (about tens of microns to tens of nanometers) layer of mono-crystalline silicon at low temperatures (below approximately 400° C.) may be performed with low temperature direct oxide-oxide bonding, wafer thinning, and surface conditioning. This process is called “Smart Stacking” by Soitec (Crolles, France). In addition, the “SmartCut” process is a well understood technology used for fabrication of SOI wafers. The “SmartCut” process employs a hydrogen implant to enable cleaving of the donor wafer after the layer transfer. These processes with some variations and under different names may be commercially available from SiGen (Silicon Genesis Corporation, San Jose, Calif.). A room temperature wafer bonding process utilizing ion-beam preparation of the wafer surfaces in a vacuum has been recently demonstrated by Mitsubishi Heavy Industries Ltd., Tokyo, Japan. This process allows room temperature layer transfer.
  • There are many techniques to construct 3D stacked integrated circuits or chips including:
  • Through-silicon via (TSV) technology: Multiple layers of transistors (with or without wiring levels) can be constructed separately. Following this, they can be bonded to each other and connected to each other with through-silicon vias (TSVs).
  • Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,406,670, 9,460,978, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,799,761, 9,871,034, 9,953,870, 9,953,994, 10,014,292, 10,014,318, 10,515,981, 10,892,016, 10,991,675, 11,121,121, 11,502,095, 10,892,016, 11,270,988; and pending U.S. Patent Application Publications and applications, Ser. Nos. 14/642,724, 15/150,395, 15/173,686, 62/651,722; 62/681,249, 62/713,345, 62/770,751, 62/952,222, 62/824,288, 63/075,067, 63/091,307, 63/115,000, 63/220,443, 2021/0242189, 2020/0013791; and PCT Applications (and Publications): PCT/US2010/052093, PCT/US2011/042071 (WO2012/015550), PCT/US2016/52726 (WO2017053329), PCT/US2017/052359 (WO2018/071143), PCT/US2018/016759 (WO2018144957), PCT/US2018/52332 (WO 2019/060798), PCT/US2021/44110, and PCT/US22/44165. The entire contents of all of the foregoing patents, publications, and applications are incorporated herein by reference.
  • Electro-Optics: There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031, 9,941,319, 10,679,977, 10,943,934, 10,998,374, 11,063,071, and 11,133,344. The entire contents of all of the foregoing patents, publications, and applications are incorporated herein by reference.
  • In addition, the entire contents of U.S. Pat. Nos. 10,600,888, 10,038,073, 9,954,080, 9,691,869, 9,305,867, 8,836,073, 8,557,632, U.S. patent application publication 2019/0363179 and U.S. patent application Ser. No. 17/151,867 are incorporated herein by reference.
  • Additionally the 3D technology according to some embodiments of the invention may enable some very innovative IC alternatives with reduced development costs, increased yield, and other illustrative benefits.
  • SUMMARY
  • The invention may be directed to multilayer or Three Dimensional Integrated Circuit (3D IC) devices and fabrication methods.
  • In one aspect, a 3D semiconductor device, the device including: a first level including a plurality of first metal layers; a second level, where the second level overlays the first level, where the second level includes at least one single crystal silicon layer, where the second level includes a plurality of transistors, where each transistor of the plurality of transistors includes a single crystal channel, where the second level includes a plurality of second metal layers, where the plurality of second metal layers include interconnections between the transistors of the plurality of transistors, and where the second level is overlaid by a first isolation layer; and a connective path between the plurality of transistors and the plurality of first metal layers, where the connective path includes a via disposed through at least the single crystal silicon layer, and where the via includes contact with at least one of the plurality of transistors.
  • In another aspect, a 3D semiconductor device, the device including: a first level including a plurality of first metal layers; a second level, where the second level overlays the first level, where the second level includes at least one single crystal silicon layer, where the second level includes a plurality of transistors, where each transistor of the plurality of transistors includes a single crystal channel, where the second level includes a plurality of second metal layers, where the plurality of second metal layers include interconnections between the transistors of the plurality of transistors, and where the second level is overlaid by a first isolation layer; and a connective path between the plurality of transistors and the plurality of first metal layers, where the connective path includes a via disposed through at least the single crystal silicon layer, where the via is part of connective path between at least one of the transistors to at least one of the first metal layers, and where the transistors of the plurality of transistors are aligned to the first metal layers with a less than 40 nm alignment error.
  • In another aspect, a 3D semiconductor device, the device including: a first level including a plurality of first metal layers; a second level, where the second level overlays the first level, where the second level includes at least one single crystal silicon layer, where the second level includes a plurality of transistors, where each transistor of the plurality of transistors includes a single crystal channel, where the second level includes a plurality of second metal layers, where the plurality of second metal layers include interconnections between the transistors of the plurality of transistors, and where the second level is overlaid by a first isolation layer; and a connective path between the plurality of transistors and the plurality of first metal layers, where the connective path includes a via disposed through at least the single crystal silicon layer, and where the device include plurality of capacitors.
  • In another aspect, a 3D semiconductor device, the device including: a first level including a single crystal silicon layer and a plurality of first transistors, the plurality of first transistors each including a single crystal channel; a first metal layer overlaying the plurality of first transistors; a second metal layer overlaying the first metal layer; a third metal layer overlaying the second metal layer; a second level, where the second level overlays the first level, where the second level includes a plurality of second transistors; a fourth metal layer overlaying the second level; and a connective path between the fourth metal layer and either the third metal layer or the second metal layer, where the connective path includes a via disposed through the second level, where the via has a diameter of less than 500 nm and greater than 5 nm, and where the third metal layer is connected to provide a power or ground signal to at least one of the plurality of second transistors.
  • In another aspect, a 3D semiconductor device, the device including: a first level comprising a single crystal silicon layer and a plurality of first transistors, said plurality of first transistors each comprising a single crystal channel; a first metal layer overlaying said plurality of first transistors; a second metal layer overlaying said first metal layer; a third metal layer overlaying said second metal layer; a second level, wherein said second level overlays said first level, wherein said second level comprises a plurality of second transistors; a fourth metal layer overlaying said second level; a connective path between said fourth metal layer and said third metal layer or said second metal layer, wherein said connective path comprises a via disposed through said second level, wherein said via has a diameter of less than 500 nm and greater than 5 nm, wherein at least one of said plurality of second transistors is vertically oriented, and wherein said third metal layer is connected to provide a power or a ground signal to at least one of said plurality of second transistors.
  • In another aspect, a 3D semiconductor device, the device including: a first level comprising a single crystal silicon layer and plurality of first transistors, said plurality of first transistors each comprising a single crystal channel; a first metal layer overlaying said plurality of first transistors; a second metal layer overlaying said first metal layer; a third metal layer overlaying said second metal layer; a second level, wherein said second level overlays said first level, wherein said second level comprises a plurality of second transistors; a fourth metal layer overlaying said second level; and a connective path between said fourth metal layer and said third metal layer or said second metal layer, wherein said connective path comprises a via disposed through said second level, wherein said via has a diameter of less than 500 nm and greater than 5 nm, wherein at least one of said plurality of second transistors comprises a metal gate, and wherein said third metal layer is connected to provide a power or a ground signal to at least one of said plurality of second transistors.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:
  • FIG. 1 is an exemplary drawing illustration of a layer transfer process flow;
  • FIGS. 2A-2H are exemplary drawing illustrations of the preprocessed wafers and layers and generalized layer transfer;
  • FIGS. 3A-3D are exemplary drawing illustrations of a generalized layer transfer process flow;
  • FIG. 4 is an exemplary drawing illustration and a transistor characteristic graph of a junction-less transistor;
  • FIGS. 5A-5B are exemplary device simulations of a junction-less transistor;
  • FIGS. 6A-6K, 6K1, 6K2, and 6L are exemplary drawing illustrations of the formation of a floating body DRAM transistor and device;
  • FIGS. 7A-7J, 7J1, 7J2, and 7K are exemplary drawing illustrations of the formation of a resistive memory transistor and device;
  • FIGS. 8A-8J, 8J1, 8J2, and 8K are exemplary drawing illustrations of the formation of a resistive memory transistor and device;
  • FIG. 9 is a block diagram representation of an exemplary mobile computing device (MCD);
  • FIGS. 10A-10G are exemplary drawing illustrations of the formation of a charge trap memory transistor, array and device; and
  • FIG. 11A-11J are exemplary drawing illustrations of the formation of a junction-less transistor.
  • DESCRIPTION
  • Some embodiments of the invention are described herein with reference to the drawing figures. Persons of ordinary skill in the art will appreciate that the description and figures illustrate rather than limit the invention and that in general the figures are not drawn to scale for clarity of presentation. Such skilled persons will also realize that many more embodiments are possible by applying the inventive principles contained herein and that such embodiments fall within the scope of the invention which is not to be limited except by the appended claims.
  • Many figures may describe process flows for building devices. These process flows, which may be a sequence of steps for building a device, may have many structures, numerals and labels that may be common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in the previous steps' figures.
  • As illustrated in FIG. 1 , a generalized single layer transfer procedure that utilizes the above techniques may begin with acceptor substrate 100, which may be a preprocessed CMOS silicon wafer, or a partially processed CMOS, or other prepared silicon or semiconductor substrate. CMOS may include n-type transistors and p-type transistors. Acceptor substrate 100 may include elements such as, for example, transistors, alignment marks, metal layers, and metal connection strips. The metal layers may be utilized to interconnect the transistors. The acceptor substrate may also be called target wafer. The acceptor substrate 100 may be prepared for oxide to oxide wafer bonding by a deposition of an oxide 102, and the acceptor substrate surface 104 may be made ready for low temperature bonding by various surface treatments, such as, for example, an RCA pre-clean that may include dilute ammonium hydroxide or hydrochloric acid, and may include plasma surface preparations, wherein gases such as oxygen, argon, and other gases or combinations of gases and plasma energies that changes the oxide surfaces so to lower the oxide to oxide bonding energy. In addition, polishes may be employed to achieve satisfactory flatness.
  • A donor wafer or substrate 110 may be prepared for cleaving by an implant or implants of atomic species, such as, for example, Hydrogen and Helium, to form a layer transfer demarcation plane 199, shown as a dashed line. Layer transfer demarcation plane 199 may be formed before or after other processing on the donor wafer or substrate 110. The donor wafer or substrate 110 may be prepared for oxide to oxide wafer bonding by a deposition of an oxide 112, and the donor wafer surface 114 may be made ready for low temperature bonding by various surface treatments, such as, for example, an RCA pre-clean that may include dilute ammonium hydroxide or hydrochloric acid, and may include plasma surface preparations, wherein gases such as oxygen, argon, and other gases or combinations of gases and plasma energies that change the oxide surfaces so to lower the oxide to oxide bonding energy. In addition, polishes may be employed to achieve satisfactory flatness. The donor wafer or substrate 110 may have prefabricated layers, structures, alignment marks, transistors or circuits.
  • Donor wafer or substrate 110 may be bonded to acceptor substrate 100, or target wafer, by bringing the donor wafer surface 114 in physical contact with acceptor substrate surface 104, and then applying mechanical force and/or thermal annealing to strengthen the oxide to oxide bond. Alignment of the donor wafer or substrate 110 with the acceptor substrate 100 may be performed immediately prior to the wafer bonding. Acceptable bond strengths may be obtained with bonding thermal cycles that do not exceed approximately 400° C.
  • The donor wafer or substrate 110 may be cleaved at or near the layer transfer demarcation plane 199 and removed leaving transferred layer 120 bonded and attached to acceptor substrate 100, or target wafer. The cleaving may be accomplished by various applications of energy to the layer transfer demarcation plane, such as, for example, a mechanical strike by a knife, or jet of liquid or jet of air, or by local laser heating, or other suitable cleaving methods that propagate a fracture or separation approximately at the layer transfer demarcation plane 199. The transferred layer 120 may be polished chemically and mechanically to provide a suitable surface for further processing. The transferred layer 120 may be of thickness approximately 200 nm or less to enable formation of nanometer sized thru layer vias and create a high density of interconnects between the donor wafer and acceptor wafer. The thinner the transferred layer 120, the smaller the thru layer via diameter obtainable, as a result of maintaining manufacturable via aspect ratios. Thus, the transferred layer 120 may be, for example, less than about 2 microns thick, less than about 1 micron thick, less than about 0.4 microns thick, less than about 200 nm thick, less than about 150 nm thick, or less than about 100 nm thick. The thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable lithographic resolution capability of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers. The donor wafer or substrate 110 may now also be processed and reused for more layer transfers.
  • Transferred layer 120 may then be further processed to create a monolithic layer of interconnected devices 120′ and the formation of thru layer vias (TLVs, or through-layer vias) to electrically couple (connection path) donor wafer circuitry with acceptor wafer circuitry. Alignment marks in acceptor substrate 100 and/or in transferred layer 120 may be utilized to contact transistors and circuitry in transferred layer 120 and electrically couple them to transistors and circuitry in the acceptor substrate 100. The use of an implanted atomic species, such as, for example, Hydrogen or Helium or a combination, to create a cleaving plane, such as, for example, layer transfer demarcation plane 199, and the subsequent cleaving at or near the cleaving plane as described above may be referred to in this document as “ion-cut”, and may be the typically illustrated layer transfer method. As the TLVs are formed through the transferred layer 120, the thickness of the TLVs may be, for example, less than about 2 microns thick, less than about 1 micron thick, less than about 0.4 microns thick, less than about 200 nm thick, less than about 150 nm thick, or less than about 100 nm thick. TLVs may be constructed mostly out of electrically conductive materials including, for example, copper, aluminum, conductive carbon, or tungsten. Barrier metals, including, for example, TiN and TaN, may be utilized to form TLVs.
  • Persons of ordinary skill in the art will appreciate that the illustrations in FIG. 1 are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, a heavily doped (greater than 1e20 atoms/cm3) boron layer or a silicon germanium (SiGe) layer may be utilized as an etch stop layer either within the ion-cut process flow, wherein the layer transfer demarcation plane may be placed within the etch stop layer or into the substrate material below, or the etch stop layers may be utilized without an implant cleave or ion-cut process and the donor wafer may be preferentially etched away until the etch stop layer may be reached. Such skilled persons will further appreciate that the oxide layer within an SOI or GeOI donor wafer may serve as the etch stop layer. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.
  • Alternatively, other technologies and techniques may be utilized for layer transfer as described in, for example, IBM's layer transfer method shown at IEDM 2005 by A. W. Topol, et. al. The IBM's layer transfer method employs a SOI technology and utilizes glass handle wafers. The donor circuit may be high-temperature processed on an SOI wafer, temporarily bonded to a borosilicate glass handle wafer, backside thinned by chemical mechanical polishing of the silicon and then the Buried Oxide (BOX) may be selectively etched off. The now thinned donor wafer may be subsequently aligned and low-temperature oxide-to-oxide bonded to the acceptor wafer topside. A low temperature release of the glass handle wafer from the thinned donor wafer may be next performed, and then thru layer via (or layer to layer) connections may be made.
  • Additionally, the inventors contemplate that other technology can be used. For example, an epitaxial liftoff (ELO) technology as shown by P. Demeester, et. al, of IMEC in Semiconductor Science Technology 1993 may be utilized for layer transfer. ELO makes use of the selective removal of a very thin sacrificial layer between the substrate and the layer structure to be transferred. The to-be-transferred layer of GaAs or silicon may be adhesively ‘rolled’ up on a cylinder or removed from the substrate by utilizing a flexible carrier, such as, for example, black wax, to bow up the to-be-transferred layer structure when the selective etch, such as, for example, diluted Hydrofluoric (HF) Acid, etches the exposed release layer, such as, for example, the silicon oxide in SOI or a layer of AlAs. After liftoff, the transferred layer may be then aligned and bonded to the desired acceptor substrate or wafer. The manufacturability of the ELO process for multilayer layer transfer use was recently improved by J. Yoon, et. al., of the University of Illinois at Urbana-Champaign as described in Nature May 20, 2010.
  • Canon developed a layer transfer technology called ELTRAN—Epitaxial Layer TRANsfer from porous silicon. ELTRAN may be utilized as a layer transfer method. The Electrochemical Society Meeting abstract No. 438 from year 2000 and the JSAP International July 2001 paper show a seed wafer being anodized in an HF/ethanol solution to create pores in the top layer of silicon, the pores may be treated with a low temperature oxidation and then high temperature hydrogen annealed to seal the pores. Epitaxial silicon may then be deposited on top of the porous silicon and then oxidized to form the SOI BOX. The seed wafer may be bonded to a handle wafer and the seed wafer may be split off by high pressure water directed at the porous silicon layer. The porous silicon may then be selectively etched off leaving a uniform silicon layer.
  • FIG. 2A is a drawing illustration of a generalized preprocessed wafer or layer 200. The wafer or layer 200 may have preprocessed circuitry, such as, for example, logic circuitry, microprocessors, circuitry including transistors of various types, and other types of digital or analog circuitry including, but not limited to, the various embodiments described herein. Preprocessed wafer or layer 200 may have preprocessed metal interconnects, such as, for example, of copper or aluminum. The preprocessed metal interconnects, such as, for example, metal strips pads, or lines, may be designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 200 to the layer or layers to be transferred.
  • FIG. 2B is a drawing illustration of a generalized transfer layer 202 prior to being attached to preprocessed wafer or layer 200. Preprocessed wafer or layer 200 may be called a target wafer or acceptor substrate. Transfer layer 202 may be attached to a carrier wafer or substrate during layer transfer. Transfer layer 202 may have metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 200. Transfer layer 202, which may also be called the second semiconductor layer, may include mono-crystalline silicon, or doped mono-crystalline silicon layer or layers, or other semiconductor, metal (including such as aluminum or copper interconnect layers), and insulator materials, layers; or multiple regions of single crystal silicon, or mono-crystalline silicon, or dope mono-crystalline silicon, or other semiconductor, metal, or insulator materials. A preprocessed wafer that can withstand subsequent processing of transistors on top at high temperatures may be a called the “Foundation” or a foundation wafer, layer or circuitry. The terms ‘mono-crystalline silicon’ and ‘single crystal silicon’ may be used interchangeably.
  • FIG. 2C is a drawing illustration of a preprocessed wafer or layer 200A created by the layer transfer of transfer layer 202 on top of preprocessed wafer or layer 200. The top of preprocessed wafer or layer 200A may be further processed with metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 200A to the next layer or layers to be transferred.
  • FIG. 2D is a drawing illustration of a generalized transfer layer 202A prior to being attached to preprocessed wafer or layer 200A. Transfer layer 202A may be attached to a carrier wafer or substrate during layer transfer. Transfer layer 202A may have metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 200A. Transfer layer 202A may include mono-crystalline silicon, or doped mono-crystalline silicon layer or layers, or other semiconductor, metal, and insulator materials, layers; or multiple regions of single crystal silicon, or mono-crystalline silicon, or dope mono-crystalline silicon, or other semiconductor, metal, or insulator materials.
  • FIG. 2E is a drawing illustration of a preprocessed wafer or layer 200B created by the layer transfer of transfer layer 202A on top of preprocessed wafer or layer 200A. Transfer layer 202A may also be called the third semiconductor layer. The top of preprocessed wafer or layer 200B may be further processed with metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 200B to the next layer or layers to be transferred.
  • FIG. 2F is a drawing illustration of a generalized transfer layer 202B prior to being attached to preprocessed wafer or layer 200B. Transfer layer 202B may be attached to a carrier wafer or substrate during layer transfer. Transfer layer 202B may have metal interconnects, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 200B. Transfer layer 202B may include mono-crystalline silicon, or doped mono-crystalline silicon layer or layers, or other semiconductor, metal, and insulator materials, layers; or multiple regions of single crystal silicon, or mono-crystalline silicon, or dope mono-crystalline silicon, or other semiconductor, metal, or insulator materials.
  • FIG. 2G is a drawing illustration of preprocessed wafer or layer 200C created by the layer transfer of transfer layer 202B on top of preprocessed wafer or layer 200B. The top of preprocessed wafer or layer 200C may be further processed with metal interconnect, such as, for example, metal strips, pads, or lines, designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 200C to the next layer or layers to be transferred.
  • FIG. 2H is a drawing illustration of preprocessed wafer or layer 200C, a 3D IC stack, which may include transferred layers 202A and 202B on top of the original preprocessed wafer or layer 200. Transferred layers 202A and 202B and the original preprocessed wafer or layer 200 may include transistors of one or more types in one or more layers, metallization such as, for example, copper or aluminum in one or more layers, interconnections to and among layers above and below (connection paths, such as TLVs or TSVs), and interconnections within the layer. The transistors may be of various types that may be different from layer to layer or within the same layer. The transistors may be in various organized patterns. The transistors may be in various pattern repeats or bands. The transistors may be in multiple layers involved in the transfer layer. The transistors may be, for example, junction-less transistors or recessed channel transistors or other types of transistors described in this document. Transferred layers 202A and 202B and the original preprocessed wafer or layer 200 may further include semiconductor devices such as, for example, resistors and capacitors and inductors, one or more programmable interconnects, memory structures and devices, sensors, radio frequency devices, or optical interconnect with associated transceivers. The terms carrier wafer or carrier substrate may also be called holder wafer or holder substrate.
  • This layer transfer process can be repeated many times, thereby creating preprocessed wafers that may include many different transferred layers which, when combined, can then become preprocessed wafers or layers for future transfers. This layer transfer process may be sufficiently flexible that preprocessed wafers and transfer layers, if properly prepared, can be flipped over and processed on either side with further transfers in either direction as a matter of design choice.
  • Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 2A through 2H are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, the preprocessed wafer or layer 200 may act as a base or substrate layer in a wafer transfer flow, or as a preprocessed or partially preprocessed circuitry acceptor wafer in a wafer transfer process flow. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.
  • One industry method to form a low temperature gate stack may be called a high-k metal gate (HKMG) and may be referred to in later discussions. The high-k metal gate structure may be formed as follows. Following an industry standard HF/SC1/SC2 cleaning to create an atomically smooth surface, a high-k dielectric may be deposited. The semiconductor industry has chosen Hafnium-based dielectrics as the leading material of choice to replace SiO2 and Silicon oxynitride. The Hafnium-based family of dielectrics includes hafnium oxide and hafnium silicate/hafnium silicon oxynitride. Hafnium oxide, HfO2, may have a dielectric constant twice as much as that of hafnium silicate/hafnium silicon oxynitride (HfSiO/HfSiON k˜15). The choice of the metal may be critical for the device to perform properly. A metal replacing N+ poly as the gate electrode may need to have a work function of approximately 4.2 eV for the device to operate properly and at the right threshold voltage. Alternatively, a metal replacing P+ poly as the gate electrode may need to have a work function of approximately 5.2 eV to operate properly. The TiAl and TiAlN based family of metals, for example, could be used to tune the work function of the metal from 4.2 eV to 5.2 eV.
  • Alternatively, a low temperature gate stack may be formed with a gate oxide formed by a microwave oxidation technique, such as, for example, the TEL SPA (Tokyo Electron Limited Slot Plane Antenna) oxygen radical plasma, that grows or deposits a low temperature Gate Dielectric to serve as the MOSFET gate oxide, or an atomic layer deposition (ALD) deposition technique may be utilized. A metal gate of proper work function, such as, for example, aluminum or tungsten, or low temperature doped amorphous silicon gate electrode, may then be deposited.
  • Transistors constructed in this document can be considered “planar transistors” when the current flow in the transistor channel may be substantially in the horizontal direction. The horizontal direction may be defined as the direction being parallel to the largest area of surface of the substrate or wafer that the transistor may be built or layer transferred onto. These transistors can also be referred to as horizontal transistors, horizontally oriented transistors, or lateral transistors. In some embodiments of the invention the horizontal transistor may be constructed in a two-dimensional plane where the source and the drain are in the same two dimensional horizontal plane.
  • An embodiment of the invention is to pre-process a donor wafer by forming wafer sized layers of various materials without a process temperature restriction, then layer transferring the pre-processed donor wafer to the acceptor wafer, and processing at either low temperature (below approximately 400° C.) or high temperature (greater than approximately 400° C.) after the layer transfer to form device structures, such as, for example, transistors and metal interconnect, on or in the donor wafer that may be physically aligned and may be electrically coupled or connected to the acceptor wafer. A wafer sized layer denotes a continuous layer of material or combination of materials that may extend across the wafer to substantially the full extent of the wafer edges and may be approximately uniform in thickness. If the wafer sized layer compromises dopants, then the dopant concentration may be substantially the same in the x and y direction across the wafer, but can vary in the z direction perpendicular to the wafer surface.
  • As illustrated in FIG. 3A, a generalized process flow may begin with a donor wafer 300 that may be preprocessed with wafer sized layers 302 of conducting, semi-conducting or insulating materials that may be formed by deposition, ion implantation and anneal, oxidation, epitaxial growth, combinations of above, or other semiconductor processing steps and methods. The donor wafer 300 may be preprocessed with a layer transfer demarcation plane (shown as dashed line) 399, such as, for example, a hydrogen implant cleave plane, before or after layers 302 are formed. Acceptor wafer 310 may be a preprocessed wafer that may have fully functional circuitry including metal layers (including aluminum or copper metal interconnect layers that may connect acceptor wafer 310 transistors) or may be a wafer with previously transferred layers, or may be a blank carrier or holder wafer, or other kinds of substrates suitable for layer transfer processing. Acceptor wafer 310 may have alignment marks 390 and metal connect pads or strips 380. Acceptor wafer 310 and the donor wafer 300 may be a bulk mono-crystalline silicon wafer or a Silicon On Insulator (SOI) wafer or a Germanium on Insulator (GeOI) wafer.
  • Both bonding surfaces 301 and 311 may be prepared for wafer bonding by depositions, polishes, plasma, or wet chemistry treatments to facilitate successful wafer to wafer bonding.
  • As illustrated in FIG. 3B, the donor wafer 300 with layers 302 and layer transfer demarcation plane 399 may then be flipped over, aligned, and bonded to the acceptor wafer 310. The donor wafer 300 with layers 302 may have alignment marks (not shown).
  • As illustrated in FIG. 3C, the donor wafer 300 may be cleaved at or thinned to the layer transfer demarcation plane 399, leaving a portion of the donor wafer 300′ and the pre-processed layers 302 bonded to the acceptor wafer 310, by methods such as, for example, ion-cut or other layer transfer methods.
  • As illustrated in FIG. 3D, the remaining donor wafer portion 300′ may be removed by polishing or etching and the transferred layers 302 may be further processed to create donor wafer device structures 350 that may be precisely aligned to the acceptor wafer alignment marks 390. Donor wafer device structures 350 may include, for example, CMOS transistors such as N type and P type transistors, or any of the other transistor or device types discussed herein this document. These donor wafer device structures 350 may utilize thru layer vias (TLVs) 360 to electrically couple (connection paths) the donor wafer device structures 350 to the acceptor wafer metal connect pads or strips 380. TLVs 360 may be formed through the transferred layers 302. As the transferred layers 302 may be thin, on the order of about 200 nm or less in thickness, the TLVs may be easily manufactured as a typical metal to metal via may be, and said TLV may have state of the art diameters such as nanometers or tens to a few hundreds of nanometers, such as, for example about 150 nm or about 100 nm or about 50 nm. The thinner the transferred layers 302, the smaller the thru layer via diameter obtainable, which may result from maintaining manufacturable via aspect ratios. Thus, the transferred layers 302 (and hence, TLVs 360) may be, for example, less than about 2 microns thick, less than about 1 micron thick, less than about 0.4 microns thick, less than about 200 nm thick, less than about 150 nm thick, or less than about 100 nm thick. The thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable obtainable lithographic resolution, such as, for example, less than about 10 nm, 14 nm, 22 nm or 28 nm linewidth resolution and alignment capability, such as, for example, less than about 5 nm, 10 nm, 20 nm, or 40 nm alignment accuracy/precision/error, of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers. Transferred layers 302 may be considered to be overlying the metal layer or layers of acceptor wafer 310. Alignment marks in acceptor substrate 310 and/or in transferred layers 302 may be utilized to enable reliable contact to transistors and circuitry in transferred layers 302 and donor wafer device structures 350 and electrically couple them to the transistors and circuitry in the acceptor substrate 310. The donor wafer 300 may now also be processed and reused for more layer transfers.
  • There may be multiple methods by which a transistor or other devices may be formed to enable a 3D IC.
  • Junction-less Transistors (JLTs) are another transistor family that may utilize layer transfer and etch definition to construct a low-temperature monolithic 3D IC. The junction-less transistor structure avoids the increasingly sharply graded junctions necessary for sufficient separation between source and drain regions as silicon technology scales. This allows the JLT to have a thicker gate oxide than a conventional MOSFET for an equivalent performance. The junction-less transistor may also be known as a nanowire transistor without junctions, or gated resistor, or nanowire transistor as described in a paper by Jean-Pierre Colinge, et. al., (Colinge) published in Nature Nanotechnology on Feb. 21, 2010.
  • As illustrated in FIG. 4 the junction-less transistor may be constructed whereby the transistor channel may be a thin solid piece of evenly and heavily doped single crystal silicon. Single crystal silicon may also be referred to as mono-crystalline silicon. The doping concentration of the channel underneath the gate 406 and gate dielectric 408 may be identical to that of the source 404 and drain 402. As a result of the high channel doping, the channel must be thin and narrow enough to allow for full depletion of the carriers when the device may be turned off. Additionally, the channel doping must be high enough to allow a reasonable current to flow when the device may be on. A multi-sided gate may provide increased control of the channel. The JLT may have a very small channel area (typically less than about 20 nm on one or more sides), so the gate can deplete the channel of charge carriers at approximately 0V and turn the source to drain current substantially off. I-V curves from Colinge of n channel and p channel junction-less transistors are shown in FIG. 4 . This illustrates that the JLT can obtain comparable performance to the tri-gate transistor (junction-ed) that may be commonly researched and reported by transistor developers.
  • Turning the channel off with minimal leakage at an approximately zero gate bias may be a major challenge for a junction-less transistor device. To enhance gate control over the transistor channel, the channel may be doped unevenly; whereby the heaviest doping may be closest to the gate or gates and the channel doping may be lighter farther away from the gate electrode. For example, the cross-sectional center of a 2, 3, or 4 gate sided junction-less transistor channel may be more lightly doped than the edges. This may enable much lower transistor off currents for the same gate work function and control.
  • As illustrated in FIGS. 5A and 5B, drain to source current (Ids) as a function of the gate voltage (Vg) for various junction-less transistor channel doping levels may be simulated where the total thickness of the n-type channel may be about 20 nm. The y-axis of FIG. 5A is plotted as logarithmic and FIG. 5B as linear. Two of the four curves in each figure correspond to evenly doping the 20 nm channel thickness to 1E17 and 1E18 atoms/cm3, respectively. The remaining two curves show simulation results where the 20 nm channel has two layers of 10 nm thickness each. In the legend denotations for the remaining two curves, the first number corresponds to the 10 nm portion of the channel that is the closest to the gate electrode. For example, the curve D=1E18/1E17 illustrates the simulated results where the 10 nm channel portion doped at 1E18 is closest to the gate electrode while the 10 nm channel portion doped at 1E17 is farthest away from the gate electrode. In FIG. 5A, curves 502 and 504 correspond to doping patterns of D=1E18/1E17 and D=1E17/1E18, respectively. According to FIG. 5A, at a Vg of 0 volts, the off current for the doping pattern of D=1E18/1E17 is approximately 50 times lower than that of the reversed doping pattern of D=1E17/1E18. Likewise, in FIG. 5B, curves 506 and 508 correspond to doping patterns of D=1E18/1E17 and D=1E17/1E18, respectively. FIG. 5B illustrates that at a Vg of 1 volt, the Ids of both doping patterns are within a few percent of each other.
  • The junction-less transistor channel may be constructed with even, graded, or discrete layers of doping. The channel may be constructed with materials other than doped mono-crystalline silicon, such as, for example, poly-crystalline silicon, or other semi-conducting, insulating, or conducting material, such as, for example, graphene or other graphitic material, and may be in combination with other layers of similar or different material. For example, the center of the channel may include a layer of oxide, or of lightly doped silicon, and the edges more heavily doped single crystal silicon. This may enhance the gate control effectiveness for the off state of the resistor, and may increase the on-current as a result of strain effects on the other layer or layers in the channel. Strain techniques may be employed from covering and insulator material above, below, and surrounding the transistor channel and gate. Lattice modifiers may be employed to strain the silicon, such as, for example, an embedded SiGe implantation and anneal. The cross section of the transistor channel may be rectangular, circular, or oval shaped, to enhance the gate control of the channel. Alternatively, to optimize the mobility of the P-channel junction-less transistor in the 3D layer transfer method, the donor wafer may be rotated with respect to the acceptor wafer prior to bonding to facilitate the creation of the P-channel in the <110> silicon plane direction or may include other silicon crystal orientations such as <511>.
  • 3D memory device structures may also be constructed in layers of mono-crystalline silicon and utilize pre-processing a donor wafer by forming wafer sized layers of various materials without a process temperature restriction, then layer transferring the pre-processed donor wafer to the acceptor wafer, followed by some processing steps, and repeating this procedure multiple times, and then processing with either low temperature (below approximately 400° C.) or high temperature (greater than approximately 400° C.) after the final layer transfer to form memory device structures, such as, for example, transistors, capacitors, resistors, or memristors, on or in the multiple transferred layers that may be physically aligned and may be electrically coupled to the acceptor wafer.
  • Novel monolithic 3D Dynamic Random Access Memories (DRAMs) may be constructed in the above manner. Some embodiments of the invention utilize the floating body DRAM type.
  • Further details of a floating body DRAM and its operation modes can be found in U.S. Pat. Nos. 7,541,616, 7,514,748, 7,499,358, 7,499,352, 7,492,632, 7,486,563, 7,477,540, and 7,476,939. Background information on floating body DRAM and its operation is given in “Floating Body RAM Technology and its Scalability to 32 nm Node and Beyond,” Electron Devices Meeting, 2006. IEDM '06. International, vol., no., pp. 1-4, 11-13 Dec. 2006 by T. Shino, et. al.; “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”, Solid-State Electronics, Volume 53, Issue 7; “Papers Selected from the 38th European Solid-State Device Research Conference”—ESSDERC'08, July 2009, pages 676-683, ISSN 0038-1101, DOI: 10.1016/j.sse.2009.03.010 by Takeshi Hamamoto, et al.; “New Generation of Z-RAM,” Electron Devices Meeting, 2007. IEDM 2007. IEEE International, vol., no., pp. 925-928, 10-12 Dec. 2007 by Okhonin, S., et al. Prior art for constructing monolithic 3D DRAMs used planar transistors where crystalline silicon layers were formed with either selective epitaxy technology or laser recrystallization. Both selective epitaxy technology and laser recrystallization may not provide perfectly mono-crystalline silicon and often may require a high thermal budget. A description of these processes is given in the book entitled “Integrated Interconnect Technologies for 3D Nanoelectronic Systems” by Bakir and Meindl. The contents of these documents are incorporated in this specification by reference.
  • As illustrated in FIGS. 6A to 6L, a horizontally-oriented monolithic 3D DRAM that utilizes zero additional masking steps per memory layer by sharing mask steps after substantially all the layers have been transferred may be constructed that may be suitable for 3D IC manufacturing.
  • As illustrated in FIG. 6A, a silicon substrate with peripheral circuitry 602 may be constructed with high temperature (greater than approximately 400° C.) resistant wiring, such as, for example, Tungsten. The peripheral circuitry substrate 602 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory. The peripheral circuitry substrate 602 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance. For this purpose, the peripheral circuits may be formed such that they have not been subjected to a weak RTA or no RTA for activating dopants in anticipation of anneals later in the process flow. The top surface of the peripheral circuitry substrate 602 may be prepared for oxide wafer bonding with a deposition of a silicon oxide layer 604, thus forming acceptor wafer 614.
  • As illustrated in FIG. 6B, a mono-crystalline silicon donor wafer 612 may be processed to include a wafer sized layer of P− doping (not shown) which may have a different dopant concentration than the P− substrate 606. The P− doping layer may be formed by ion implantation and thermal anneal. A screen oxide layer 608 may be grown or deposited prior to the implant to protect the silicon from implant contamination and to provide an oxide surface for later wafer to wafer bonding. A layer transfer demarcation plane 610 (shown as a dashed line) may be formed in donor wafer 612 within the P− substrate 606 or the P− doping layer (not shown) by hydrogen implantation or other methods as previously described. Both the donor wafer 612 and acceptor wafer 614 may be prepared for wafer bonding as previously described and then bonded at the surfaces of oxide layer 604 and oxide layer 608, for example, at a low temperature (less than approximately 400° C.) for lowest stresses, or a moderate temperature (less than approximately 900° C.).
  • As illustrated in FIG. 6C, the portion of the P− layer (not shown) and the P− substrate 606 that may be above the layer transfer demarcation plane 610 may be removed by cleaving and polishing, or other processes as previously described, such as, for example, ion-cut or other methods, thus forming the remaining mono-crystalline silicon P− layer 606′. Remaining P− layer 606′ and oxide layer 608 have been layer transferred to acceptor wafer 614. The top surface of P− layer 606′ may be chemically or mechanically polished smooth and flat. Now transistors or portions of transistors may be formed and aligned to the acceptor wafer 614 alignment marks (not shown). Oxide layer 620 may be deposited to prepare the surface for later oxide to oxide bonding. This now forms the first Si/SiO2 layer 623 which includes silicon oxide layer 620, P− layer 606′, and oxide layer 608.
  • As illustrated in FIG. 6D, additional Si/SiO2 layers, such as, for example, second Si/SiO2 layer 625 and third Si/SiO2 layer 627, may each be formed as described in FIGS. 6A to 6C. Oxide layer 629 may be deposited to electrically isolate the top silicon layer.
  • As illustrated in FIG. 6E, oxide layer 629, third Si/SiO2 layer 627, second Si/SiO2 layer 625 and first Si/SiO2 layer 623 may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which may include regions of P− silicon 616 and oxide 622.
  • As illustrated in FIG. 6F, a gate dielectric and gate electrode material may be deposited, planarized with a chemical mechanical polish (CMP), and then lithographically defined and plasma/RIE etched to form gate dielectric regions 628 which may either be self-aligned to and substantially covered by gate electrodes 630 (shown), or substantially cover the entire silicon/oxide multi-layer structure. The gate stack including gate electrode 630 and gate dielectric regions 628 may be formed with a gate dielectric, such as, for example, thermal oxide, and a gate electrode material, such as, for example, poly-crystalline silicon. Alternatively, the gate dielectric may be an atomic layer deposited (ALD) material that may be paired with a work function specific gate metal in the industry standard high k metal gate process schemes described previously. Further, the gate dielectric may be formed with a rapid thermal oxidation (RTO), a low temperature oxide deposition or low temperature microwave plasma oxidation of the silicon surfaces and then a gate electrode such as, for example, tungsten or aluminum may be deposited.
  • As illustrated in FIG. 6G, N+ silicon regions 626 may be formed in a self-aligned manner to the gate electrodes 630 by ion implantation of an N type species, such as, for example, Arsenic, into the portions of P− silicon regions 616 that may not be blocked by the gate electrodes 630. This forms remaining regions of P− silicon 617 (not shown) in the gate electrode 630 blocked areas. Different implant energies or angles, or multiples of each, may be utilized to place the N type species into each layer of P− silicon regions 616. Spacers (not shown) may be utilized during this multi-step implantation process and layers of silicon present in different layers of the stack may have different spacer widths to account for the differing lateral straggle of N type species implants. Bottom layers, such as, for example, first Si/SiO2 layer 623, could have larger spacer widths than top layers, such as, for example, third Si/SiO2 layer 627. Alternatively, angular ion implantation with substrate rotation may be utilized to compensate for the differing implant straggle. The top layer implantation may have a steeper angle than perpendicular to the wafer surface and hence land ions slightly underneath the gate electrode 630 edges and closely match a more perpendicular lower layer implantation which may land ions slightly underneath the gate electrode 630 edge as a result of the straggle effects of the greater implant energy necessary to reach the lower layer. A rapid thermal anneal (RTA) may be conducted to activate the dopants in substantially all of the memory layers 623, 625, 627 and in the peripheral circuitry substrate 602. Alternatively, optical anneals, such as, for example, a laser based anneal, may be performed.
  • As illustrated in FIG. 6H, the entire structure may be substantially covered with a gap fill oxide 632, which be planarized with chemical mechanical polishing. The oxide 632 is shown transparent in the figure for clarity. Word-line regions (WL) 650, coupled with and composed of gate electrodes 630, and source-line regions (SL) 652, composed of indicated N+ silicon regions 626, are shown.
  • As illustrated in FIG. 6I, bit-line (BL) contacts 634 may be lithographically defined, etched with plasma/RIE, photoresist removed, and then metal, such as, for example, copper, aluminum, or tungsten, may be deposited to fill the contact and etched or polished to the top of oxide 632. Each BL contact 634 may be shared among substantially all layers of memory, shown as three layers of memory in FIG. 6I. A thru layer via (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor wafer 614 peripheral circuitry via an acceptor wafer metal connect pad (not shown).
  • As illustrated in FIG. 6J, BL metal lines 636 may be formed and connect to the associated BL contacts 634. Contacts and associated metal interconnect lines (not shown) may be formed for the WL and SL at the memory array edges.
  • As illustrated in FIGS. 6K, 6K1 and 6K2, cross section cut II of FIG. 6K is shown in FIG. 6K1, and cross section cut III of FIG. 6K is shown in FIG. 6K2. BL metal lines 636, oxide 632, BL contact 634, WL regions 650, gate dielectric regions 628, N+ silicon regions 626, P− silicon regions 617, and peripheral circuitry substrate 602 are shown in FIG. 6K1. The BL contact 634 couples to one side of the three levels of floating body transistors that may include two N+ silicon regions 626 in each level with their associated P− silicon region 617. BL metal lines 636, oxide 632, gate electrode 630, gate dielectric regions 628, P− silicon regions 617, interlayer oxide region (‘ox’), and peripheral circuitry substrate 602 are shown in FIG. 6K2. The gate electrode 630 may be common to substantially all six P− silicon regions 617 and forms six two-sided gated floating body transistors.
  • As illustrated in FIG. 6L, a single exemplary floating body two gate transistor on the first Si/SiO2 layer 623 may include P− silicon region 617 (functioning as the floating body transistor channel), N+ silicon regions 626 (functioning as source and drain), and two gate electrodes 630 with associated gate dielectric regions 628. The transistor may be electrically isolated from beneath by oxide layer 608.
  • This flow enables the formation of a horizontally-oriented monolithic 3D DRAM that utilizes zero additional masking steps per memory layer and may be constructed by layer transfers of wafer sized doped mono-crystalline silicon layers and may be connected to an underlying multi-metal layer semiconductor device.
  • Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 6A through 6L are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, the transistors may be of another type such as RCATs, or junction-less. Additionally, the contacts may utilize doped poly-crystalline silicon, or other conductive materials. Moreover, the stacked memory layer may be connected to a periphery circuit that may be above the memory stack. Further, each gate of the double gate 3D DRAM can be independently controlled for increased control of the memory cell. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.
  • Novel monolithic 3D memory technologies utilizing material resistance changes may be constructed in a similar manner. There are many types of resistance-based memories including phase change memory, Metal Oxide memory, resistive RAM (RRAM), memristors, solid-electrolyte memory, ferroelectric RAM, and MRAM. Background information on these resistive-memory types is given in “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 449-464, July 2008 by Burr, G. W., et al. The contents of this document are incorporated in this specification by reference.
  • As illustrated in FIGS. 7A to 7K, a resistance-based zero additional masking steps per memory layer 3D memory may be constructed that may be suitable for 3D IC manufacturing. This 3D memory utilizes junction-less transistors and may have a resistance-based memory element in series with a select or access transistor.
  • As illustrated in FIG. 7A, a silicon substrate with peripheral circuitry 702 may be constructed with high temperature (greater than approximately 400° C.) resistant wiring, such as, for example, Tungsten. The peripheral circuitry substrate 702 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory. The peripheral circuitry substrate 702 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance. For this purpose, the peripheral circuits may be formed such that they have not been subjected to a weak RTA or no RTA for activating dopants in anticipation of anneals later in the process flow. The top surface of the peripheral circuitry substrate 702 may be prepared for oxide wafer bonding with a deposition of a silicon oxide layer 704, thus forming acceptor wafer 714.
  • As illustrated in FIG. 7B, a mono-crystalline silicon donor wafer 712 may be processed to include a wafer sized layer of N+ doping (not shown) which may have a different dopant concentration than the N+ substrate 706. The N+ doping layer may be formed by ion implantation and thermal anneal. A screen oxide layer 708 may be grown or deposited prior to the implant to protect the silicon from implant contamination and to provide an oxide surface for later wafer to wafer bonding. A layer transfer demarcation plane 710 (shown as a dashed line) may be formed in donor wafer 712 within the N+ substrate 706 or the N+ doping layer (not shown) by hydrogen implantation or other methods as previously described. Both the donor wafer 712 and acceptor wafer 714 may be prepared for wafer bonding as previously described and then bonded at the surfaces of oxide layer 704 and oxide layer 708, for example, at a low temperature (less than approximately 400° C.) for lowest stresses, or a moderate temperature (less than approximately 900° C.).
  • As illustrated in FIG. 7C, the portion of the N+ layer (not shown) and the N+ wafer substrate 706 that may be above the layer transfer demarcation plane 710 may be removed by cleaving and polishing, or other processes as previously described, such as, for example, ion-cut or other methods, thus forming the remaining mono-crystalline silicon N+ layer 706′. Remaining N+ layer 706′ and oxide layer 708 have been layer transferred to acceptor wafer 714. The top surface of N+ layer 706′ may be chemically or mechanically polished smooth and flat. Now transistors or portions of transistors may be formed and aligned to the acceptor wafer 714 alignment marks (not shown). Oxide layer 720 may be deposited to prepare the surface for later oxide to oxide bonding. This now forms the first Si/SiO2 layer 723 which includes silicon oxide layer 720, N+ silicon layer 706′, and oxide layer 708.
  • As illustrated in FIG. 7D, additional Si/SiO2 layers, such as, for example, second Si/SiO2 layer 725 and third Si/SiO2 layer 727, may each be formed as described in FIGS. 7A to 7C. Oxide layer 729 may be deposited to electrically isolate the top N+ silicon layer.
  • As illustrated in FIG. 7E, oxide layer 729, third Si/SiO2 layer 727, second Si/SiO2 layer 725 and first Si/SiO2 layer 723 may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which now includes regions of N+ silicon 726 and oxide 722.
  • As illustrated in FIG. 7F, a gate dielectric and gate electrode material may be deposited, planarized with a chemical mechanical polish (CMP), and then lithographically defined and plasma/RIE etched to form gate dielectric regions 728 which may either be self-aligned to and substantially covered by gate electrodes 730 (shown), or substantially cover the entire N+ silicon 726 and oxide 722 multi-layer structure. The gate stack including gate electrodes 730 and gate dielectric regions 728 may be formed with a gate dielectric, such as, for example, thermal oxide, and a gate electrode material, such as, for example, poly-crystalline silicon. Alternatively, the gate dielectric may be an atomic layer deposited (ALD) material that may be paired with a work function specific gate metal in the industry standard high k metal gate process schemes described previously. Further, the gate dielectric may be formed with a rapid thermal oxidation (RTO), a low temperature oxide deposition or low temperature microwave plasma oxidation of the silicon surfaces and then a gate electrode such as, for example, tungsten or aluminum may be deposited.
  • As illustrated in FIG. 7G, the entire structure may be substantially covered with a gap fill oxide 732, which may be planarized with chemical mechanical polishing. The oxide 732 is shown transparent in the figure for clarity. Word-line regions (WL) 750, coupled with and composed of gate electrodes 730, and source-line regions (SL) 752, composed of N+ silicon regions 726, are shown.
  • As illustrated in FIG. 7H, bit-line (BL) contacts 734 may be lithographically defined, etched with plasma/RIE through oxide 732, the three N+ silicon regions 726, and associated oxide vertical isolation regions to connect substantially all memory layers vertically, and photoresist removed. Resistance change material 738, such as, for example, hafnium oxide, may then be deposited, for example, with atomic layer deposition (ALD). The electrode for the resistance change memory element may then be deposited by ALD to form the electrode/BL contact 734. The excess deposited material may be polished to planarity at or below the top of oxide 732. Each BL contact 734 with resistive change material 738 may be shared among substantially all layers of memory, shown as three layers of memory in FIG. 7H.
  • As illustrated in FIG. 7I, BL metal lines 736 may be formed and connect to the associated BL contacts 734 with resistive change material 738. Contacts and associated metal interconnect lines (not shown) may be formed for the WL and SL at the memory array edges. A thru layer via (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor wafer 714 peripheral circuitry via an acceptor wafer metal connect pad (not shown).
  • As illustrated in FIGS. 7J, 7J1 and 7J2, cross section cut II of FIG. 7J is shown in FIG. 7J1, and cross section cut III of FIG. 7J is shown in FIG. 7J2. BL metal lines 736, oxide 732, BL contact/electrode 2534, resistive change material 738, WL regions 750, gate dielectric regions 728, N+ silicon regions 726, and peripheral circuitry substrate 702 are shown in FIG. 7J1. The BL contact/electrode 2534 couples to one side of the three levels of resistive change material 738. The other side of the resistive change material 738 may be coupled to N+ regions 726. BL metal lines 736, oxide 732, gate electrodes 730, gate dielectric regions 728, N+ silicon regions 726, interlayer oxide region (‘ox’), and peripheral circuitry substrate 702 are shown in FIG. 7J2. The gate electrode 730 may be common to substantially all six N+ silicon regions 726 and forms six two-sided gated junction-less transistors as memory select transistors.
  • As illustrated in FIG. 7K, a single exemplary two-sided gated junction-less transistor on the first Si/SiO2 layer 723 may include N+ silicon region 726 (functioning as the source, drain, and transistor channel), and two gate electrodes 730 with associated gate dielectric regions 728. The transistor may be electrically isolated from beneath by oxide layer 708.
  • This flow enables the formation of a resistance-based multi-layer or 3D memory array with zero additional masking steps per memory layer, which utilizes junction-less transistors and may have a resistance-based memory element in series with a select transistor, and may be constructed by layer transfers of wafer sized doped mono-crystalline silicon layers, and this 3D memory array may be connected to an underlying multi-metal layer semiconductor device.
  • Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 7A through 7K are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, the transistors may be of another type such as RCATs. Additionally, doping of each N+ layer may be slightly different to compensate for interconnect resistances. Moreover, the stacked memory layer may be connected to a periphery circuit that may be above the memory stack. Further, each gate of the double gate 3D resistance based memory can be independently controlled for increased control of the memory cell. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.
  • The monolithic 3D integration concepts described in this patent application can lead to novel embodiments of poly-crystalline silicon based memory architectures. While the below concepts in FIG. 8 are explained by using resistive memory architectures as an example, it will be clear to one skilled in the art that similar concepts can be applied to the NAND flash, charge trap, and DRAM memory architectures and process flows described previously in this patent application and incorporated by reference co-owned applications.
  • As illustrated in FIGS. 8A to 8K, a resistance-based 3D memory with zero additional masking steps per memory layer may be constructed with methods that may be suitable for 3D IC manufacturing. This 3D memory utilizes poly-crystalline silicon junction-less transistors that may have either a positive or a negative threshold voltage and may have a resistance-based memory element in series with a select or access transistor.
  • As illustrated in FIG. 8A, a silicon substrate with peripheral circuitry 802 may be constructed with high temperature (greater than approximately 400° C.) resistant wiring, such as, for example, Tungsten. The peripheral circuitry substrate 802 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory. The peripheral circuitry substrate 802 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance. For this purpose, the peripheral circuits may be formed such that they have not been subjected to a weak RTA or no RTA for activating dopants. Silicon oxide layer 804 may be deposited on the top surface of the peripheral circuitry substrate.
  • As illustrated in FIG. 8B, a layer of N+ doped poly-crystalline or amorphous silicon 806 may be deposited. The amorphous silicon or poly-crystalline silicon layer 806 may be deposited using a chemical vapor deposition process, such as, for example, LPCVD or PECVD, or other process methods, and may be deposited doped with N+ dopants, such as, for example, Arsenic or Phosphorous, or may be deposited un-doped and subsequently doped with, such as, for example, ion implantation or PLAD (PLasma Assisted Doping) techniques. Silicon Oxide 820 may then be deposited or grown. This now forms the first Si/SiO2 layer 823 which includes N+ doped poly-crystalline or amorphous silicon layer 806 and silicon oxide layer 820.
  • As illustrated in FIG. 8C, additional Si/SiO2 layers, such as, for example, second Si/SiO2 layer 825 and third Si/SiO2 layer 827, may each be formed as described in FIG. 8B. Oxide layer 829 may be deposited to electrically isolate the top N+ doped poly-crystalline or amorphous silicon layer.
  • As illustrated in FIG. 8D, a Rapid Thermal Anneal (RTA) may be conducted to crystallize the N+ doped poly-crystalline silicon or amorphous silicon layers 806 of first Si/SiO2 layer 823, second Si/SiO2 layer 825, and third Si/SiO2 layer 827, forming crystallized N+ silicon layers 816. Temperatures during this RTA may be as high as approximately 800° C. Alternatively, an optical anneal, such as, for example, a laser anneal, could be performed alone or in combination with the RTA or other annealing processes.
  • As illustrated in FIG. 8E, oxide layer 829, third Si/SiO2 layer 827, second Si/SiO2 layer 825 and first Si/SiO2 layer 823 may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which now includes multiple layers of regions of crystallized N+ silicon 826 (previously crystallized N+ silicon layers 816) and oxide 822.
  • As illustrated in FIG. 8F, a gate dielectric and gate electrode material may be deposited, planarized with a chemical mechanical polish (CMP), and then lithographically defined and plasma/RIE etched to form gate dielectric regions 828 which may either be self-aligned to and substantially covered by gate electrodes 830 (shown), or substantially cover the entire crystallized N+ silicon regions 826 and oxide regions 822 multi-layer structure. The gate stack may include gate electrodes 830 and gate dielectric regions 828, and may be formed with a gate dielectric, such as, for example, thermal oxide, and a gate electrode material, such as, for example, poly-crystalline silicon. Alternatively, the gate dielectric may be an atomic layer deposited (ALD) material that may be paired with a work function specific gate metal in the industry standard high k metal gate process schemes described previously. Further, the gate dielectric may be formed with a rapid thermal oxidation (RTO), a low temperature oxide deposition or low temperature microwave plasma oxidation of the silicon surfaces and then a gate electrode such as, for example, tungsten or aluminum may be deposited.
  • As illustrated in FIG. 8G, the entire structure may be substantially covered with a gap fill oxide 832, which may be planarized with chemical mechanical polishing. The oxide 832 is shown transparently in the figure for clarity. Word-line regions (WL) 850, coupled with and composed of gate electrodes 830, and source-line regions (SL) 852, composed of crystallized N+ silicon regions 826, are shown.
  • As illustrated in FIG. 8H, bit-line (BL) contacts 834 may be lithographically defined, etched with plasma/RIE through oxide 832, the three crystallized N+ silicon regions 826, and associated oxide vertical isolation regions to connect substantially all memory layers vertically, and photoresist removed. Resistance change material 838, such as, for example, hafnium oxides or titanium oxides, may then be deposited, for example, with atomic layer deposition (ALD). The electrode for the resistance change memory element may then be deposited by ALD to form the electrode/BL contact 834. The excess deposited material may be polished to planarity at or below the top of oxide 832.
  • Each BL contact 834 with resistive change material 838 may be shared among substantially all layers of memory, shown as three layers of memory in FIG. 8H.
  • As illustrated in FIG. 8I, BL metal lines 836 may be formed and connect to the associated BL contacts 834 with resistive change material 838. Contacts and associated metal interconnect lines (not shown) may be formed for the WL and SL at the memory array edges. Thru layer vias (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor substrate peripheral circuitry via acceptor wafer metal connect pads (not shown).
  • As illustrated in FIGS. 8J, 8J1 and 8J2, cross section cut II of FIG. 8J is shown in FIG. 8J1, and cross section cut III of FIG. 8J is shown in FIG. 8J2. BL metal line 836, oxide 832, BL contact/electrode 4934, resistive change material 838, WL regions 850, gate dielectric regions 828, crystallized N+ silicon regions 826, and peripheral circuitry substrate 802 are shown in FIG. 8J1. The BL contact/electrode 4934 couples to one side of the three levels of resistive change material 838. The other side of the resistive change material 838 may be coupled to crystallized N+ regions 826. BL metal lines 836, oxide 832, gate electrode 830, gate dielectric regions 828, crystallized N+ silicon regions 826, interlayer oxide region (‘ox’), and peripheral circuitry substrate 802 are shown in FIG. 8J2. The gate electrode 830 may be common to substantially all six crystallized N+ silicon regions 826 and forms six two-sided gated junction-less transistors as memory select transistors.
  • As illustrated in FIG. 8K, a single exemplary two-sided gated junction-less transistor on the first Si/SiO2 layer 823 may include crystallized N+ silicon region 826 (functioning as the source, drain, and transistor channel), and two gate electrodes 830 with associated gate dielectric regions 828. The transistor may be electrically isolated from beneath by oxide layer 808.
  • This flow enables the formation of a resistance-based multi-layer or 3D memory array with zero additional masking steps per memory layer, which utilizes poly-crystalline silicon junction-less transistors and may have a resistance-based memory element in series with a select transistor, and may be constructed by layer transfers of wafer sized doped poly-crystalline silicon layers, and this 3D memory array may be connected to an underlying multi-metal layer semiconductor device.
  • Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 8A through 8K are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, the RTAs and/or optical anneals of the N+ doped poly-crystalline or amorphous silicon layers 806 as described for FIG. 8D may be performed after each Si/SiO2 layer is formed in FIG. 8C. Additionally, N+ doped poly-crystalline or amorphous silicon layers 806 may be doped P+, or with a combination of dopants and other polysilicon network modifiers to enhance the RTA or optical annealing and subsequent crystallization and lower the N+ silicon layer 816 resistivity. Moreover, the doping of each crystallized N+ layer may be slightly different to compensate for interconnect resistances. Further, each gate of the double gated 3D resistance based memory may be independently controlled for increased control of the memory cell. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.
  • Some embodiments of the invention may include alternative techniques to build IC (Integrated Circuit) devices including techniques and methods to construct 3D IC systems. Some embodiments of the invention may enable device solutions with far less power consumption than prior art. These device solutions could be very useful for the growing application of mobile electronic devices and mobile systems such as, for example, mobile phones, smart phone, and cameras, those mobile systems may also connect to the internet. For example, incorporating the 3D IC semiconductor devices according to some embodiments of the invention within these mobile electronic devices and mobile systems could provide superior mobile units that could operate much more efficiently and for a much longer time than with prior art technology.
  • Smart mobile systems may be greatly enhanced by complex electronics at a limited power budget. The 3D technology described in the multiple embodiments of the invention would allow the construction of low power high complexity mobile electronic systems. For example, it would be possible to integrate into a small form function a complex logic circuit with high density high speed memory utilizing some of the 3D DRAM embodiments of the invention and add some non-volatile 3D NAND charge trap or RRAM described in some embodiments of the invention.
  • In U.S. application Ser. No. 12/903,862, filed by some of the inventors and assigned to the same assignee, a 3D micro display and a 3D image sensor are presented. Integrating one or both of these with complex logic and or memory could be very effective for mobile system. Additionally, mobile systems could be customized to some specific market applications by integrating some embodiments of the invention.
  • Moreover, utilizing 3D programmable logic or 3D gate array as had been described in some embodiments of the invention could be very effective in forming flexible mobile systems.
  • The need to reduce power to allow effective use of limited battery energy and also the lightweight and small form factor derived by highly integrating functions with low waste of interconnect and substrate could be highly benefitted by the redundancy and repair idea of the 3D monolithic technology as has been presented in embodiments of the invention. This unique technology could enable a mobile device that would be lower cost to produce or would require lower power to operate or would provide a lower size or lighter carry weight, and combinations of these 3D monolithic technology features may provide a competitive or desirable mobile system.
  • Another unique market that may be addressed by some of the embodiments of the invention could be a street corner camera with supporting electronics. The 3D image sensor described in the Ser. No. 12/903,862 application would be very effective for day/night and multi-spectrum surveillance applications. The 3D image sensor could be supported by integrated logic and memory such as, for example, a monolithic 3D IC with a combination of image processing and image compression logic and memory, both high speed memory such as 3D DRAM and high density non-volatile memory such as 3D NAND or RRAM or other memory, and other combinations. This street corner camera application would require low power, low cost, and low size or any combination of these features, and could be highly benefitted from the 3D technologies described herein.
  • 3D ICs according to some embodiments of the invention could enable electronic and semiconductor devices with much a higher performance as a result from the shorter interconnect as well as semiconductor devices with far more complexity via multiple levels of logic and providing the ability to repair or use redundancy. The achievable complexity of the semiconductor devices according to some embodiments of the invention could far exceed what may be practical with the prior art technology. These potential advantages could lead to more powerful computer systems and improved systems that have embedded computers.
  • Some embodiments of the invention may enable the design of state of the art electronic systems at a greatly reduced non-recurring engineering (NRE) cost by the use of high density 3D FPGAs or various forms of 3D array based ICs with reduced custom masks as described herein. These systems could be deployed in many products and in many market segments. Reduction of the NRE may enable new product family or application development and deployment early in the product lifecycle by lowering the risk of upfront investment prior to a market being developed. The above potential advantages may also be provided by various mixes such as reduced NRE using generic masks for layers of logic and other generic masks for layers of memories and building a very complex system using the repair technology to overcome the inherent yield difficulties. Another form of mix could be building a 3D FPGA and add on it 3D layers of customizable logic and memory so the end system could have field programmable logic on top of the factory customized logic. There may be many ways to mix the many innovative elements to form 3D IC to support the needs of an end system, including using multiple devices wherein more than one device incorporates elements of embodiments of the invention. An end system could benefit from a memory devices utilizing the 3D memory of some embodiments of the invention together with high performance 3D FPGA of some of the embodiments of the invention together with high density 3D logic and so forth. Using devices that can use one or multiple elements according to some embodiments of the invention may allow for increased performance or lower power and other potential advantages resulting from the use of some embodiments of the inventions to provide the end system with a competitive edge. Such end system could be electronic based products or other types of systems that may include some level of embedded electronics, such as, for example, cars and remote controlled vehicles.
  • Commercial wireless mobile communications have been developed for almost thirty years, and play a special role in today's information and communication technology Industries. The mobile wireless terminal device has become part of our life, as well as the Internet, and the mobile wireless terminal device may continue to have a more important role on a worldwide basis. Currently, mobile (wireless) phones are undergoing much development to provide advanced functionality. The mobile phone network is a network such as a GSM, GPRS, or WCDMA, 3G and 4G standards, and the network may allow mobile phones to communicate with each other. The base station may be for transmitting (and receiving) information to the mobile phone.
  • A typical mobile phone system may include, for example, a processor, a flash memory, a static random access memory, a display, a removable memory, a radio frequency (RF) receiver/transmitter, an analog base band (ABB), a digital base band (DBB), an image sensor, a high-speed bi-directional interface, a keypad, a microphone, and a speaker. A typical mobile phone system may include a multiplicity of an element, for example, two or more static random access memories, two or more displays, two or more RF receiver/transmitters, and so on.
  • Conventional radios used in wireless communications, such as radios used in conventional cellular telephones, typically may include several discrete RF circuit components. Some receiver architectures may employ superhetrodyne techniques. In a superhetrodyne architecture an incoming signal may be frequency translated from its radio frequency (RF) to a lower intermediate frequency (IF). The signal at IF may be subsequently translated to baseband where further digital signal processing or demodulation may take place. Receiver designs may have multiple IF stages. The reason for using such a frequency translation scheme is that circuit design at the lower IF frequency may be more manageable for signal processing. It is at these IF frequencies that the selectivity of the receiver may be implemented, automatic gain control (AGC) may be introduced, etc.
  • A mobile phone's need of a high-speed data communication capability in addition to a speech communication capability has increased in recent years. In GSM (Global System for Mobile communications), one of European Mobile Communications Standards, GPRS (General Packet Radio Service) has been developed for speeding up data communication by allowing a plurality of time slot transmissions for one time slot transmission in the GSM with the multiplexing TDMA (Time Division Multiple Access) architecture. EDGE (Enhanced Data for GSM Evolution) architecture provides faster communications over GPRS.
  • 4th Generation (4G) mobile systems aim to provide broadband wireless access with nominal data rates of 100 Mbit/s. 4G systems may be based on the 3GPP LTE (Long Term Evolution) cellular standard, WiMax or Flash-OFDM wireless metropolitan area network technologies. The radio interface in these systems may be based on all-IP packet switching, MIMO diversity, multi-carrier modulation schemes, Dynamic Channel Assignment (DCA) and channel-dependent scheduling.
  • Prior art such as U.S. application Ser. No. 12/871,984 may provide a description of a mobile device and its block-diagram.
  • It is understood that the use of specific component, device and/or parameter names (such as those of the executing utility/logic described herein) are for example only and not meant to imply any limitations on the invention. The invention may thus be implemented with different nomenclature/terminology utilized to describe the components/devices/parameters herein, without limitation. Each term utilized herein is to be given its broadest interpretation given the context in which that term is utilized. For example, as utilized herein, the following terms are generally defined:
  • (1) Mobile computing/communication device (MCD): is a device that may be a mobile communication device, such as a cell phone, or a mobile computer that performs wired and/or wireless communication via a connected wireless/wired network. In some embodiments, the MCD may include a combination of the functionality associated with both types of devices within a single standard device (e.g., a smart phones or personal digital assistant (PDA)) for use as both a communication device and a computing device.
  • A block diagram representation of an exemplary mobile computing device (MCD) is illustrated in FIG. 9 , within which several of the features of the described embodiments may be implemented. MCD 900 may be a desktop computer, a portable computing device, such as a laptop, personal digital assistant (PDA), a smart phone, and/or other types of electronic devices that may generally be considered processing devices. As illustrated, MCD 900 may include at least one processor or central processing unit (CPU) 902 which may be connected to system memory 906 via system interconnect/bus 904. CPU 902 may include at least one digital signal processing unit (DSP). Also connected to system interconnect/bus 904 may be input/output (I/O) controller 915, which may provide connectivity and control for input devices, of which pointing device (or mouse) 916 and keyboard 917 are illustrated. I/O controller 915 may also provide connectivity and control for output devices, of which display 918 is illustrated. Additionally, a multimedia drive 919 (e.g., compact disk read/write (CDRW) or digital video disk (DVD) drive) and USB (universal serial bus) port 920 are illustrated, and may be coupled to I/O controller 915. Multimedia drive 919 and USB port 920 may enable insertion of a removable storage device (e.g., optical disk or “thumb” drive) on which data/instructions/code may be stored and/or from which data/instructions/code may be retrieved. MCD 900 may also include storage 922, within/from which data/instructions/code may also be stored/retrieved. MCD 900 may further include a global positioning system (GPS) or local position system (LPS) detection component 924 by which MCD 900 may be able to detect its current location (e.g., a geographical position) and movement of MCD 900, in real time. MCD 900 may include a network/communication interface 925, by which MCD 900 may connect to one or more second communication devices 932 or to wireless service provider server 937, or to a third party server 938 via one or more access/external communication networks, of which a wireless Communication Network 930 is provided as one example and the Internet 936 is provided as a second example. It is appreciated that MCD 900 may connect to third party server 938 through an initial connection with Communication Network 930, which in turn may connect to third party server 938 via the Internet 936.
  • In addition to the above described hardware components of MCD 900, various features of the described embodiments may be completed/supported via software (or firmware) code or logic stored within system memory 906 or other storage (e.g., storage 922) and may be executed by CPU 902. Thus, for example, illustrated within system memory 906 are a number of software/firmware/logic components, including operating system (OS) 908 (e.g., Microsoft Windows® or Windows Mobile®, trademarks of Microsoft Corp, or GNU®/Linux®, registered trademarks of the Free Software Foundation and The Linux Mark Institute, and AIX®, registered trademark of International Business Machines), and (word processing and/or other) application(s) 909. Also illustrated are a plurality (four illustrated) software implemented utilities, each providing different one of the various functions (or advanced features) described herein. Including within these various functional utilities are: Simultaneous Text Waiting (STW) utility 911, Dynamic Area Code Pre-pending (DACP) utility 912, Advanced Editing and Interfacing (AEI) utility 912 and Safe Texting Device Usage (STDU) utility 914. In actual implementation and for simplicity in the following descriptions, each of these different functional utilities are assumed to be packaged together as sub-components of a general MCD utility 910, and the various utilities are interchangeably referred to as MCD utility 910 when describing the utilities within the figures and claims. For simplicity, the following description will refer to a single utility, namely MCD utility 910. MCD utility 910 may, in some embodiments, be combined with one or more other software modules, including for example, word processing application(s) 909 and/or OS 908 to provide a single executable component, which then may provide the collective functions of each individual software component when the corresponding combined code of the single executable component is executed by CPU 902. Each separate utility 111/112/113/114 is illustrated and described as a standalone or separate software/firmware component/module, which provides specific functions, as described below. As a standalone component/module, MCD utility 910 may be acquired as an off-the-shelf or after-market or downloadable enhancement to existing program applications or device functions, such as voice call waiting functionality (not shown) and user interactive applications with editable content, such as, for example, an application within the Windows Mobile® suite of applications. In at least one implementation, MCD utility 910 may be downloaded from a server or website of a wireless provider (e.g., wireless service provider server 937) or a third party server 938, and either installed on MCD 900 or executed from the wireless service provider server 937 or third party server 913.
  • CPU 902 may execute MCD utility 910 as well as OS 908, which, in one embodiment, may support the user interface features of MCD utility 910, such as generation of a graphical user interface (GUI), where required/supported within MCD utility code. In several of the described embodiments, MCD utility 910 may generate/provide one or more GUIs to enable user interaction with, or manipulation of, functional features of MCD utility 910 and/or of MCD 900. MCD utility 910 may, in certain embodiments, enable certain hardware and firmware functions and may thus be generally referred to as MCD logic.
  • Some of the functions supported and/or provided by MCD utility 910 may be enabled as processing code/instructions/logic executing on DSP/CPU 902 and/or other device hardware, and the processor thus may complete the implementation of those function(s). Among, for example, the software code/instructions/logic provided by MCD utility 910, and which are specific to some of the described embodiments of the invention, may be code/logic for performing several (one or a plurality) of the following functions: (1) Simultaneous texting during ongoing voice communication providing a text waiting mode for both single number mobile communication devices and multiple number mobile communication devices; (2) Dynamic area code determination and automatic back-filling of area codes when a requested/desired voice or text communication is initiated without the area code while the mobile communication device is outside of its home-base area code toll area; (3) Enhanced editing functionality for applications on mobile computing devices; (4) Automatic toggle from manual texting mode to voice-to-text based communication mode on detection of high velocity movement of the mobile communication device; and (5) Enhanced e-mail notification system providing advanced e-mail notification via (sender or recipient directed) texting to a mobile communication device.
  • Utilizing monolithic 3D IC technology described herein and in related application Ser. Nos. 12/903,862, 12/903,847, 12/904,103 and 13/041,405 significant power and cost could be saved. Most of the elements in MCD 900 could be integrated in one 3D IC. Some of the MCD 900 elements may be logic functions which could utilize monolithic 3D transistors such as, for example, RCAT or Gate-Last. Some of the MCD 900 elements are storage devices and could be integrated on a 3D non-volatile memory device, such as, for example, 3D NAND or 3D RRAM, or volatile memory such as, for example, 3D DRAM or SRAM formed from RCAT or gate-last transistors, as been described herein. Storage 922 elements formed in monolithic 3D could be integrated on top or under a logic layer to reduce power and space. Keyboard 917 could be integrated as a touch screen or combination of image sensor and some light projection and could utilize structures described in some of the above mentioned related applications. The network/communication interface 925 could utilize another layer of silicon optimized for RF and gigahertz speed analog circuits or even may be integrated on substrates, such as GaN, that may be a better fit for such circuits. As more and more transistors might be integrated to achieve a high complexity 3D IC system there might be a need to use some embodiments of the invention such as what were called repair and redundancy so to achieve good product yield.
  • Some of the system elements including non-mobile elements, such as the 3rd Party Server 938, might also make use of some embodiments of the 3D IC inventions including repair and redundancy to achieve good product yield for high complexity and large integration. Such large integration may reduce power and cost of the end product which is most attractive and most desired by the system end-use customers.
  • Some embodiments of the 3D IC invention could be used to integrate many of the MCD 900 blocks or elements into one or a few devices. As various blocks get tightly integrated, much of the power required to transfer signals between these elements may be reduced and similarly costs associated with these connections may be saved. Form factor may be compacted as the space associated with the individual substrate and the associated connections may be reduced by use of some embodiments of the 3D IC invention. For mobile device these may be very important competitive advantages. Some of these blocks might be better processed in different process flow or wafer fab location. For example the DSP/CPU 902 is a logic function that might use a logic process flow while the storage 922 might better be done using a NAND Flash technology process flow or wafer fab. An important advantage of some of the embodiments of the monolithic 3D inventions may be to allow some of the layers in the 3D structure to be processed using a logic process flow while another layer in the 3D structure might utilize a memory process flow, and then some other function the modems of the GPS or local position system (LPS) detection component 924 might use a high speed analog process flow or wafer fab. As those diverse functions may be structured in one device onto many different layers, these diverse functions could be very effectively and densely vertically interconnected.
  • Charge trap NAND (Negated AND) memory devices are another form of popular commercial non-volatile memories. Charge trap device store their charge in a charge trap layer, wherein this charge trap layer then influences the channel of a transistor. Background information on charge-trap memory can be found in “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”), “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al., and “Introduction to Flash memory”, Proc. IEEE91, 489-502 (2003) by R. Bez, et al. Work described in Bakir utilized selective epitaxy, laser recrystallization, or polysilicon to form the transistor channel, which results in less than satisfactory transistor performance. The architectures shown in FIGS. 10A-10G may be relevant for any type of charge-trap memory.
  • As illustrated in FIGS. 10A to 10G, a charge trap based 3D memory with zero additional masking steps per memory layer 3D memory may be constructed that may be suitable for 3D IC manufacturing. This 3D memory utilizes NAND strings of charge trap junction-less transistors with junction-less select transistors constructed in mono-crystalline silicon.
  • As illustrated in FIG. 10A, a silicon substrate with peripheral circuitry 1002 may be constructed with high temperature (greater than approximately 400° C.) resistant wiring, such as, for example, Tungsten. The peripheral circuitry substrate 1002 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory. The peripheral circuitry substrate 1002 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance. For this purpose, the peripheral circuits may be formed such that they have not been subjected to a weak RTA or no RTA for activating dopants in anticipation of anneals later in the process flow. The top surface of the peripheral circuitry substrate 1002 may be prepared for oxide wafer bonding with a deposition of a silicon oxide layer 1004, thus forming acceptor wafer 1014.
  • As illustrated in FIG. 10B, a mono-crystalline silicon donor wafer 1012 may be processed to include a wafer sized layer of N+ doping (not shown) which may have a different dopant concentration than the N+ substrate 1006. The N+ doping layer may be formed by ion implantation and thermal anneal. A screen oxide layer 1008 may be grown or deposited prior to the implant to protect the silicon from implant contamination and to provide an oxide surface for later wafer to wafer bonding. A layer transfer demarcation plane 1010 (shown as a dashed line) may be formed in donor wafer 1012 within the N+ substrate 1006 or the N+ doping layer (not shown) by hydrogen implantation or other methods as previously described. Both the donor wafer 1012 and acceptor wafer 1014 may be prepared for wafer bonding as previously described and then bonded at the surfaces of oxide layer 1004 and oxide layer 1008, for example, at a low temperature (less than approximately 400° C.) for lowest stresses, or a moderate temperature (less than approximately 900° C.).
  • As illustrated in FIG. 10C, the portion of the N+ layer (not shown) and the N+ wafer substrate 1006 that may be above the layer transfer demarcation plane 1010 may be removed by cleaving and polishing, or other processes as previously described, such as, for example, ion-cut or other methods, thus forming the remaining mono-crystalline silicon N+ layer 1006′. Remaining N+ layer 1006′ and oxide layer 1008 have been layer transferred to acceptor wafer 1014. The top surface of N+ layer 1006′ may be chemically or mechanically polished smooth and flat. Oxide layer 1020 may be deposited to prepare the surface for later oxide to oxide bonding. This now forms the first Si/SiO2 layer 1023 which includes silicon oxide layer 1020, N+ silicon layer 1006′, and oxide layer 1008.
  • As illustrated in FIG. 10D, additional Si/SiO2 layers, such as, for example, second Si/SiO2 layer 1025 and third Si/SiO2 layer 1027, may each be formed as described in FIGS. 10A to 10C. Oxide layer 1029 may be deposited to electrically isolate the top N+ silicon layer.
  • As illustrated in FIG. 10E, oxide layer 1029, third Si/SiO2 layer 1027, second Si/SiO2 layer 1025 and first Si/SiO2 layer 1023 may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which now includes regions of N+ silicon 1026 and oxide 1022.
  • As illustrated in FIG. 10F, a gate stack may be formed with growth or deposition of a charge trap gate dielectric layer, such as, for example, thermal oxide and silicon nitride layers (ONO: Oxide-Nitride-Oxide), and a gate metal electrode layer, such as, for example, doped or undoped poly-crystalline silicon. The gate metal electrode layer may then be planarized with chemical mechanical polishing. Alternatively, the charge trap gate dielectric layer may include silicon or III-V nano-crystals encased in an oxide. The select transistor gate area 1038 may include a non-charge trap dielectric. The gate metal electrode regions 1030 and gate dielectric regions 1028 of both the NAND string area 1036 and select transistor gate area 1038 may be lithographically defined and plasma/RIE etched.
  • As illustrated in FIG. 10G, the entire structure may be substantially covered with a gap fill oxide 1032, which may be planarized with chemical mechanical polishing. The oxide 1032 is shown transparent in the figure for clarity. Select metal lines 1046 may be formed and connect to the associated select gate contacts 1034. Contacts and associated metal interconnect lines (not shown) may be formed for the WL and SL at the memory array edges. Word-line regions (WL) 1036, coupled with and composed of gate metal electrode regions 1030, and bit-line regions (BL) 1052, composed of indicated N+ silicon regions 1026, are shown. Source regions 1044 may be formed by trench contact etch and fill to couple to the N+ silicon regions on the source end of the NAND string. A thru layer via (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor wafer 1014 peripheral circuitry via an acceptor wafer metal connect pad (not shown).
  • This flow enables the formation of a charge trap based 3D memory with zero additional masking steps per memory layer constructed by layer transfers of wafer sized doped layers of mono-crystalline silicon and this 3D memory may be connected to an underlying multi-metal layer semiconductor device.
  • Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 10A through 10G are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, BL or SL contacts may be constructed in a staircase manner as described previously. Additionally, the stacked memory layer may be connected to a periphery circuit that may be above the memory stack. Moreover, each tier of memory could be configured with a slightly different donor wafer N+ layer doping profile. Further, the memory could be organized in a different manner, such as BL and SL interchanged, or where buried wiring for the memory array may be below the memory layers but above the periphery. Additional types of 3D charge trap memories may be constructed by layer transfer of mono-crystalline silicon; for example, those found in “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. and “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology, 2009 by W. Kim, S. Choi, et al. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.
  • As illustrated in FIGS. 11A to 11J, an n-channel 4-sided gated junction-less transistor (JLT) may be constructed that may be suitable for 3D IC manufacturing. 4-sided gated JLTs can also be referred to as gate-all around JLTs or silicon nanowire JLTs.
  • As illustrated in FIG. 11A, a P− (shown) or N− substrate donor wafer 1200 may be processed to include wafer sized layers of N+ doped silicon 1202 and 1206, and wafer sized layers of n+ SiGe 1204 and 1208. Layers 1202, 1204, 1206, and 1208 may be grown epitaxially and may be carefully engineered in terms of thickness and stoichiometry to keep the defect density that may result from the lattice mismatch between Si and SiGe low. The stoichiometry of the SiGe may be unique to each SiGe layer to provide for different etch rates as may be described later. Some techniques for achieving this include keeping the thickness of the SiGe layers below the critical thickness for forming defects. The top surface of P− substrate donor wafer 1200 may be prepared for oxide wafer bonding with a deposition of an oxide 1213. These processes may be done at temperatures above approximately 400° C. as the layer transfer to the processed substrate with metal interconnects has yet to be done. The N+ doping layers 1202 and 1206 may have a doping concentration that may be more than 10× the doping concentration of P− substrate donor wafer 1200.
  • As illustrated in FIG. 11B, a layer transfer demarcation plane 1299 (shown as a dashed line) may be formed in P− substrate donor wafer 1200 by hydrogen implantation or other methods as previously described.
  • As illustrated in FIG. 11C, both the P− substrate donor wafer 1200 and acceptor wafer 1210 top layers and surfaces may be prepared for wafer bonding as previously described and then P− substrate donor wafer donor wafer 1200 may be flipped over, aligned to the acceptor wafer 1210 alignment marks (not shown) and bonded together at a low temperature (less than approximately 400° C.). Oxide 1213 from the donor wafer and the oxide of the surface of the acceptor wafer 1210 may thus be atomically bonded together are designated as oxide 1214.
  • As illustrated in FIG. 11D, the portion of the P− donor wafer substrate 1200 that is above the layer transfer demarcation plane 1299 may be removed by cleaving and polishing, or other low temperature processes as previously described, such as, for example, ion-cut or other layer transfer methods. A CMP process may be used to remove the remaining P− layer until the N+ silicon layer 1202 may be reached.
  • As illustrated in FIG. 11E, stacks of N+ silicon and n+ SiGe regions that may become transistor channels and gate areas may be formed by lithographic definition and plasma/RIE etching of N+ silicon layers 1202 & 1206 and n+ SiGe layers 1204 & 1208. The result may be stacks of n+ SiGe 1216 and N+ silicon 1218 regions. The isolation among stacks may be filled with a low temperature gap fill oxide 1220 and chemically and mechanically polished (CMP'ed) flat. This may fully isolate the transistors from each other. The stack ends are exposed in the illustration for clarity of understanding.
  • As illustrated in FIG. 11F, eventual ganged or common gate area 1230 may be lithographically defined and oxide etched. This may expose the transistor channels and gate area stack sidewalls of alternating N+ silicon 1218 and n+ SiGe 1216 regions to the eventual ganged or common gate area 1230. The stack ends are exposed in the illustration for clarity of understanding.
  • As illustrated in FIG. 11G, the exposed n+ SiGe regions 1216 may be removed by a selective etch recipe that does not attack the N+ silicon regions 1218. This creates air gaps among the N+ silicon regions 1218 in the eventual ganged or common gate area 1230. Such etching recipes are described in at least “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDMTech. Dig., 2005, pp. 717-720 by S. D. Suk, et al. The n+ SiGe layers farthest from the top edge may be stoichiometrically crafted such that the etch rate of the layer (now region) farthest from the top (such as n+ SiGe layer 1208) may etch slightly faster than the layer (now region) closer to the top (such as n+ SiGe layer 1204), thereby equalizing the eventual gate lengths of the two stacked transistors. The stack ends are exposed in the illustration for clarity of understanding.
  • As illustrated in FIG. 11H, a step of reducing the surface roughness, rounding the edges, and thinning the diameter of the N+ silicon regions 1218 that may be exposed in the ganged or common gate area may utilize a low temperature oxidation and subsequent HF etch removal of the oxide just formed. This step may be repeated multiple times. Hydrogen may be added to the oxidation or separately utilized as a plasma treatment to the exposed N+ silicon surfaces. The result may be a rounded silicon nanowire-like structure to form the eventual transistor gated channel 1236. The stack ends are exposed in the illustration for clarity of understanding.
  • As illustrated in FIG. 11I a low temperature based Gate Dielectric (not shown in this FIG.) may be deposited and densified to serve as the junction-less transistor gate oxide. Alternatively, a low temperature microwave plasma oxidation of the eventual transistor gated channel 1236 silicon surfaces may serve as the JLT gate oxide or an atomic layer deposition (ALD) technique may be utilized to form the HKMG gate oxide as previously described. Then deposition of a low temperature gate material with proper work function and less than approximately 400° C. deposition temperature, such as, for example, P+ doped amorphous silicon, may be performed, to form gate 1212. Alternatively, a HKMG gate structure may be formed as described previously. A CMP may be performed after the gate material deposition. The stack ends are exposed in the illustration for clarity of understanding.
  • FIG. 11J illustrates the JLT transistor stack formed in FIG. 11I with the oxide removed for clarity of viewing, and a cross-sectional cut I of FIG. 11I. Gate 1212 and gate dielectric 1211 surrounds the transistor gated channel 1236 and each ganged or common transistor stack may be isolated from one another by oxide 1222. The source and drain connections of the transistor stacks can be made to the N+ Silicon 1218 and n+ SiGe 1216 regions that may not be covered by the gate 1212.
  • Contacts to the 4-sided gated JLT source, drain, and gate may be made with conventional Back end of Line (BEOL) processing as described previously and coupling from the formed JLTs to the acceptor wafer may be accomplished with formation of a thru layer via connection to an acceptor wafer metal interconnect pad also described previously. This flow enables the formation of a mono-crystalline silicon channel 4-sided gated junction-less transistor that may be formed and connected to the underlying multi-metal layer semiconductor device without exposing the underlying devices to a high temperature.
  • A p channel 4-sided gated JLT may be constructed as above with the N+ silicon layers 1202 and 1208 formed as P+ doped, and the gate metals of gate 1212 may be of appropriate work function to shutoff the p channel at a gate voltage of zero.
  • While the process flow shown in FIGS. 11A-11J illustrates the key steps involved in forming a four-sided gated JLT with 3D stacked components, it is conceivable to one skilled in the art that changes to the process can be made. For example, process steps and additional materials/regions, such as a stressed oxide within the transistor isolation regions, to add strain to JLTs may be added. Additionally, N+ SiGe layers 1204 and 1208 may instead include p+ SiGe or undoped SiGe and the selective etchant formula adjusted. Furthermore, more than two layers of chips or circuits can be 3D stacked. Moreover there may be many methods to construct silicon nanowire transistors. These are described in at least “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International, vol., no., pp. 1-4, 7-9 Dec. 2009 by Bangsaruntip, S.; Cohen, G. M.; Majumdar, A.; et al. (“Bangsaruntip”) and in “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDMTech. Dig., 2005, pp. 717-720 by S. D. Suk, S.-Y. Lee, S.-M. Kim, et al. (“Suk”). Contents of these publications are incorporated by reference in this document. The techniques described in these publications can be utilized for fabricating four-sided gated JLTs.
  • Turning the channel off with minimal leakage at an approximately zero gate bias may be a major challenge for a junction-less transistor device. To enhance gate control over the transistor channel, the channel may be doped unevenly; whereby the heaviest doping may be closest to the gate or gates and the channel doping may be lighter farther away from the gate electrode. For example, the cross-sectional center of a 2, 3, or 4 gate sided junction-less transistor channel may be more lightly doped than the edges. This may enable much lower transistor off currents for the same gate work function and control.
  • It will also be appreciated by persons of ordinary skill in the art that the invention is not limited to what has been particularly shown and described hereinabove. For example, drawings or illustrations may not show n or p wells for clarity in illustration. Further, combinations and sub-combinations of the various features described hereinabove may be utilized to form a 3D IC based system. Rather, the scope of the invention includes both combinations and sub-combinations of the various features described hereinabove as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.

Claims (20)

We claim:
1. A 3D semiconductor device, the device comprising:
a first level comprising a plurality of first metal layers;
a second level,
wherein said second level overlays said first level,
wherein said second level comprises at least one single crystal silicon layer,
wherein said second level comprises a plurality of transistors,
wherein each transistor of said plurality of transistors comprises a single crystal channel,
wherein said second level comprises a plurality of second metal layers,
wherein said plurality of second metal layers comprise interconnections between said transistors of said plurality of transistors, and
wherein said second level is overlaid by a first isolation layer; and
a connective path between said plurality of transistors and said plurality of first metal layers,
wherein said connective path comprises a via disposed through at least said single crystal silicon layer, and
wherein said via comprises contact with at least one of said plurality of transistors.
2. The device according to claim 1,
wherein said single crystal silicon layer has a typical thickness of less than 2,000 nm and greater than 5 nm.
3. The device according to claim 1, further comprising:
a third level comprising single crystal third transistors,
wherein said first isolation layer is overlaid by said third level,
wherein said third level is overlaid by a second isolation layer,
wherein said third level is bonded to said first isolation layer, and
wherein said bonded comprises at least one oxide to oxide bond.
4. The device according to claim 1,
wherein said via has a typical diameter of less than 400 nm and greater than 5 nm.
5. The device according to claim 1,
wherein at least one of said plurality of transistors comprises a first channel and an overlaying second channel.
6. The device according to claim 1,
wherein said first metal layers comprise Vdd supply lines and Vss supply lines.
7. The device according to claim 1,
wherein at least one of said plurality of transistors comprises a gate all around structure.
8. A 3D semiconductor device, the device comprising:
a first level comprising a plurality of first metal layers;
a second level,
wherein said second level overlays said first level,
wherein said second level comprises at least one single crystal silicon layer,
wherein said second level comprises a plurality of transistors,
wherein each transistor of said plurality of transistors comprises a single crystal channel,
wherein said second level comprises a plurality of second metal layers,
wherein said plurality of second metal layers comprise interconnections between said transistors of said plurality of transistors, and
wherein said second level is overlaid by a first isolation layer; and
a connective path between said plurality of transistors and said plurality of first metal layers,
wherein said connective path comprises a via disposed through at least said single crystal silicon layer,
wherein said via is part of connective path between at least one of said transistors to at least one of said first metal layers, and
wherein said transistors of said plurality of transistors are aligned to said first metal layers with a less than 40 nm alignment error.
9. The device according to claim 8,
wherein said single crystal silicon layer has a thickness of less than 2,000 nm and greater than 5 nm.
10. The device according to claim 8, further comprising:
a third level comprising single crystal third transistors,
wherein said first isolation layer is overlaid by said third level,
wherein said third level is overlaid by a second isolation layer,
wherein said third level is bonded to said first isolation layer, and
wherein said bonded comprises at least one oxide to oxide bond.
11. The device according to claim 8,
wherein said via has a diameter of less than 400 nm and greater than 5 nm.
12. The device according to claim 8,
wherein at least one of said plurality of transistors comprise a gate all around structure.
13. The device according to claim 8,
wherein said first metal layers comprise Vdd supply lines.
14. The device according to claim 8,
wherein said first metal layers comprise Vss supply lines.
15. A 3D semiconductor device, the device comprising:
a first level comprising a plurality of first metal layers;
a second level,
wherein said second level overlays said first level,
wherein said second level comprises at least one single crystal silicon layer,
wherein said second level comprises a plurality of transistors,
wherein each transistor of said plurality of transistors comprises a single crystal channel,
wherein said second level comprises a plurality of second metal layers,
wherein said plurality of second metal layers comprise interconnections between said transistors of said plurality of transistors, and
wherein said second level is overlaid by a first isolation layer; and
a connective path between said plurality of transistors and said plurality of first metal layers,
wherein said connective path comprises a via disposed through at least said single crystal silicon layer, and
wherein said device comprise plurality of capacitors.
16. The device according to claim 15,
wherein said single crystal silicon layer has a thickness of less than 2,000 nm and greater than 5 nm.
17. The device according to claim 15, further comprising:
a third level comprising single crystal third transistors,
wherein said first isolation layer is overlaid by said third level,
wherein said third level is overlaid by a second isolation layer,
wherein said third level is bonded to said first isolation layer, and
wherein said bonded comprises at least one oxide to oxide bond.
18. The device according to claim 15,
wherein at least one of said plurality of transistors comprises a first single crystal channel and an overlaying second single crystal channel.
19. The device according to claim 15,
wherein said transistors are aligned to said first metal layers with a less than 40 nm alignment error.
20. The device according to claim 15,
wherein said first metal layers comprise Vdd supply lines and Vss supply lines.
US18/109,254 2012-04-09 2023-02-13 3D semiconductor device and structure with metal layers and a connective path Active US11694944B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US18/109,254 US11694944B1 (en) 2012-04-09 2023-02-13 3D semiconductor device and structure with metal layers and a connective path
US18/136,336 US11735501B1 (en) 2012-04-09 2023-04-19 3D semiconductor device and structure with metal layers and a connective path
US18/215,631 US11881443B2 (en) 2012-04-09 2023-06-28 3D semiconductor device and structure with metal layers and a connective path
US18/534,433 US20240128165A1 (en) 2012-04-09 2023-12-08 3d semiconductor device and structure with metal layers and a connective path

Applications Claiming Priority (15)

Application Number Priority Date Filing Date Title
US13/441,923 US8557632B1 (en) 2012-04-09 2012-04-09 Method for fabrication of a semiconductor device and structure
US13/959,994 US8836073B1 (en) 2012-04-09 2013-08-06 Semiconductor device and structure
US14/472,108 US9305867B1 (en) 2012-04-09 2014-08-28 Semiconductor devices and structures
US14/880,276 US9691869B2 (en) 2012-04-09 2015-10-11 Semiconductor devices and structures
US15/622,124 US9954080B2 (en) 2012-04-09 2017-06-14 3D integrated circuit device
US15/917,629 US10038073B1 (en) 2012-04-09 2018-03-10 3D integrated circuit device
US16/004,404 US10600888B2 (en) 2012-04-09 2018-06-10 3D semiconductor device
US16/536,606 US10665695B2 (en) 2012-04-09 2019-08-09 3D semiconductor device with isolation layers
US16/852,506 US11088050B2 (en) 2012-04-09 2020-04-19 3D semiconductor device with isolation layers
US17/313,986 US11164811B2 (en) 2012-04-09 2021-05-06 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US17/492,577 US11410912B2 (en) 2012-04-09 2021-10-02 3D semiconductor device with vias and isolation layers
US17/850,819 US11476181B1 (en) 2012-04-09 2022-06-27 3D semiconductor device and structure with metal layers
US17/941,891 US11594473B2 (en) 2012-04-09 2022-09-09 3D semiconductor device and structure with metal layers and a connective path
US18/070,422 US11616004B1 (en) 2012-04-09 2022-11-28 3D semiconductor device and structure with metal layers and a connective path
US18/109,254 US11694944B1 (en) 2012-04-09 2023-02-13 3D semiconductor device and structure with metal layers and a connective path

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US18/070,422 Continuation-In-Part US11616004B1 (en) 2012-04-09 2022-11-28 3D semiconductor device and structure with metal layers and a connective path

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/136,336 Continuation-In-Part US11735501B1 (en) 2012-04-09 2023-04-19 3D semiconductor device and structure with metal layers and a connective path

Publications (2)

Publication Number Publication Date
US20230197573A1 true US20230197573A1 (en) 2023-06-22
US11694944B1 US11694944B1 (en) 2023-07-04

Family

ID=86768892

Family Applications (1)

Application Number Title Priority Date Filing Date
US18/109,254 Active US11694944B1 (en) 2012-04-09 2023-02-13 3D semiconductor device and structure with metal layers and a connective path

Country Status (1)

Country Link
US (1) US11694944B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11881443B2 (en) * 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11967583B2 (en) * 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7919803B2 (en) * 2007-09-20 2011-04-05 Elpida Memory, Inc. Semiconductor memory device having a capacitor structure with a desired capacitance and manufacturing method therefor
US8557632B1 (en) * 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10229897B2 (en) * 2014-08-11 2019-03-12 Massachusetts Institute Of Technology Multi-layer semiconductor structure and methods for fabricating multi-layer semiconductor structures
US11410912B2 (en) * 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11616004B1 (en) * 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path

Family Cites Families (797)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3007090A (en) 1957-09-04 1961-10-31 Ibm Back resistance control for junction semiconductor devices
US3819959A (en) 1970-12-04 1974-06-25 Ibm Two phase charge-coupled semiconductor device
US4009483A (en) 1974-04-04 1977-02-22 Motorola, Inc. Implementation of surface sensitive semiconductor devices
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
US4213139A (en) 1978-05-18 1980-07-15 Texas Instruments Incorporated Double level polysilicon series transistor cell
US4400715A (en) 1980-11-19 1983-08-23 International Business Machines Corporation Thin film semiconductor device and method for manufacture
JPS58164219A (en) 1982-03-25 1983-09-29 Agency Of Ind Science & Technol Manufacture of laminated semiconductor device
DE3211761A1 (en) 1982-03-30 1983-10-06 Siemens Ag METHOD FOR MANUFACTURING INTEGRATED MOS FIELD EFFECT TRANSISTOR CIRCUITS IN SILICON GATE TECHNOLOGY WITH SILICIDE-COVERED DIFFUSION AREAS AS LOW-RESISTANT CONDUCTORS
JPS593950A (en) 1982-06-30 1984-01-10 Fujitsu Ltd Gate array chip
US4522657A (en) 1983-10-20 1985-06-11 Westinghouse Electric Corp. Low temperature process for annealing shallow implanted N+/P junctions
JPS6130059A (en) 1984-07-20 1986-02-12 Nec Corp Manufacture of semiconductor device
JPS61256663A (en) 1985-05-09 1986-11-14 Agency Of Ind Science & Technol Semiconductor device
EP0208795A1 (en) 1985-07-12 1987-01-21 International Business Machines Corporation Method of fabricating a self-aligned metal-semiconductor FET
KR900008647B1 (en) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 A method for manufacturing three demensional i.c.
US4829018A (en) 1986-06-27 1989-05-09 Wahlstrom Sven E Multilevel integrated circuits employing fused oxide layers
US4704785A (en) 1986-08-01 1987-11-10 Texas Instruments Incorporated Process for making a buried conductor by fusing two wafers
US4887134A (en) 1986-09-26 1989-12-12 Canon Kabushiki Kaisha Semiconductor device having a semiconductor region in which either the conduction or valence band remains flat while bandgap is continuously graded
US4732312A (en) 1986-11-10 1988-03-22 Grumman Aerospace Corporation Method for diffusion bonding of alloys having low solubility oxides
US4721885A (en) 1987-02-11 1988-01-26 Sri International Very high speed integrated microelectronic tubes
US4854986A (en) 1987-05-13 1989-08-08 Harris Corporation Bonding technique to join two or more silicon wafers
JP2606857B2 (en) 1987-12-10 1997-05-07 株式会社日立製作所 Method for manufacturing semiconductor memory device
US5032007A (en) 1988-04-07 1991-07-16 Honeywell, Inc. Apparatus and method for an electronically controlled color filter for use in information display applications
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US4866304A (en) 1988-05-23 1989-09-12 Motorola, Inc. BICMOS NAND gate
US4956307A (en) 1988-11-10 1990-09-11 Texas Instruments, Incorporated Thin oxide sidewall insulators for silicon-over-insulator transistors
JPH0344067A (en) 1989-07-11 1991-02-25 Nec Corp Laminating method of semiconductor substrate
JP2617798B2 (en) 1989-09-22 1997-06-04 三菱電機株式会社 Stacked semiconductor device and method of manufacturing the same
US5217916A (en) 1989-10-03 1993-06-08 Trw Inc. Method of making an adaptive configurable gate array
US5012153A (en) 1989-12-22 1991-04-30 Atkinson Gary M Split collector vacuum field effect transistor
EP0449524B1 (en) 1990-03-24 1997-05-28 Canon Kabushiki Kaisha Optical annealing method for semiconductor layer and method for producing semiconductor device employing the same semiconductor layer
JPH0636413B2 (en) 1990-03-29 1994-05-11 信越半導体株式会社 Manufacturing method of semiconductor element forming substrate
US5063171A (en) 1990-04-06 1991-11-05 Texas Instruments Incorporated Method of making a diffusionless virtual drain and source conductor/oxide semiconductor field effect transistor
US5541441A (en) 1994-10-06 1996-07-30 Actel Corporation Metal to metal antifuse
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
JPH0478123A (en) 1990-07-20 1992-03-12 Fujitsu Ltd Manufacture of semiconductor device
EP0688048A3 (en) 1990-08-03 1996-02-28 Canon Kk Semiconductor member having an SOI structure
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5861929A (en) 1990-12-31 1999-01-19 Kopin Corporation Active matrix color display with multiple cells and connection through substrate
US5701027A (en) 1991-04-26 1997-12-23 Quicklogic Corporation Programmable interconnect structures and programmable integrated circuits
KR930006732B1 (en) 1991-05-08 1993-07-23 재단법인 한국전자통신연구소 Semiconductor substrate having the structure assembly varied and method of the same
US5258643A (en) 1991-07-25 1993-11-02 Massachusetts Institute Of Technology Electrically programmable link structures and methods of making same
TW211621B (en) 1991-07-31 1993-08-21 Canon Kk
JPH05198739A (en) 1991-09-10 1993-08-06 Mitsubishi Electric Corp Laminated semiconductor device and its manufacture
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
JPH0793363B2 (en) 1991-09-25 1995-10-09 株式会社半導体エネルギー研究所 Semiconductor integrated circuit and manufacturing method thereof
US5266511A (en) 1991-10-02 1993-11-30 Fujitsu Limited Process for manufacturing three dimensional IC's
JP3112106B2 (en) 1991-10-11 2000-11-27 キヤノン株式会社 Manufacturing method of semiconductor substrate
JP3237888B2 (en) 1992-01-31 2001-12-10 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP3261685B2 (en) 1992-01-31 2002-03-04 キヤノン株式会社 Semiconductor element substrate and method of manufacturing the same
US5308782A (en) 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5371431A (en) 1992-03-04 1994-12-06 Mcnc Vertical microelectronic field emission devices including elongate vertical pillars having resistive bottom portions
US5265047A (en) 1992-03-09 1993-11-23 Monolithic System Technology High density SRAM circuit with single-ended memory cells
US6714625B1 (en) 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US6355976B1 (en) 1992-05-14 2002-03-12 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
US5646547A (en) 1994-04-28 1997-07-08 Xilinx, Inc. Logic cell which can be configured as a latch without static one's problem
US5535342A (en) 1992-11-05 1996-07-09 Giga Operations Corporation Pld connector for module having configuration of either first PLD or second PLD and reconfigurable bus for communication of two different bus protocols
JPH06318864A (en) 1993-05-07 1994-11-15 Toshiba Corp Field programmable gate array
CA2173123A1 (en) 1993-09-30 1995-04-06 Paul M. Zavracky Three-dimensional processor using transferred thin film circuits
US5485031A (en) 1993-11-22 1996-01-16 Actel Corporation Antifuse structure suitable for VLSI application
TW330313B (en) 1993-12-28 1998-04-21 Canon Kk A semiconductor substrate and process for producing same
US5817574A (en) 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure
JP3514500B2 (en) 1994-01-28 2004-03-31 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US5554870A (en) 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US7148119B1 (en) 1994-03-10 2006-12-12 Canon Kabushiki Kaisha Process for production of semiconductor substrate
US5682107A (en) 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5627106A (en) 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5424560A (en) 1994-05-31 1995-06-13 Motorola, Inc. Integrated multicolor organic led array
US5594563A (en) 1994-05-31 1997-01-14 Honeywell Inc. High resolution subtractive color projection system
MY114888A (en) 1994-08-22 2003-02-28 Ibm Method for forming a monolithic electronic module by stacking planar arrays of integrated circuit chips
DE4433833A1 (en) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Method for producing a three-dimensional integrated circuit while achieving high system yields
DE4433845A1 (en) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Method of manufacturing a three-dimensional integrated circuit
US5527423A (en) 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
EP0786149B1 (en) 1994-10-11 2000-07-26 International Business Machines Corporation Monolithic array of light emitting diodes for the generation of light at multiple wavelengths and its use for multicolor display applications
FR2726126A1 (en) 1994-10-24 1996-04-26 Mitsubishi Electric Corp LED device mfr. by thermally bonding LEDs
TW358907B (en) 1994-11-22 1999-05-21 Monolithic System Tech Inc A computer system and a method of using a DRAM array as a next level cache memory
US5703436A (en) 1994-12-13 1997-12-30 The Trustees Of Princeton University Transparent contacts for organic devices
US5707745A (en) 1994-12-13 1998-01-13 The Trustees Of Princeton University Multicolor organic light emitting devices
US6358631B1 (en) 1994-12-13 2002-03-19 The Trustees Of Princeton University Mixed vapor deposited films for electroluminescent devices
US6548956B2 (en) 1994-12-13 2003-04-15 The Trustees Of Princeton University Transparent contacts for organic devices
US5586291A (en) 1994-12-23 1996-12-17 Emc Corporation Disk controller with volatile and non-volatile cache memories
US5737748A (en) 1995-03-15 1998-04-07 Texas Instruments Incorporated Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory
US5478762A (en) 1995-03-16 1995-12-26 Taiwan Semiconductor Manufacturing Company Method for producing patterning alignment marks in oxide
US5937312A (en) 1995-03-23 1999-08-10 Sibond L.L.C. Single-etch stop process for the manufacture of silicon-on-insulator wafers
US5789758A (en) 1995-06-07 1998-08-04 Micron Technology, Inc. Chalcogenide memory cell with a plurality of chalcogenide electrodes
FR2738671B1 (en) 1995-09-13 1997-10-10 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS WITH SEMICONDUCTOR MATERIAL
CN1132223C (en) 1995-10-06 2003-12-24 佳能株式会社 Semiconductor substrate and producing method thereof
US5583350A (en) 1995-11-02 1996-12-10 Motorola Full color light emitting diode display assembly
US5583349A (en) 1995-11-02 1996-12-10 Motorola Full color light emitting diode display
US5781031A (en) 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
US5617991A (en) 1995-12-01 1997-04-08 Advanced Micro Devices, Inc. Method for electrically conductive metal-to-metal bonding
US5748161A (en) 1996-03-04 1998-05-05 Motorola, Inc. Integrated electro-optical package with independent menu bar
FR2747506B1 (en) 1996-04-11 1998-05-15 Commissariat Energie Atomique PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS
FR2748851B1 (en) 1996-05-15 1998-08-07 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SEMICONDUCTOR MATERIAL
US6424016B1 (en) 1996-05-24 2002-07-23 Texas Instruments Incorporated SOI DRAM having P-doped polysilicon gate for a memory pass transistor
KR100486803B1 (en) 1996-06-18 2005-06-16 소니 가부시끼 가이샤 Selfluminous display device
US5977961A (en) 1996-06-19 1999-11-02 Sun Microsystems, Inc. Method and apparatus for amplitude band enabled addressing arrayed elements
US6027958A (en) 1996-07-11 2000-02-22 Kopin Corporation Transferred flexible integrated circuit
EP0858110B1 (en) 1996-08-27 2006-12-13 Seiko Epson Corporation Separating method, method for transferring thin film device, and liquid crystal display device manufactured by using the transferring method
US5770881A (en) 1996-09-12 1998-06-23 International Business Machines Coproration SOI FET design to reduce transient bipolar current
JP3584635B2 (en) 1996-10-04 2004-11-04 株式会社デンソー Semiconductor device and manufacturing method thereof
US5770483A (en) 1996-10-08 1998-06-23 Advanced Micro Devices, Inc. Multi-level transistor fabrication method with high performance drain-to-gate connection
US5835396A (en) 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US6020263A (en) 1996-10-31 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of recovering alignment marks after chemical mechanical polishing of tungsten
US7633162B2 (en) 2004-06-21 2009-12-15 Sang-Yun Lee Electronic circuit with embedded memory
US7470142B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Wafer bonding method
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US20050280155A1 (en) 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
US8779597B2 (en) 2004-06-21 2014-07-15 Sang-Yun Lee Semiconductor device with base support structure
US7800199B2 (en) 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US7470598B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US7888764B2 (en) 2003-06-24 2011-02-15 Sang-Yun Lee Three-dimensional integrated circuit structure
US5872029A (en) 1996-11-07 1999-02-16 Advanced Micro Devices, Inc. Method for forming an ultra high density inverter using a stacked transistor arrangement
SG67458A1 (en) 1996-12-18 1999-09-21 Canon Kk Process for producing semiconductor article
US5812708A (en) 1996-12-31 1998-09-22 Intel Corporation Method and apparatus for distributing an optical clock in an integrated circuit
US6331722B1 (en) 1997-01-18 2001-12-18 Semiconductor Energy Laboratory Co., Ltd. Hybrid circuit and electronic device using same
US5893721A (en) 1997-03-24 1999-04-13 Motorola, Inc. Method of manufacture of active matrix LED array
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6155909A (en) 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6111260A (en) 1997-06-10 2000-08-29 Advanced Micro Devices, Inc. Method and apparatus for in situ anneal during ion implant
JP4032454B2 (en) 1997-06-27 2008-01-16 ソニー株式会社 Manufacturing method of three-dimensional circuit element
US6207523B1 (en) 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
AU8675798A (en) 1997-07-29 1999-02-22 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6201629B1 (en) 1997-08-27 2001-03-13 Microoptical Corporation Torsional micro-mechanical mirror system
US6009496A (en) 1997-10-30 1999-12-28 Winbond Electronics Corp. Microcontroller with programmable embedded flash memory
US6376337B1 (en) 1997-11-10 2002-04-23 Nanodynamics, Inc. Epitaxial SiOx barrier/insulation layer
US6232643B1 (en) 1997-11-13 2001-05-15 Micron Technology, Inc. Memory using insulator traps
US6429481B1 (en) 1997-11-14 2002-08-06 Fairchild Semiconductor Corporation Field effect transistor and method of its manufacture
US5952681A (en) 1997-11-24 1999-09-14 Chen; Hsing Light emitting diode emitting red, green and blue light
US6271542B1 (en) 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US6369410B1 (en) 1997-12-15 2002-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the semiconductor device
US6052498A (en) 1997-12-19 2000-04-18 Intel Corporation Method and apparatus providing an optical input/output bus through the back side of an integrated circuit die
JP3501642B2 (en) 1997-12-26 2004-03-02 キヤノン株式会社 Substrate processing method
TW406419B (en) 1998-01-15 2000-09-21 Siemens Ag Memory-cells arrangement and its production method
US6071795A (en) 1998-01-23 2000-06-06 The Regents Of The University Of California Separation of thin films from transparent substrates by selective optical processing
DE69917819T2 (en) 1998-02-04 2005-06-23 Canon K.K. SOI substrate
US5943574A (en) 1998-02-23 1999-08-24 Motorola, Inc. Method of fabricating 3D multilayer semiconductor circuits
US6448615B1 (en) 1998-02-26 2002-09-10 Micron Technology, Inc. Methods, structures, and circuits for transistors with gate-to-body capacitive coupling
JP4126747B2 (en) 1998-02-27 2008-07-30 セイコーエプソン株式会社 Manufacturing method of three-dimensional device
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US5965875A (en) 1998-04-24 1999-10-12 Foveon, Inc. Color separation in an active pixel cell imaging array using a triple-well structure
US6057212A (en) 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6331468B1 (en) 1998-05-11 2001-12-18 Lsi Logic Corporation Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
US6229161B1 (en) 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
JP2000012864A (en) 1998-06-22 2000-01-14 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor device
US6125217A (en) 1998-06-26 2000-09-26 Intel Corporation Clock distribution network
US6423614B1 (en) 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US6054370A (en) 1998-06-30 2000-04-25 Intel Corporation Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
US6392253B1 (en) 1998-08-10 2002-05-21 Arjun J. Saxena Semiconductor device with single crystal films grown on arrayed nucleation sites on amorphous and/or non-single crystal surfaces
US6242778B1 (en) 1998-09-22 2001-06-05 International Business Machines Corporation Cooling method for silicon on insulator devices
JP2000132961A (en) 1998-10-23 2000-05-12 Canon Inc Magnetic thin film memory, method for reading out magnetic thin film memory, and method for writing to magnetic thin film memory
JP2000199827A (en) 1998-10-27 2000-07-18 Sony Corp Optical wave guide device and its manufacture
US6423613B1 (en) 1998-11-10 2002-07-23 Micron Technology, Inc. Low temperature silicon wafer bond process with bulk material bond strength
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6245634B1 (en) 1999-10-28 2001-06-12 Easic Corporation Method for design and manufacture of semiconductors
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
EP1041624A1 (en) 1999-04-02 2000-10-04 Interuniversitair Microelektronica Centrum Vzw Method of transferring ultra-thin substrates and application of the method to the manufacture of a multilayer thin film device
US6430734B1 (en) 1999-04-15 2002-08-06 Sycon Design, Inc. Method for determining bus line routing for components of an integrated circuit
JP2001006370A (en) 1999-06-17 2001-01-12 Nec Corp Sram circuit
JP2001007698A (en) 1999-06-25 2001-01-12 Mitsubishi Electric Corp Data pll circuit
US6355980B1 (en) 1999-07-15 2002-03-12 Nanoamp Solutions Inc. Dual die memory
US6242324B1 (en) 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6294018B1 (en) 1999-09-15 2001-09-25 Lucent Technologies Alignment techniques for epitaxial growth processes
US6653209B1 (en) 1999-09-30 2003-11-25 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6261935B1 (en) 1999-12-13 2001-07-17 Chartered Semiconductor Manufacturing Ltd. Method of forming contact to polysilicon gate for MOS devices
US6701071B2 (en) 2000-01-11 2004-03-02 Minolta Co., Ltd. Lens barrel with built-in blur correction mechanism
US6281102B1 (en) 2000-01-13 2001-08-28 Integrated Device Technology, Inc. Cobalt silicide structure for improving gate oxide integrity and method for fabricating same
SE0000148D0 (en) 2000-01-17 2000-01-17 Forskarpatent I Syd Ab Manufacturing method for IR detector matrices
US6614109B2 (en) 2000-02-04 2003-09-02 International Business Machines Corporation Method and apparatus for thermal management of integrated circuits
US6871396B2 (en) 2000-02-09 2005-03-29 Matsushita Electric Industrial Co., Ltd. Transfer material for wiring substrate
JP3735855B2 (en) 2000-02-17 2006-01-18 日本電気株式会社 Semiconductor integrated circuit device and driving method thereof
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6544837B1 (en) 2000-03-17 2003-04-08 International Business Machines Corporation SOI stacked DRAM logic
JP2001284360A (en) 2000-03-31 2001-10-12 Hitachi Ltd Semiconductor device
EP1284017A4 (en) 2000-04-28 2008-10-08 Matrix Semiconductor Inc Three-dimensional memory array and method of fabrication
US6420215B1 (en) 2000-04-28 2002-07-16 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
US6635552B1 (en) 2000-06-12 2003-10-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
KR100372639B1 (en) 2000-06-21 2003-02-17 주식회사 하이닉스반도체 Method of manufacturing mosfet device
US6404043B1 (en) 2000-06-21 2002-06-11 Dense-Pac Microsystems, Inc. Panel stacking of BGA devices to form three-dimensional modules
JP4424830B2 (en) 2000-06-30 2010-03-03 Okiセミコンダクタ株式会社 Semiconductor device
US6429484B1 (en) 2000-08-07 2002-08-06 Advanced Micro Devices, Inc. Multiple active layer structure and a method of making such a structure
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US6534851B1 (en) 2000-08-21 2003-03-18 Agere Systems, Inc. Modular semiconductor substrates
US6331943B1 (en) 2000-08-28 2001-12-18 Motorola, Inc. MTJ MRAM series-parallel architecture
US6537891B1 (en) 2000-08-29 2003-03-25 Micron Technology, Inc. Silicon on insulator DRAM process utilizing both fully and partially depleted devices
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6476636B1 (en) 2000-09-02 2002-11-05 Actel Corporation Tileable field-programmable gate array architecture
US7015719B1 (en) 2000-09-02 2006-03-21 Actel Corporation Tileable field-programmable gate array architecture
JP3744825B2 (en) 2000-09-08 2006-02-15 セイコーエプソン株式会社 Semiconductor device
US6479821B1 (en) 2000-09-11 2002-11-12 Ultratech Stepper, Inc. Thermally induced phase switch for laser thermal processing
US20020090758A1 (en) 2000-09-19 2002-07-11 Silicon Genesis Corporation Method and resulting device for manufacturing for double gated transistors
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
JP2002134374A (en) 2000-10-25 2002-05-10 Mitsubishi Electric Corp Semiconductor wafer and its manufacturing method and device
FR2816445B1 (en) 2000-11-06 2003-07-25 Commissariat Energie Atomique METHOD FOR MANUFACTURING A STACKED STRUCTURE COMPRISING A THIN LAYER ADHERING TO A TARGET SUBSTRATE
FR2817395B1 (en) 2000-11-27 2003-10-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
US6377504B1 (en) 2000-12-12 2002-04-23 Tachuon Semiconductor Corp High-density memory utilizing multiplexers to reduce bit line pitch constraints
US6507115B2 (en) 2000-12-14 2003-01-14 International Business Machines Corporation Multi-chip integrated circuit module
US7094667B1 (en) 2000-12-28 2006-08-22 Bower Robert W Smooth thin film layers produced by low temperature hydrogen ion cut
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
JP3768819B2 (en) 2001-01-31 2006-04-19 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6887753B2 (en) 2001-02-28 2005-05-03 Micron Technology, Inc. Methods of forming semiconductor circuitry, and semiconductor circuit constructions
EP1244142A1 (en) 2001-03-23 2002-09-25 Universite Catholique De Louvain Fabrication method of SOI semiconductor devices
JP2002353245A (en) 2001-03-23 2002-12-06 Seiko Epson Corp Electro-optic substrate device, its manufacturing method, electro-optic device, electronic apparatus, and method for manufacturing substrate device
JP2002299575A (en) 2001-03-29 2002-10-11 Toshiba Corp Semiconductor memory
US6526559B2 (en) 2001-04-13 2003-02-25 Interface & Control Systems, Inc. Method for creating circuit redundancy in programmable logic devices
US7151307B2 (en) 2001-05-08 2006-12-19 The Boeing Company Integrated semiconductor circuits on photo-active Germanium substrates
JP2002343564A (en) 2001-05-18 2002-11-29 Sharp Corp Transfer film and manufacturing method of organic electroluminescence element using the same
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
TW498470B (en) 2001-05-25 2002-08-11 Siliconware Precision Industries Co Ltd Semiconductor packaging with stacked chips
DE10125967C1 (en) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM cell arrangement used for a semiconductor storage device comprises a matrix arrangement of storage cells stacked over each other as layers, and a capacitor connected to the MOS transistor
US6483707B1 (en) 2001-06-07 2002-11-19 Loctite Corporation Heat sink and thermal interface having shielding to attenuate electromagnetic interference
US6580289B2 (en) 2001-06-08 2003-06-17 Viasic, Inc. Cell architecture to reduce customization in a semiconductor device
JP3860170B2 (en) 2001-06-11 2006-12-20 チバ スペシャルティ ケミカルズ ホールディング インコーポレーテッド Photoinitiators of oxime esters with combined structures
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
GB0114317D0 (en) 2001-06-13 2001-08-01 Kean Thomas A Method of protecting intellectual property cores on field programmable gate array
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
US20020190232A1 (en) 2001-06-18 2002-12-19 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices for detecting smoke
US7211828B2 (en) 2001-06-20 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and electronic apparatus
JP2003023138A (en) 2001-07-10 2003-01-24 Toshiba Corp Memory chip, coc device using the same, and their manufacturing method
US7067849B2 (en) 2001-07-17 2006-06-27 Lg Electronics Inc. Diode having high brightness and method thereof
DE10135870C1 (en) 2001-07-24 2003-02-20 Infineon Technologies Ag Production of an integrated semiconductor circuit comprises depositing layer sequence, anisotropically etching, oxidizing the lowermost layer of the layer sequence, depositing further layer sequence on substrate, and isotropically etching
JP5057619B2 (en) 2001-08-01 2012-10-24 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6841813B2 (en) 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
FR2828762B1 (en) 2001-08-14 2003-12-05 Soitec Silicon On Insulator METHOD FOR OBTAINING A THIN FILM OF A SEMICONDUCTOR MATERIAL SUPPORTING AT LEAST ONE ELECTRONIC COMPONENT AND / OR CIRCUIT
US6806171B1 (en) 2001-08-24 2004-10-19 Silicon Wafer Technologies, Inc. Method of producing a thin layer of crystalline material
US6861757B2 (en) 2001-09-03 2005-03-01 Nec Corporation Interconnecting substrate for carrying semiconductor device, method of producing thereof and package of semiconductor device
TW522534B (en) 2001-09-11 2003-03-01 Hsiu-Hen Chang Light source of full color LED using die bonding and packaging technology
US6875671B2 (en) 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
US7420147B2 (en) 2001-09-12 2008-09-02 Reveo, Inc. Microchannel plate and method of manufacturing microchannel plate
JP2003098225A (en) 2001-09-25 2003-04-03 Toshiba Corp Semiconductor integrated circuit
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
JP4166455B2 (en) 2001-10-01 2008-10-15 株式会社半導体エネルギー研究所 Polarizing film and light emitting device
US7459763B1 (en) 2001-10-02 2008-12-02 Actel Corporation Reprogrammable metal-to-metal antifuse employing carbon-containing antifuse material
US6717222B2 (en) 2001-10-07 2004-04-06 Guobiao Zhang Three-dimensional memory
JP2003133441A (en) 2001-10-22 2003-05-09 Nec Corp Semiconductor device
TWI264121B (en) 2001-11-30 2006-10-11 Semiconductor Energy Lab A display device, a method of manufacturing a semiconductor device, and a method of manufacturing a display device
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6627985B2 (en) 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US7126214B2 (en) 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
FR2834123B1 (en) 2001-12-21 2005-02-04 Soitec Silicon On Insulator SEMICONDUCTOR THIN FILM DELIVERY METHOD AND METHOD FOR OBTAINING A DONOR WAFER FOR SUCH A DELAYING METHOD
US6756633B2 (en) 2001-12-27 2004-06-29 Silicon Storage Technology, Inc. Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges
DE10200399B4 (en) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale A method for producing a three-dimensionally integrated semiconductor device and a three-dimensionally integrated semiconductor device
FR2835097B1 (en) 2002-01-23 2005-10-14 OPTIMIZED METHOD FOR DEFERRING A THIN LAYER OF SILICON CARBIDE ON A RECEPTACLE SUBSTRATE
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6645832B2 (en) 2002-02-20 2003-11-11 Intel Corporation Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
JP3975395B2 (en) 2002-02-26 2007-09-12 フジノン株式会社 Camera system
KR20040094598A (en) 2002-04-03 2004-11-10 소니 가부시끼 가이샤 Integrated circuit, integrated circuit device, method for structuring integrated circuit device, and method for manufacturing integrated circuit device
EP1357603A3 (en) 2002-04-18 2004-01-14 Innovative Silicon SA Semiconductor device
EP1355316B1 (en) 2002-04-18 2007-02-21 Innovative Silicon SA Data storage device and refreshing method for use with such device
FR2838866B1 (en) 2002-04-23 2005-06-24 St Microelectronics Sa METHOD FOR MANUFACTURING ELECTRONIC COMPONENTS AND ELECTRONIC PRODUCT INCORPORATING A COMPONENT THUS OBTAINED
DE10223945B4 (en) 2002-05-29 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Method for improving the production of damascene metal structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US6992503B2 (en) 2002-07-08 2006-01-31 Viciciv Technology Programmable devices with convertibility to customizable devices
US7112994B2 (en) 2002-07-08 2006-09-26 Viciciv Technology Three dimensional integrated circuits
US7312109B2 (en) 2002-07-08 2007-12-25 Viciciv, Inc. Methods for fabricating fuse programmable three dimensional integrated circuits
US20040004251A1 (en) 2002-07-08 2004-01-08 Madurawe Raminda U. Insulated-gate field-effect thin film transistors
US7064579B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Alterable application specific integrated circuit (ASIC)
US20040007376A1 (en) 2002-07-09 2004-01-15 Eric Urdahl Integrated thermal vias
US7110629B2 (en) 2002-07-22 2006-09-19 Applied Materials, Inc. Optical ready substrates
US7043106B2 (en) 2002-07-22 2006-05-09 Applied Materials, Inc. Optical ready wafers
US7016569B2 (en) 2002-07-31 2006-03-21 Georgia Tech Research Corporation Back-side-of-die, through-wafer guided-wave optical clock distribution networks, method of fabrication thereof, and uses thereof
US7402897B2 (en) 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US20070076509A1 (en) 2002-08-28 2007-04-05 Guobiao Zhang Three-Dimensional Mask-Programmable Read-Only Memory
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
JP4297677B2 (en) 2002-10-29 2009-07-15 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6777288B1 (en) 2002-11-06 2004-08-17 National Semiconductor Corporation Vertical MOS transistor
US7138685B2 (en) 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
WO2004061961A1 (en) 2002-12-31 2004-07-22 Massachusetts Institute Of Technology Multi-layer integrated semiconductor structure having an electrical shielding portion
US20100133695A1 (en) 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US7799675B2 (en) 2003-06-24 2010-09-21 Sang-Yun Lee Bonded semiconductor structure and method of fabricating the same
US6938226B2 (en) 2003-01-17 2005-08-30 Infineon Technologies Ag 7-tracks standard cell library
FR2850390B1 (en) 2003-01-24 2006-07-14 Soitec Silicon On Insulator METHOD FOR REMOVING A PERIPHERAL GLUE ZONE WHEN MANUFACTURING A COMPOSITE SUBSTRATE
JP4502173B2 (en) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
TWI235469B (en) 2003-02-07 2005-07-01 Siliconware Precision Industries Co Ltd Thermally enhanced semiconductor package with EMI shielding
US6812504B2 (en) 2003-02-10 2004-11-02 Micron Technology, Inc. TFT-based random access memory cells comprising thyristors
JP4574118B2 (en) 2003-02-12 2010-11-04 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US7176528B2 (en) 2003-02-18 2007-02-13 Corning Incorporated Glass-based SOI structures
JP4167513B2 (en) 2003-03-06 2008-10-15 シャープ株式会社 Nonvolatile semiconductor memory device
US6917219B2 (en) 2003-03-12 2005-07-12 Xilinx, Inc. Multi-chip programmable logic device having configurable logic circuitry and configuration data storage on different dice
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
JP4509488B2 (en) 2003-04-02 2010-07-21 株式会社Sumco Manufacturing method of bonded substrate
JP2004342833A (en) 2003-05-15 2004-12-02 Seiko Epson Corp Manufacturing method of semiconductor device, electro-optical device, integrated circuit and electronic apparatus
KR100471173B1 (en) 2003-05-15 2005-03-10 삼성전자주식회사 Transistor having multi channel and method of fabricating the same
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7256104B2 (en) 2003-05-21 2007-08-14 Canon Kabushiki Kaisha Substrate manufacturing method and substrate processing apparatus
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
KR20110042249A (en) 2003-06-04 2011-04-25 유명철 Method of fabricating vertical structure compound semiconductor devices
US6943407B2 (en) 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
US20050003592A1 (en) 2003-06-18 2005-01-06 Jones A. Brooke All-around MOSFET gate and methods of manufacture thereof
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7863748B2 (en) 2003-06-24 2011-01-04 Oh Choonsik Semiconductor circuit and method of fabricating the same
US20100190334A1 (en) 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US8471263B2 (en) 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US7632738B2 (en) 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7867822B2 (en) 2003-06-24 2011-01-11 Sang-Yun Lee Semiconductor memory device
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US7068072B2 (en) 2003-06-30 2006-06-27 Xilinx, Inc. Integrated circuit with interface tile for coupling to a stacked-die second integrated circuit
JP2005026413A (en) 2003-07-01 2005-01-27 Renesas Technology Corp Semiconductor wafer, semiconductor device, and its manufacturing method
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
GB2403842A (en) 2003-07-10 2005-01-12 Ocuity Ltd Alignment of elements of a display apparatus
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
JP4356542B2 (en) 2003-08-27 2009-11-04 日本電気株式会社 Semiconductor device
US7115460B2 (en) 2003-09-04 2006-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell back bias architecture
JP4651924B2 (en) 2003-09-18 2011-03-16 シャープ株式会社 Thin film semiconductor device and method for manufacturing thin film semiconductor device
EP3447816A1 (en) 2003-09-19 2019-02-27 Sony Corporation Display unit, method of manufacturing same, organic light emitting unit, and method of manufacturing same
JP4130163B2 (en) 2003-09-29 2008-08-06 三洋電機株式会社 Semiconductor light emitting device
US6821826B1 (en) 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US20050082526A1 (en) 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
JP2005150686A (en) 2003-10-22 2005-06-09 Sharp Corp Semiconductor device and its manufacturing method
US6962843B2 (en) 2003-11-05 2005-11-08 International Business Machines Corporation Method of fabricating a finfet
US7098502B2 (en) 2003-11-10 2006-08-29 Freescale Semiconductor, Inc. Transistor having three electrically isolated electrodes and method of formation
US7304327B1 (en) 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability
US6967149B2 (en) 2003-11-20 2005-11-22 Hewlett-Packard Development Company, L.P. Storage structure with cleaved layer
US7019557B2 (en) 2003-12-24 2006-03-28 Viciciv Technology Look-up table based logic macro-cells
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
KR20050054788A (en) 2003-12-06 2005-06-10 삼성전자주식회사 Fabrication method of poly-crystalline si thin film and transistor thereby
FR2863771B1 (en) 2003-12-10 2007-03-02 Soitec Silicon On Insulator PROCESS FOR PROCESSING A MULTILAYER WAFER HAVING A DIFFERENTIAL OF THERMAL CHARACTERISTICS
FR2864336B1 (en) 2003-12-23 2006-04-28 Commissariat Energie Atomique METHOD FOR SEALING TWO PLATES WITH FORMATION OF AN OHMIC CONTACT BETWEEN THEM
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
DE102004004765A1 (en) 2004-01-29 2005-09-01 Rwe Space Solar Power Gmbh Active Zones Semiconductor Structure
US7030554B2 (en) 2004-02-06 2006-04-18 Eastman Kodak Company Full-color organic display having improved blue emission
US7112815B2 (en) 2004-02-25 2006-09-26 Micron Technology, Inc. Multi-layer memory arrays
US6995456B2 (en) 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
DE102004063926B4 (en) 2004-03-24 2017-10-19 Infineon Technologies Ag Configurable driver cell of a logical cell field
US7180238B2 (en) 2004-04-08 2007-02-20 Eastman Kodak Company Oled microcavity subpixels and color filter elements
US7180379B1 (en) 2004-05-03 2007-02-20 National Semiconductor Corporation Laser powered clock circuit with a substantially reduced clock skew
US7337425B2 (en) 2004-06-04 2008-02-26 Ami Semiconductor, Inc. Structured ASIC device with configurable die size and selectable embedded functions
DE102004027489B4 (en) 2004-06-04 2017-03-02 Infineon Technologies Ag A method of arranging chips of a first substrate on a second substrate
EP2650907A3 (en) 2004-06-04 2014-10-08 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
JP5052130B2 (en) 2004-06-04 2012-10-17 カミヤチョウ アイピー ホールディングス Semiconductor device having three-dimensional laminated structure and method for manufacturing the same
JP4814498B2 (en) 2004-06-18 2011-11-16 シャープ株式会社 Manufacturing method of semiconductor substrate
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7091069B2 (en) 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US7098507B2 (en) 2004-06-30 2006-08-29 Intel Corporation Floating-body dynamic random access memory and method of fabrication in tri-gate technology
US7271420B2 (en) 2004-07-07 2007-09-18 Cao Group, Inc. Monolitholic LED chip to emit multiple colors
US7223612B2 (en) 2004-07-26 2007-05-29 Infineon Technologies Ag Alignment of MTJ stack to conductive lines in the absence of topography
US7463062B2 (en) 2004-07-27 2008-12-09 Easic Corporation Structured integrated circuit device
US7098691B2 (en) 2004-07-27 2006-08-29 Easic Corporation Structured integrated circuit device
DE102004037089A1 (en) 2004-07-30 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale A technique for making a passivation layer prior to depositing a barrier layer in a copper metallization layer
KR100555567B1 (en) 2004-07-30 2006-03-03 삼성전자주식회사 Method for manufacturing multibridge-channel MOSFET
US7442624B2 (en) 2004-08-02 2008-10-28 Infineon Technologies Ag Deep alignment marks on edge chips for subsequent alignment of opaque layers
WO2006013373A2 (en) 2004-08-04 2006-02-09 Cambridge Display Technology Limited Organic electroluminescent device
US7312487B2 (en) 2004-08-16 2007-12-25 International Business Machines Corporation Three dimensional integrated circuit
ATE381540T1 (en) 2004-08-18 2008-01-15 Ciba Sc Holding Ag OXIMESTHER PHOTO INITIATORS
TW200610059A (en) 2004-09-01 2006-03-16 Au Optronics Corp Semiconductor device and method of fabricating an LTPS layer
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
JP2006073939A (en) 2004-09-06 2006-03-16 Toshiba Corp Nonvolatile semiconductor memory and manufacturing method thereof
US7566974B2 (en) 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US7459772B2 (en) 2004-09-29 2008-12-02 Actel Corporation Face-to-face bonded I/O circuit die and functional logic circuit die system
US20060067122A1 (en) 2004-09-29 2006-03-30 Martin Verhoeven Charge-trapping memory cell
KR100604903B1 (en) 2004-09-30 2006-07-28 삼성전자주식회사 Semiconductor wafer with improved step coverage and fabrication method of the same
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
US7284226B1 (en) 2004-10-01 2007-10-16 Xilinx, Inc. Methods and structures of providing modular integrated circuits
JP4467398B2 (en) 2004-10-05 2010-05-26 新光電気工業株式会社 Automatic wiring determination device
FR2876841B1 (en) 2004-10-19 2007-04-13 Commissariat Energie Atomique PROCESS FOR PRODUCING MULTILAYERS ON A SUBSTRATE
US7476939B2 (en) 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
US7342415B2 (en) 2004-11-08 2008-03-11 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
EP1810334B1 (en) 2004-11-11 2011-12-28 Semiconductor Energy Laboratory Co., Ltd. Method for Manufacturing a Semiconductor Device
KR100684875B1 (en) 2004-11-24 2007-02-20 삼성전자주식회사 Semiconductor Device And Method Of Fabricating The Same
KR20060058573A (en) 2004-11-25 2006-05-30 한국전자통신연구원 Cmos image sensor
ATE485074T1 (en) 2004-11-29 2010-11-15 Koninkl Philips Electronics Nv ELECTRONICALLY CONTROLLED TABLET
US7301838B2 (en) 2004-12-13 2007-11-27 Innovative Silicon S.A. Sense amplifier circuitry and architecture to write data into and/or read from memory cells
US7301803B2 (en) 2004-12-22 2007-11-27 Innovative Silicon S.A. Bipolar reading technique for a memory cell having an electrically floating body transistor
US7129748B1 (en) 2004-12-29 2006-10-31 Actel Corporation Non-volatile look-up table for an FPGA
US7750669B2 (en) 2005-01-06 2010-07-06 Justin Martin Spangaro Reprogrammable integrated circuit
US8125137B2 (en) 2005-01-10 2012-02-28 Cree, Inc. Multi-chip light emitting device lamps for providing high-CRI warm white light and light fixtures including the same
US7545179B2 (en) 2005-01-21 2009-06-09 Novatrans Group Sa Electronic device and method and performing logic functions
WO2006079865A1 (en) 2005-01-27 2006-08-03 Infineon Technologies Ag Semiconductor package and method of assembling the same
JP2006210828A (en) 2005-01-31 2006-08-10 Fujitsu Ltd Semiconductor device and method for manufacturing the same
US7217636B1 (en) 2005-02-09 2007-05-15 Translucent Inc. Semiconductor-on-insulator silicon wafer
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
KR100761755B1 (en) 2005-02-28 2007-09-28 삼성전자주식회사 Semiconductor memory device capable of controlling an input/output bit organization
US7435659B2 (en) 2005-02-28 2008-10-14 Texas Instruments Incorporated Method for manufacturing a semiconductor device having an alignment feature formed using an N-type dopant and a wet oxidation process
KR100704784B1 (en) 2005-03-07 2007-04-10 삼성전자주식회사 Stacked semiconductor device and method of fabricating the same
US7406761B2 (en) 2005-03-21 2008-08-05 Honeywell International Inc. Method of manufacturing vibrating micromechanical structures
KR100702012B1 (en) 2005-03-22 2007-03-30 삼성전자주식회사 Srams having buried layer patterns and methods of forming the same
US20110001172A1 (en) 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
US20110143506A1 (en) 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US20080261378A1 (en) 2005-04-04 2008-10-23 Tohoku Techno Arch Co., Ltd. Method for Growth of Gan Single Crystal, Method for Preparation of Gan Substrate, Process for Producing Gan-Based Element, and Gan-Based Element
US7687372B2 (en) 2005-04-08 2010-03-30 Versatilis Llc System and method for manufacturing thick and thin film devices using a donee layer cleaved from a crystalline donor
KR100684894B1 (en) 2005-04-18 2007-02-20 삼성전자주식회사 Method of forming a semiconductor device having stacked transisters
KR100680291B1 (en) 2005-04-22 2007-02-07 한국과학기술원 Non-volatile memory having H-channel double-gate and method of manufacturing thereof and method of operating for multi-bits cell operation
US20060249859A1 (en) 2005-05-05 2006-11-09 Eiles Travis M Metrology system and method for stacked wafer alignment
US7521806B2 (en) 2005-06-14 2009-04-21 John Trezza Chip spanning connection
JP4827144B2 (en) 2005-06-14 2011-11-30 ミツミ電機株式会社 Biosensor device
US8148713B2 (en) 2008-04-04 2012-04-03 The Regents Of The University Of California Method for fabrication of semipolar (Al, In, Ga, B)N based light emitting diodes
JP4507101B2 (en) 2005-06-30 2010-07-21 エルピーダメモリ株式会社 Semiconductor memory device and manufacturing method thereof
CN100454534C (en) 2005-07-04 2009-01-21 崇贸科技股份有限公司 Single-segment and multi-segment triggering type voltage-adjustable static-electricity discharging protection semiconductor structure
US7471855B2 (en) 2005-07-13 2008-12-30 Alcatel-Lucent Usa Inc. Monlithically coupled waveguide and phototransistor
WO2007014293A1 (en) 2005-07-25 2007-02-01 The Regents Of The University Of California Digital imaging system and method to produce mosaic images
US7526739B2 (en) 2005-07-26 2009-04-28 R3 Logic, Inc. Methods and systems for computer aided design of 3D integrated circuits
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7674687B2 (en) 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US7978561B2 (en) 2005-07-28 2011-07-12 Samsung Electronics Co., Ltd. Semiconductor memory devices having vertically-stacked transistors therein
US20090224330A1 (en) 2005-07-28 2009-09-10 Hong Chang Min Semiconductor Memory Device and Method for Arranging and Manufacturing the Same
US7612411B2 (en) 2005-08-03 2009-11-03 Walker Andrew J Dual-gate device and method
US8138502B2 (en) 2005-08-05 2012-03-20 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and manufacturing method thereof
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7566855B2 (en) 2005-08-25 2009-07-28 Richard Ian Olsen Digital camera with integrated infrared (IR) response
US8184225B2 (en) 2005-09-05 2012-05-22 Sharp Kabushiki Kaisha Semiconductor device, production method thereof, and display device
US7355916B2 (en) 2005-09-19 2008-04-08 Innovative Silicon S.A. Method and circuitry to generate a reference current for reading a memory cell, and device implementing same
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7265059B2 (en) 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US7439773B2 (en) 2005-10-11 2008-10-21 Casic Corporation Integrated circuit communication techniques
US7737003B2 (en) 2005-10-11 2010-06-15 International Business Machines Corporation Method and structure for optimizing yield of 3-D chip manufacture
US7296201B2 (en) 2005-10-29 2007-11-13 Dafca, Inc. Method to locate logic errors and defects in digital circuits
US7535089B2 (en) 2005-11-01 2009-05-19 Massachusetts Institute Of Technology Monolithically integrated light emitting devices
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US20070109831A1 (en) 2005-11-15 2007-05-17 Siva Raghuram Semiconductor product and method for forming a semiconductor product
CN101297393B (en) 2005-11-24 2010-05-12 株式会社瑞萨科技 Fabricating method for semiconductor device
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
CN101321727B (en) 2005-12-01 2013-03-27 西巴控股有限公司 Oxime ester photoinitiators
US7209384B1 (en) 2005-12-08 2007-04-24 Juhan Kim Planar capacitor memory cell and its applications
US20070132049A1 (en) 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
EP1960233B1 (en) 2005-12-16 2010-11-24 Mentor Graphics Corporation Flattening a three-dimensional wire harness representation to two dimensions
KR100668350B1 (en) 2005-12-20 2007-01-12 삼성전자주식회사 Nand type multi-bit non-volatile memory device and method of fabricating the same
KR100755368B1 (en) 2006-01-10 2007-09-04 삼성전자주식회사 Methods of manufacturing a semiconductor device having a three dimesional structure and semiconductor devices fabricated thereby
US8242025B2 (en) 2006-01-16 2012-08-14 Panasonic Corporation Method for producing semiconductor chip, and field effect transistor and method for manufacturing same
US7671460B2 (en) 2006-01-25 2010-03-02 Teledyne Licensing, Llc Buried via technology for three dimensional integrated circuits
KR100699807B1 (en) 2006-01-26 2007-03-28 삼성전자주식회사 Stack chip and stack chip package comprising the same
US20070194453A1 (en) 2006-01-27 2007-08-23 Kanad Chakraborty Integrated circuit architecture for reducing interconnect parasitics
KR100796642B1 (en) 2006-01-27 2008-01-22 삼성전자주식회사 Highly Integrated Semiconductor Device And Method Of Fabricating The Same
US7354809B2 (en) 2006-02-13 2008-04-08 Wisconsin Alumi Research Foundation Method for double-sided processing of thin film transistors
US7542345B2 (en) 2006-02-16 2009-06-02 Innovative Silicon Isi Sa Multi-bit memory cell having electrically floating body transistor, and method of programming and reading same
US7362608B2 (en) 2006-03-02 2008-04-22 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7514780B2 (en) 2006-03-15 2009-04-07 Hitachi, Ltd. Power semiconductor device
US7378309B2 (en) 2006-03-15 2008-05-27 Sharp Laboratories Of America, Inc. Method of fabricating local interconnects on a silicon-germanium 3D CMOS
US7419844B2 (en) 2006-03-17 2008-09-02 Sharp Laboratories Of America, Inc. Real-time CMOS imager having stacked photodiodes fabricated on SOI wafer
JP5016832B2 (en) 2006-03-27 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
WO2007111008A1 (en) 2006-03-28 2007-10-04 Sharp Kabushiki Kaisha Method for transferring semiconductor element, method for manufacturing semiconductor device, and semiconductor device
US7408798B2 (en) 2006-03-31 2008-08-05 International Business Machines Corporation 3-dimensional integrated circuit architecture, structure and method for fabrication thereof
US7684224B2 (en) 2006-03-31 2010-03-23 International Business Machines Corporation Structure comprising 3-dimensional integrated circuit architecture, circuit structure, and instructions for fabrication thereof
US7492632B2 (en) 2006-04-07 2009-02-17 Innovative Silicon Isi Sa Memory array having a programmable word length, and method of operating same
US7285480B1 (en) 2006-04-07 2007-10-23 International Business Machines Corporation Integrated circuit chip with FETs having mixed body thicknesses and method of manufacture thereof
US7608848B2 (en) 2006-05-09 2009-10-27 Macronix International Co., Ltd. Bridge resistance random access memory device with a singular contact structure
WO2007133775A2 (en) 2006-05-15 2007-11-22 Carnegie Mellon University Integrated circuit, device, system, and method of fabrication
US7670927B2 (en) 2006-05-16 2010-03-02 International Business Machines Corporation Double-sided integrated circuit chips
US7499352B2 (en) 2006-05-19 2009-03-03 Innovative Silicon Isi Sa Integrated circuit having memory array including row redundancy, and method of programming, controlling and/or operating same
JP4134199B2 (en) 2006-05-25 2008-08-13 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
JP5010192B2 (en) 2006-06-22 2012-08-29 株式会社東芝 Nonvolatile semiconductor memory device
US7385283B2 (en) 2006-06-27 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
KR100919433B1 (en) 2006-06-29 2009-09-29 삼성전자주식회사 Non volatile memory device and method for fabricating the same
DE102006030267B4 (en) 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano embossing technique with increased flexibility in terms of adjustment and shaping of structural elements
FR2904143A1 (en) 2006-07-24 2008-01-25 St Microelectronics Sa IMAGE SENSOR FLASHING FROM THE REAR SIDE AT UNIFORM SUBSTRATE TEMPERATURE
US7511536B2 (en) 2006-08-03 2009-03-31 Chipx, Inc. Cells of a customizable logic array device having independently accessible circuit elements
KR100810614B1 (en) 2006-08-23 2008-03-06 삼성전자주식회사 Semiconductor memory device having DRAM cell mode and non-volatile memory cell mode and operation method thereof
US20080054359A1 (en) 2006-08-31 2008-03-06 International Business Machines Corporation Three-dimensional semiconductor structure and method for fabrication thereof
KR100895853B1 (en) 2006-09-14 2009-05-06 삼성전자주식회사 Stacked memory and method for forming the same
US20080070340A1 (en) 2006-09-14 2008-03-20 Nicholas Francis Borrelli Image sensor using thin-film SOI
US20080072182A1 (en) 2006-09-19 2008-03-20 The Regents Of The University Of California Structured and parameterized model order reduction
WO2008036837A2 (en) 2006-09-20 2008-03-27 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
JP2008078404A (en) 2006-09-21 2008-04-03 Toshiba Corp Semiconductor memory and manufacturing method thereof
KR100826979B1 (en) 2006-09-30 2008-05-02 주식회사 하이닉스반도체 Stack package and method for fabricating the same
US7478359B1 (en) 2006-10-02 2009-01-13 Xilinx, Inc. Formation of columnar application specific circuitry using a columnar programmable logic device
US7949210B2 (en) 2006-10-09 2011-05-24 Colorado School Of Mines Silicon-compatible surface plasmon optical elements
JP5100080B2 (en) 2006-10-17 2012-12-19 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR100815225B1 (en) 2006-10-23 2008-03-19 삼성전기주식회사 Vertically structured light emitting diode device and method of manufacturing the same
US7388771B2 (en) 2006-10-24 2008-06-17 Macronix International Co., Ltd. Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states
US7781247B2 (en) 2006-10-26 2010-08-24 SemiLEDs Optoelectronics Co., Ltd. Method for producing Group III-Group V vertical light-emitting diodes
WO2008140585A1 (en) 2006-11-22 2008-11-20 Nexgen Semi Holding, Inc. Apparatus and method for conformal mask manufacturing
US7879711B2 (en) 2006-11-28 2011-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7760548B2 (en) 2006-11-29 2010-07-20 Yuniarto Widjaja Semiconductor memory having both volatile and non-volatile functionality and method of operating
JP2008140912A (en) 2006-11-30 2008-06-19 Toshiba Corp Nonvolatile semiconductor memory device
US7928471B2 (en) 2006-12-04 2011-04-19 The United States Of America As Represented By The Secretary Of The Navy Group III-nitride growth on silicon or silicon germanium substrates and method and devices therefor
US7697316B2 (en) 2006-12-07 2010-04-13 Macronix International Co., Ltd. Multi-level cell resistance random access memory with metal oxides
US7864568B2 (en) 2006-12-07 2011-01-04 Renesas Electronics Corporation Semiconductor storage device
US20080135949A1 (en) 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP2010512661A (en) 2006-12-11 2010-04-22 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア Growth of high performance nonpolar group III nitride optical devices by metal organic chemical vapor deposition (MOCVD)
KR100801707B1 (en) 2006-12-13 2008-02-11 삼성전자주식회사 Floating-body memory and method of fabricating the same
US8124429B2 (en) 2006-12-15 2012-02-28 Richard Norman Reprogrammable circuit board with alignment-insensitive support for multiple component contact types
WO2008072164A1 (en) 2006-12-15 2008-06-19 Nxp B.V. Transistor device and method of manufacturing such a transistor device
US7932586B2 (en) 2006-12-18 2011-04-26 Mediatek Inc. Leadframe on heat sink (LOHS) semiconductor packages and fabrication methods thereof
JP2008159608A (en) 2006-12-20 2008-07-10 Fujitsu Ltd Semiconductor device, method of manufacturing the same and device of designing the same
CA2645781C (en) 2006-12-22 2011-04-12 Sidense Corp. Dual function data register
KR100860466B1 (en) 2006-12-27 2008-09-25 동부일렉트로닉스 주식회사 CMOS Image Sensor and Method for Manufacturing thereof
KR100829616B1 (en) 2006-12-27 2008-05-14 삼성전자주식회사 Method for forming channel silicon layer and method for manufacturing stacked semiconductor device using the same
JP4945248B2 (en) 2007-01-05 2012-06-06 株式会社東芝 Memory system, semiconductor memory device and driving method thereof
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
JP5091491B2 (en) 2007-01-23 2012-12-05 株式会社東芝 Nonvolatile semiconductor memory device
JP2008182058A (en) 2007-01-25 2008-08-07 Nec Electronics Corp Semiconductor device and semiconductor device forming method
US7485508B2 (en) 2007-01-26 2009-02-03 International Business Machines Corporation Two-sided semiconductor-on-insulator structures and methods of manufacturing the same
KR100891963B1 (en) 2007-02-02 2009-04-08 삼성전자주식회사 One transistor dram device and method of forming the same
KR20080075405A (en) 2007-02-12 2008-08-18 삼성전자주식회사 Nonvolatible memory transistor having poly silicon fin, stacked nonvolatible memory device having the transistor, method of fabricating the transistor, and method of fabricating the device
US20080194068A1 (en) 2007-02-13 2008-08-14 Qimonda Ag Method of manufacturing a 3-d channel field-effect transistor and an integrated circuit
US7666723B2 (en) 2007-02-22 2010-02-23 International Business Machines Corporation Methods of forming wiring to transistor and related transistor
KR100825808B1 (en) 2007-02-26 2008-04-29 삼성전자주식회사 Image sensor having backside illumination structure and method of the same image sensor
KR20080080833A (en) 2007-03-02 2008-09-05 삼성전자주식회사 Methods of fabricating semiconductor wafer
US7774735B1 (en) 2007-03-07 2010-08-10 Cadence Design Systems, Inc Integrated circuit netlist migration
US20080220558A1 (en) 2007-03-08 2008-09-11 Integrated Photovoltaics, Inc. Plasma spraying for semiconductor grade silicon
US7494846B2 (en) 2007-03-09 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Design techniques for stacking identical memory dies
US8339844B2 (en) 2007-03-13 2012-12-25 Easic Corporation Programmable vias for structured ASICs
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
JP2008251059A (en) 2007-03-29 2008-10-16 Toshiba Corp Nonvolatile semiconductor memory device and its data erasing method
US8569834B2 (en) 2007-04-12 2013-10-29 The Penn State Research Foundation Accumulation field effect microelectronic device and process for the formation thereof
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US7512012B2 (en) 2007-04-30 2009-03-31 Macronix International Co., Ltd. Non-volatile memory and manufacturing method and operating method thereof and circuit system including the non-volatile memory
US7651939B2 (en) 2007-05-01 2010-01-26 Freescale Semiconductor, Inc Method of blocking a void during contact formation
ITMI20070933A1 (en) 2007-05-08 2008-11-09 St Microelectronics Srl MULTI PIASTRINA ELECTRONIC SYSTEM
US20080277778A1 (en) 2007-05-10 2008-11-13 Furman Bruce K Layer Transfer Process and Functionally Enhanced Integrated Circuits Products Thereby
KR100886429B1 (en) 2007-05-14 2009-03-02 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US7795669B2 (en) 2007-05-30 2010-09-14 Infineon Technologies Ag Contact structure for FinFET device
TW200913238A (en) 2007-06-04 2009-03-16 Sony Corp Optical member, solid state imaging apparatus, and manufacturing method
US7781306B2 (en) 2007-06-20 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate and method for manufacturing the same
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
US8431451B2 (en) 2007-06-29 2013-04-30 Semicondutor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
WO2009009714A2 (en) 2007-07-12 2009-01-15 Aidi Corporation Fiber array unit with integrated optical power monitor
US20090026618A1 (en) 2007-07-25 2009-01-29 Samsung Electronics Co., Ltd. Semiconductor device including interlayer interconnecting structures and methods of forming the same
KR101258268B1 (en) 2007-07-26 2013-04-25 삼성전자주식회사 NAND-type resistive memory cell strings of a non-volatile memory device and methods of fabricating the same
KR100881825B1 (en) 2007-07-27 2009-02-03 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
JP2009038072A (en) 2007-07-31 2009-02-19 Nec Electronics Corp Semiconductor integrated circuit, and development method thereof
US7902069B2 (en) 2007-08-02 2011-03-08 International Business Machines Corporation Small area, robust silicon via structure and process
CN101779270B (en) 2007-08-10 2013-06-12 株式会社尼康 Substrate bonding apparatus and substrate bonding method
US8035223B2 (en) 2007-08-28 2011-10-11 Research Triangle Institute Structure and process for electrical interconnect and thermal management
JP2009065161A (en) 2007-09-07 2009-03-26 Dongbu Hitek Co Ltd Image sensor, and manufacturing method thereof
US8042082B2 (en) 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US7692448B2 (en) 2007-09-12 2010-04-06 Neal Solomon Reprogrammable three dimensional field programmable gate arrays
US7772880B2 (en) 2007-09-12 2010-08-10 Neal Solomon Reprogrammable three dimensional intelligent system on a chip
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US7667293B2 (en) 2007-09-13 2010-02-23 Macronix International Co., Ltd. Resistive random access memory and method for manufacturing the same
US7876597B2 (en) 2007-09-19 2011-01-25 Micron Technology, Inc. NAND-structured series variable-resistance material memories, processes of forming same, and methods of using same
US7982250B2 (en) 2007-09-21 2011-07-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8044464B2 (en) 2007-09-21 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7939424B2 (en) 2007-09-21 2011-05-10 Varian Semiconductor Equipment Associates, Inc. Wafer bonding activated by ion implantation
US8022493B2 (en) 2007-09-27 2011-09-20 Dongbu Hitek Co., Ltd. Image sensor and manufacturing method thereof
JP2009094236A (en) 2007-10-05 2009-04-30 Toshiba Corp Nonvolatile semiconductor storage device
US20090096009A1 (en) 2007-10-16 2009-04-16 Promos Technologies Pte. Ltd. Nonvolatile memories which combine a dielectric, charge-trapping layer with a floating gate
JP5244364B2 (en) 2007-10-16 2013-07-24 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
KR101320518B1 (en) 2007-10-24 2013-12-19 삼성전자주식회사 Integrated circuit semiconductor device having stacked level transistors portion and fabrication method thereof
US20090128189A1 (en) 2007-11-19 2009-05-21 Raminda Udaya Madurawe Three dimensional programmable devices
JP5469851B2 (en) 2007-11-27 2014-04-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US8130547B2 (en) 2007-11-29 2012-03-06 Zeno Semiconductor, Inc. Method of maintaining the state of semiconductor memory having electrically floating body transistor
US20090144669A1 (en) 2007-11-29 2009-06-04 International Business Machines Corporation Method and arrangement for enhancing process variability and lifetime reliability through 3d integration
US20090144678A1 (en) 2007-11-30 2009-06-04 International Business Machines Corporation Method and on-chip control apparatus for enhancing process reliability and process variability through 3d integration
US8679861B2 (en) 2007-11-29 2014-03-25 International Business Machines Corporation Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
US7993940B2 (en) 2007-12-05 2011-08-09 Luminus Devices, Inc. Component attach methods and related device structures
US8185685B2 (en) 2007-12-14 2012-05-22 Hitachi Global Storage Technologies Netherlands B.V. NAND flash module replacement for DRAM module
US7919845B2 (en) 2007-12-20 2011-04-05 Xilinx, Inc. Formation of a hybrid integrated circuit device
US8101447B2 (en) 2007-12-20 2012-01-24 Tekcore Co., Ltd. Light emitting diode element and method for fabricating the same
KR100909562B1 (en) 2007-12-21 2009-07-27 주식회사 동부하이텍 Semiconductor device and manufacturing method
US8120958B2 (en) 2007-12-24 2012-02-21 Qimonda Ag Multi-die memory, apparatus and multi-die memory stack
KR100883026B1 (en) 2007-12-27 2009-02-12 주식회사 동부하이텍 Method for manufacturing an image sensor
KR100855407B1 (en) 2007-12-27 2008-08-29 주식회사 동부하이텍 Image sensor and method for manufacturing thereof
US20090174018A1 (en) 2008-01-09 2009-07-09 Micron Technology, Inc. Construction methods for backside illuminated image sensors
US7786535B2 (en) 2008-01-11 2010-08-31 International Business Machines Corporation Design structures for high-voltage integrated circuits
US7790524B2 (en) 2008-01-11 2010-09-07 International Business Machines Corporation Device and design structures for memory cells in a non-volatile random access memory and methods of fabricating such device structures
KR101373183B1 (en) 2008-01-15 2014-03-14 삼성전자주식회사 Semiconductor memory device with three-dimensional array structure and repair method thereof
US8191021B2 (en) 2008-01-28 2012-05-29 Actel Corporation Single event transient mitigation and measurement in integrated circuits
US20090194829A1 (en) 2008-01-31 2009-08-06 Shine Chung MEMS Packaging Including Integrated Circuit Dies
US20090194152A1 (en) 2008-02-04 2009-08-06 National Taiwan University Thin-film solar cell having hetero-junction of semiconductor and method for fabricating the same
US7777330B2 (en) 2008-02-05 2010-08-17 Freescale Semiconductor, Inc. High bandwidth cache-to-processing unit communication in a multiple processor/cache system
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US20090211622A1 (en) 2008-02-21 2009-08-27 Sunlight Photonics Inc. Multi-layered electro-optic devices
US7749813B2 (en) 2008-02-27 2010-07-06 Lumination Llc Circuit board for direct flip chip attachment
US20090218627A1 (en) 2008-02-28 2009-09-03 International Business Machines Corporation Field effect device structure including self-aligned spacer shaped contact
JP2009224612A (en) 2008-03-17 2009-10-01 Toshiba Corp Nonvolatile semiconductor memory device and production method thereof
US8507320B2 (en) 2008-03-18 2013-08-13 Infineon Technologies Ag Electronic device including a carrier and a semiconductor chip attached to the carrier and manufacturing thereof
JP2009238874A (en) 2008-03-26 2009-10-15 Toshiba Corp Semiconductor memory and method for manufacturing the same
US7939389B2 (en) 2008-04-18 2011-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8068370B2 (en) 2008-04-18 2011-11-29 Macronix International Co., Ltd. Floating gate memory device with interpoly charge trapping structure
US7692959B2 (en) 2008-04-22 2010-04-06 International Business Machines Corporation Multilayer storage class memory using externally heated phase change material
JP2009266944A (en) 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
US7732803B2 (en) 2008-05-01 2010-06-08 Bridgelux, Inc. Light emitting device having stacked multiple LEDS
US7749884B2 (en) 2008-05-06 2010-07-06 Astrowatt, Inc. Method of forming an electronic device using a separation-enhancing species
FR2932005B1 (en) 2008-06-02 2011-04-01 Commissariat Energie Atomique INTEGRATED TRANSISTOR CIRCUIT IN THREE DIMENSIONS HAVING DYNAMICALLY ADJUSTABLE VT THRESHOLD VOLTAGE
FR2932003B1 (en) 2008-06-02 2011-03-25 Commissariat Energie Atomique SRAM MEMORY CELL WITH INTEGRATED TRANSISTOR ON SEVERAL LEVELS AND WHOSE VT THRESHOLD VOLTAGE IS ADJUSTABLE DYNAMICALLY
JP2009295694A (en) 2008-06-03 2009-12-17 Toshiba Corp Non-volatile semiconductor storage device and manufacturing method thereof
KR101094902B1 (en) 2008-06-05 2011-12-15 주식회사 하이닉스반도체 Multi Bit Phase Change Random Access Memory Device
US8716805B2 (en) 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US7915667B2 (en) 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
KR101480286B1 (en) 2008-06-20 2015-01-09 삼성전자주식회사 Highly integrated semiconductor device and method for manufacturing the same
JP2010010215A (en) 2008-06-24 2010-01-14 Oki Semiconductor Co Ltd Method of manufacturing semiconductor device
US8105853B2 (en) 2008-06-27 2012-01-31 Bridgelux, Inc. Surface-textured encapsulations for use with light emitting diodes
US8334170B2 (en) 2008-06-27 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking devices
US7868442B2 (en) 2008-06-30 2011-01-11 Headway Technologies, Inc. Layered chip package and method of manufacturing same
CN101621008A (en) 2008-07-03 2010-01-06 中芯国际集成电路制造(上海)有限公司 TFT floating gate memory cell structure
US7772096B2 (en) 2008-07-10 2010-08-10 International Machines Corporation Formation of SOI by oxidation of silicon with engineered porosity gradient
JP2010027870A (en) 2008-07-18 2010-02-04 Toshiba Corp Semiconductor memory and manufacturing method thereof
US8044448B2 (en) 2008-07-25 2011-10-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8006212B2 (en) 2008-07-30 2011-08-23 Synopsys, Inc. Method and system for facilitating floorplanning for 3D IC
US7719876B2 (en) 2008-07-31 2010-05-18 Unity Semiconductor Corporation Preservation circuit and methods to maintain values representing data in one or more layers of memory
US8039314B2 (en) 2008-08-04 2011-10-18 International Business Machines Corporation Metal adhesion by induced surface roughness
US8125006B2 (en) 2008-08-08 2012-02-28 Qimonda Ag Array of low resistive vertical diodes and method of production
JP5279403B2 (en) 2008-08-18 2013-09-04 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8129256B2 (en) 2008-08-19 2012-03-06 International Business Machines Corporation 3D integrated circuit device fabrication with precisely controllable substrate removal
JP5161702B2 (en) 2008-08-25 2013-03-13 キヤノン株式会社 Imaging apparatus, imaging system, and focus detection method
DE102008044986A1 (en) 2008-08-29 2010-03-04 Advanced Micro Devices, Inc., Sunnyvale A 3-D integrated circuit device with an internal heat distribution function
EP2161755A1 (en) 2008-09-05 2010-03-10 University College Cork-National University of Ireland, Cork Junctionless Metal-Oxide-Semiconductor Transistor
US8014166B2 (en) 2008-09-06 2011-09-06 Broadpak Corporation Stacking integrated circuits containing serializer and deserializer blocks using through silicon via
US7923350B2 (en) 2008-09-09 2011-04-12 Infineon Technologies Ag Method of manufacturing a semiconductor device including etching to etch stop regions
US7943515B2 (en) 2008-09-09 2011-05-17 Sandisk 3D Llc Shared masks for x-lines and shared masks for y-lines for fabrication of 3D memory arrays
US8106520B2 (en) 2008-09-11 2012-01-31 Micron Technology, Inc. Signal delivery in stacked device
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
KR101548173B1 (en) 2008-09-18 2015-08-31 삼성전자주식회사 Wafer temporary bonding method using Si direct bondingSDB and semiconductor device and fabricating method thereof using the same bonding method
US9064717B2 (en) 2008-09-26 2015-06-23 International Business Machines Corporation Lock and key through-via method for wafer level 3D integration and structures produced thereby
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
TWI394506B (en) 2008-10-13 2013-04-21 Unimicron Technology Corp Multilayer three-dimensional circuit structure and manufacturing method thereof
JP2010098067A (en) 2008-10-15 2010-04-30 Toshiba Corp Semiconductor device
US8030780B2 (en) 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US20100137143A1 (en) 2008-10-22 2010-06-03 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US8907316B2 (en) 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US8241989B2 (en) 2008-11-14 2012-08-14 Qimonda Ag Integrated circuit with stacked devices
US7838337B2 (en) 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US20100140790A1 (en) 2008-12-05 2010-06-10 Seagate Technology Llc Chip having thermal vias and spreaders of cvd diamond
US20100157117A1 (en) 2008-12-18 2010-06-24 Yu Wang Vertical stack of image sensors with cutoff color filters
JP5160396B2 (en) 2008-12-18 2013-03-13 株式会社日立製作所 Semiconductor device
KR101442177B1 (en) 2008-12-18 2014-09-18 삼성전자주식회사 Methods of fabricating a semiconductor device having a capacitor-less one transistor memory cell
US8168490B2 (en) 2008-12-23 2012-05-01 Intersil Americas, Inc. Co-packaging approach for power converters based on planar devices, structure and method
US7943428B2 (en) 2008-12-24 2011-05-17 International Business Machines Corporation Bonded semiconductor substrate including a cooling mechanism
US8314635B2 (en) 2009-01-22 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming programmable transistor array comprising basic transistor units
US20100193884A1 (en) 2009-02-02 2010-08-05 Woo Tae Park Method of Fabricating High Aspect Ratio Transducer Using Metal Compression Bonding
US8158515B2 (en) 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
CN102308241A (en) 2009-02-18 2012-01-04 松下电器产业株式会社 Imaging device
JP4956598B2 (en) 2009-02-27 2012-06-20 シャープ株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
US8203187B2 (en) 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
TWI433302B (en) 2009-03-03 2014-04-01 Macronix Int Co Ltd Integrated circuit self aligned 3d memory array and manufacturing method
US8299583B2 (en) 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US8487444B2 (en) 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
WO2010104918A1 (en) 2009-03-10 2010-09-16 Contour Semiconductor, Inc. Three-dimensional memory array comprising vertical switches having three terminals
WO2010116694A2 (en) 2009-04-06 2010-10-14 Canon Kabushiki Kaisha Method of manufacturing semiconductor device
US8552563B2 (en) 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US7983065B2 (en) 2009-04-08 2011-07-19 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
US8174288B2 (en) 2009-04-13 2012-05-08 International Business Machines Corporation Voltage conversion and integrated circuits with stacked voltage domains
US9406561B2 (en) 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US20100221867A1 (en) 2009-05-06 2010-09-02 International Business Machines Corporation Low cost soi substrates for monolithic solar cells
US7939369B2 (en) 2009-05-14 2011-05-10 International Business Machines Corporation 3D integration structure and method using bonded metal planes
US7960282B2 (en) 2009-05-21 2011-06-14 Globalfoundries Singapore Pte. Ltd. Method of manufacture an integrated circuit system with through silicon via
US8516408B2 (en) 2009-05-26 2013-08-20 Lsi Corporation Optimization of circuits having repeatable circuit instances
KR101623960B1 (en) 2009-06-04 2016-05-25 삼성전자주식회사 Optoelectronic shutter, method of operating the same and optical apparatus employing the optoelectronic shutter
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
US7948017B2 (en) 2009-06-19 2011-05-24 Carestream Health, Inc. Digital radiography imager with buried interconnect layer in silicon-on-glass and method of fabricating same
JP2011003833A (en) 2009-06-22 2011-01-06 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
JP2011009409A (en) 2009-06-25 2011-01-13 Toshiba Corp Nonvolatile semiconductor memory device
US20100330728A1 (en) 2009-06-26 2010-12-30 Mccarten John P Method of aligning elements in a back-illuminated image sensor
JP2011014817A (en) 2009-07-06 2011-01-20 Toshiba Corp Nonvolatile semiconductor memory device
EP2273545B1 (en) 2009-07-08 2016-08-31 Imec Method for insertion bonding and kit of parts for use in said method
JP5380190B2 (en) 2009-07-21 2014-01-08 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
JP5482025B2 (en) 2009-08-28 2014-04-23 ソニー株式会社 SOLID-STATE IMAGING DEVICE, ITS MANUFACTURING METHOD, AND ELECTRONIC DEVICE
FR2949904B1 (en) 2009-09-07 2012-01-06 Commissariat Energie Atomique INTEGRATED CIRCUIT WITH ELECTROSTATICALLY COUPLED MOS TRANSISTORS AND METHOD FOR PRODUCING SUCH AN INTEGRATED CIRCUIT
US8611388B2 (en) 2009-10-13 2013-12-17 Skorpios Technologies, Inc. Method and system for heterogeneous substrate bonding of waveguide receivers
US8630326B2 (en) 2009-10-13 2014-01-14 Skorpios Technologies, Inc. Method and system of heterogeneous substrate bonding for photonic integration
US8264065B2 (en) 2009-10-23 2012-09-11 Synopsys, Inc. ESD/antenna diodes for through-silicon vias
US8159060B2 (en) 2009-10-29 2012-04-17 International Business Machines Corporation Hybrid bonding interface for 3-dimensional chip integration
CN104681079B (en) 2009-11-06 2018-02-02 株式会社半导体能源研究所 Semiconductor device and the method for driving semiconductor device
US8138543B2 (en) 2009-11-18 2012-03-20 International Business Machines Corporation Hybrid FinFET/planar SOI FETs
WO2011065258A1 (en) 2009-11-27 2011-06-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
WO2011068028A1 (en) 2009-12-04 2011-06-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element, semiconductor device, and method for manufacturing the same
US8107276B2 (en) 2009-12-04 2012-01-31 International Business Machines Corporation Resistive memory devices having a not-and (NAND) structure
CN102088014A (en) 2009-12-04 2011-06-08 中国科学院微电子研究所 3D (Three Dimensional) integrated circuit structure, semiconductor device and forming methods thereof
WO2011070855A1 (en) 2009-12-11 2011-06-16 シャープ株式会社 Method for manufacturing semiconductor device, and semiconductor device
US8507365B2 (en) 2009-12-21 2013-08-13 Alliance For Sustainable Energy, Llc Growth of coincident site lattice matched semiconductor layers and devices on crystalline substrates
US8129258B2 (en) 2009-12-23 2012-03-06 Xerox Corporation Method for dicing a semiconductor wafer, a chip diced from a semiconductor wafer, and an array of chips diced from a semiconductor wafer
US8048711B2 (en) 2009-12-30 2011-11-01 Omnivision Technologies, Inc. Method for forming deep isolation in imagers
KR101652826B1 (en) 2010-01-08 2016-08-31 삼성전자주식회사 Semiconductor Devices and Method of Driving the Same
US8841777B2 (en) 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
US8455936B2 (en) 2010-02-25 2013-06-04 Avago Technologies General Ip (Singapore) Pte. Ltd. Configurable memory sheet and package assembly
JP5144698B2 (en) 2010-03-05 2013-02-13 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP2011187794A (en) 2010-03-10 2011-09-22 Toshiba Corp Semiconductor storage device, and method of manufacturing the same
US8547738B2 (en) 2010-03-15 2013-10-01 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8437192B2 (en) 2010-05-21 2013-05-07 Macronix International Co., Ltd. 3D two bit-per-cell NAND flash memory
US8525342B2 (en) 2010-04-12 2013-09-03 Qualcomm Incorporated Dual-side interconnected CMOS for stacked integrated circuits
US8541305B2 (en) 2010-05-24 2013-09-24 Institute of Microelectronics, Chinese Academy of Sciences 3D integrated circuit and method of manufacturing the same
KR101688598B1 (en) 2010-05-25 2017-01-02 삼성전자주식회사 Three dimensional semiconductor memory device
FR2961016B1 (en) 2010-06-07 2013-06-07 Commissariat Energie Atomique INTEGRATED CIRCUIT WITH FET TYPE DEVICE WITHOUT JUNCTION AND DEPLETION
KR20110135299A (en) 2010-06-10 2011-12-16 삼성전자주식회사 Semiconductor memory device
JP2012009512A (en) 2010-06-22 2012-01-12 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
KR101145074B1 (en) 2010-07-02 2012-05-11 이상윤 Method for fabricating a semiconductor substrate and Method for fabricating a semiconductor device by using the same
KR101193195B1 (en) 2010-07-02 2012-10-19 삼성디스플레이 주식회사 Organic light emitting display device
US7969193B1 (en) 2010-07-06 2011-06-28 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
KR20120006843A (en) 2010-07-13 2012-01-19 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
JP2012028537A (en) 2010-07-22 2012-02-09 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method thereof
US8674510B2 (en) 2010-07-29 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure having improved power and thermal management
KR20120020526A (en) 2010-08-30 2012-03-08 삼성전자주식회사 Substrate have buried conductive layer and formation method thereof, and fabricating method of semiconductor device using the same
US20120063090A1 (en) 2010-09-09 2012-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling mechanism for stacked die package and method of manufacturing the same
JP5651415B2 (en) 2010-09-21 2015-01-14 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US20120074466A1 (en) 2010-09-28 2012-03-29 Seagate Technology Llc 3d memory array with vertical transistor
US9245760B2 (en) 2010-09-30 2016-01-26 Infineon Technologies Ag Methods of forming epitaxial layers on a porous semiconductor layer
US8440544B2 (en) 2010-10-06 2013-05-14 International Business Machines Corporation CMOS structure and method of manufacture
US8293578B2 (en) 2010-10-26 2012-10-23 International Business Machines Corporation Hybrid bonding techniques for multi-layer semiconductor stacks
FR2967294B1 (en) 2010-11-10 2012-12-07 Commissariat Energie Atomique METHOD FOR FORMING A MULTILAYER STRUCTURE
TWI423426B (en) 2010-11-19 2014-01-11 Univ Nat Chiao Tung A structure and process of basic complementary logic gate made by junctionless transistors
WO2012078765A2 (en) 2010-12-07 2012-06-14 Trustees Of Boston University Self-cleaning solar panels and concentrators with transparent electrodynamic screens
US8775998B2 (en) 2010-12-09 2014-07-08 Panasonic Corporation Support device of three-dimensional integrated circuit and method thereof
US8466054B2 (en) 2010-12-13 2013-06-18 Io Semiconductor, Inc. Thermal conduction paths for semiconductor structures
US9227456B2 (en) 2010-12-14 2016-01-05 Sandisk 3D Llc Memories with cylindrical read/write stacks
US8625322B2 (en) 2010-12-14 2014-01-07 Sandisk 3D Llc Non-volatile memory having 3D array of read/write elements with low current structures and methods thereof
KR101755643B1 (en) 2010-12-15 2017-07-10 삼성전자주식회사 Three Dimensional Semiconductor Memory Device and Method of Forming the Same
US8432751B2 (en) 2010-12-22 2013-04-30 Intel Corporation Memory cell using BTI effects in high-k metal gate MOS
US8481405B2 (en) 2010-12-24 2013-07-09 Io Semiconductor, Inc. Trap rich layer with through-silicon-vias in semiconductor devices
EP2656388B1 (en) 2010-12-24 2020-04-15 QUALCOMM Incorporated Trap rich layer for semiconductor devices
KR20120079393A (en) 2011-01-04 2012-07-12 (주)세미머티리얼즈 A method for manufacturing semiconductor light emitting device
US8432719B2 (en) 2011-01-18 2013-04-30 Macronix International Co., Ltd. Three-dimensional stacked and-type flash memory structure and methods of manufacturing and operating the same hydride
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
US20120193785A1 (en) 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
KR101771619B1 (en) 2011-02-09 2017-08-28 삼성전자주식회사 Nonvolatile memory device and driving method thereof
US8566762B2 (en) 2011-03-09 2013-10-22 Panasonic Corportion Three-dimensional integrated circuit design device, three-dimensional integrated circuit design, method, and program
US9001590B2 (en) 2011-05-02 2015-04-07 Macronix International Co., Ltd. Method for operating a semiconductor structure
JP5505367B2 (en) 2011-05-11 2014-05-28 信越半導体株式会社 Method for manufacturing bonded substrate having insulating layer on part of substrate
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
FR2978604B1 (en) 2011-07-28 2018-09-14 Soitec METHOD FOR THE HEALING OF DEFECTS IN A SEMICONDUCTOR LAYER
KR101399338B1 (en) 2011-08-08 2014-05-30 (주)실리콘화일 stacking substrate image sensor with dual sensing
US8937309B2 (en) 2011-08-08 2015-01-20 Micron Technology, Inc. Semiconductor die assemblies, semiconductor devices including same, and methods of fabrication
US8519735B2 (en) 2011-08-25 2013-08-27 International Business Machines Corporation Programming the behavior of individual chips or strata in a 3D stack of integrated circuits
JP2013065638A (en) 2011-09-15 2013-04-11 Elpida Memory Inc Semiconductor device
US8796741B2 (en) 2011-10-04 2014-08-05 Qualcomm Incorporated Semiconductor device and methods of making semiconductor device using graphene
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US8431436B1 (en) 2011-11-03 2013-04-30 International Business Machines Corporation Three-dimensional (3D) integrated circuit with enhanced copper-to-copper bonding
US8687421B2 (en) 2011-11-21 2014-04-01 Sandisk Technologies Inc. Scrub techniques for use with dynamic read
JP2013150244A (en) 2012-01-23 2013-08-01 Nippon Dempa Kogyo Co Ltd Temperature compensation oscillator
FR2986371B1 (en) 2012-01-31 2016-11-25 St Microelectronics Sa METHOD OF FORMING A VIA CONTACTING MULTIPLE LEVELS OF SEMICONDUCTOR LAYERS
FR2986370B1 (en) 2012-02-01 2014-11-21 St Microelectronics Sa 3D INTEGRATED CIRCUIT
US8749029B2 (en) 2012-02-15 2014-06-10 Infineon Technologies Ag Method of manufacturing a semiconductor device
KR20140138817A (en) 2012-02-29 2014-12-04 솔렉셀, 인크. Structures and methods for high efficiency compound semiconductor solar cells
CN103545275B (en) 2012-07-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 Silicon through hole encapsulating structure and formation method
JP2014030110A (en) 2012-07-31 2014-02-13 Toshiba Corp Reconfigurable integrated circuit device and method of writing to the same
US20140048867A1 (en) 2012-08-20 2014-02-20 Globalfoundries Singapore Pte. Ltd. Multi-time programmable memory
US9024657B2 (en) 2012-10-11 2015-05-05 Easic Corporation Architectural floorplan for a structured ASIC manufactured on a 28 NM CMOS process lithographic node or smaller
US10192813B2 (en) 2012-11-14 2019-01-29 Qualcomm Incorporated Hard macro having blockage sites, integrated circuit including same and method of routing through a hard macro
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US10403766B2 (en) 2012-12-04 2019-09-03 Conversant Intellectual Property Management Inc. NAND flash memory with vertical cell stack structure and method for manufacturing same
KR102015907B1 (en) 2013-01-24 2019-08-29 삼성전자주식회사 Semiconductor light emitting device
US8773562B1 (en) 2013-01-31 2014-07-08 Apple Inc. Vertically stacked image sensor
US20140225218A1 (en) 2013-02-12 2014-08-14 Qualcomm Incorporated Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9029231B2 (en) 2013-03-12 2015-05-12 Globalfoundries Singapore Pte. Ltd. Fin selector with gated RRAM
US8913418B2 (en) 2013-03-14 2014-12-16 Intermolecular, Inc. Confined defect profiling within resistive random memory access cells
KR101456503B1 (en) 2013-05-15 2014-11-03 (주)실리콘화일 Stack Memory
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US8987089B1 (en) 2013-09-17 2015-03-24 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
KR102154784B1 (en) 2013-10-10 2020-09-11 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US9627287B2 (en) 2013-10-18 2017-04-18 Infineon Technologies Ag Thinning in package using separation structure as stop
US9524920B2 (en) 2013-11-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of three dimensional conductive lines
KR20150056309A (en) 2013-11-15 2015-05-26 삼성전자주식회사 Three-dimensional semiconductor devices and fabricating methods thereof
KR102140789B1 (en) 2014-02-17 2020-08-03 삼성전자주식회사 Evaluating apparatus for quality of crystal, and Apparatus and method for manufacturing semiconductor light emitting device which include the same
JP2015159260A (en) 2014-02-25 2015-09-03 株式会社東芝 Semiconductor storage device and manufacturing method of the same
US9806051B2 (en) 2014-03-04 2017-10-31 General Electric Company Ultra-thin embedded semiconductor device package and method of manufacturing thereof
US9269608B2 (en) 2014-03-24 2016-02-23 Qualcomm Switch Corp. Bonded semiconductor structure with SiGeC/SiGeBC layer as etch stop
US9105689B1 (en) 2014-03-24 2015-08-11 Silanna Semiconductor U.S.A., Inc. Bonded semiconductor structure with SiGeC layer as etch stop
US9704841B2 (en) 2014-03-26 2017-07-11 United Microelectronics Corp. Method of packaging stacked dies on wafer using flip-chip bonding
US9397110B2 (en) 2014-05-21 2016-07-19 Macronix International Co., Ltd. 3D independent double gate flash memory
KR102307487B1 (en) 2014-06-23 2021-10-05 삼성전자주식회사 Three-dimensional semiconductor memory device and method of fabricating the same
US9620217B2 (en) 2014-08-12 2017-04-11 Macronix International Co., Ltd. Sub-block erase
KR102171263B1 (en) 2014-08-21 2020-10-28 삼성전자 주식회사 Integrated circuit device having single crystal silicon thin film and method of manufacturing the same
US9530824B2 (en) 2014-11-14 2016-12-27 Sandisk Technologies Llc Monolithic three dimensional memory arrays with staggered vertical bit line select transistors and methods therfor
US9589979B2 (en) 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US9691804B2 (en) 2015-04-17 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Image sensing device and manufacturing method thereof
US9768149B2 (en) 2015-05-19 2017-09-19 Micron Technology, Inc. Semiconductor device assembly with heat transfer structure formed from semiconductor material
KR20170030307A (en) 2015-09-09 2017-03-17 삼성전자주식회사 Memory device with seperated capacitor
US9589982B1 (en) 2015-09-15 2017-03-07 Macronix International Co., Ltd. Structure and method of operation for improved gate capacity for 3D NOR flash memory
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
KR102476764B1 (en) 2015-12-23 2022-12-14 에스케이하이닉스 주식회사 Isolation structure and method for manufacturing the same
US20170278858A1 (en) 2016-03-22 2017-09-28 Schiltron Corporation Monolithic 3-d dynamic memory and method
US9673257B1 (en) 2016-06-03 2017-06-06 Sandisk Technologies Llc Vertical thin film transistors with surround gates
US9595530B1 (en) 2016-07-07 2017-03-14 Sandisk Technologies Llc Methods and apparatus for vertical bit line structures in three-dimensional nonvolatile memory
US10199354B2 (en) 2016-12-20 2019-02-05 Intel Corporation Die sidewall interconnects for 3D chip assemblies
US10559594B2 (en) 2017-04-11 2020-02-11 Ahmad Tarakji Approach to the manufacturing of monolithic 3-dimensional high-rise integrated-circuits with vertically-stacked double-sided fully-depleted silicon-on-insulator transistors
US10431596B2 (en) 2017-08-28 2019-10-01 Sunrise Memory Corporation Staggered word line architecture for reduced disturb in 3-dimensional NOR memory arrays
US10896916B2 (en) 2017-11-17 2021-01-19 Sunrise Memory Corporation Reverse memory cell
US10651153B2 (en) 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
US11069696B2 (en) 2018-07-12 2021-07-20 Sunrise Memory Corporation Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto
US10741581B2 (en) 2018-07-12 2020-08-11 Sunrise Memory Corporation Fabrication method for a 3-dimensional NOR memory array
US10651182B2 (en) 2018-09-28 2020-05-12 Intel Corporation Three-dimensional ferroelectric NOR-type memory
CN113383415A (en) 2019-01-30 2021-09-10 日升存储公司 Device with embedded high bandwidth, high capacity memory using wafer bonding

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7919803B2 (en) * 2007-09-20 2011-04-05 Elpida Memory, Inc. Semiconductor memory device having a capacitor structure with a desired capacitance and manufacturing method therefor
US8557632B1 (en) * 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11410912B2 (en) * 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11616004B1 (en) * 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10229897B2 (en) * 2014-08-11 2019-03-12 Massachusetts Institute Of Technology Multi-layer semiconductor structure and methods for fabricating multi-layer semiconductor structures

Also Published As

Publication number Publication date
US11694944B1 (en) 2023-07-04

Similar Documents

Publication Publication Date Title
US9954080B2 (en) 3D integrated circuit device
US9691869B2 (en) Semiconductor devices and structures
US9099526B2 (en) Integrated circuit device and structure
US9305867B1 (en) Semiconductor devices and structures
US11410912B2 (en) 3D semiconductor device with vias and isolation layers
US9000557B2 (en) Semiconductor device and structure
US10600888B2 (en) 3D semiconductor device
US11694944B1 (en) 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 3D semiconductor device and structure with metal layers and a connective path
US8703597B1 (en) Method for fabrication of a semiconductor device and structure
US10038073B1 (en) 3D integrated circuit device
US10497713B2 (en) 3D semiconductor memory device and structure
US20200335399A1 (en) 3d semiconductor device and structure
US20190363001A1 (en) 3d semiconductor memory device and structure
US20190067110A1 (en) 3d semiconductor device and system
US10665695B2 (en) 3D semiconductor device with isolation layers
US9853089B2 (en) Semiconductor device and structure
US11476181B1 (en) 3D semiconductor device and structure with metal layers
US20190109049A1 (en) 3d semiconductor device and system
US20190057903A1 (en) 3d semiconductor device and system
US11088050B2 (en) 3D semiconductor device with isolation layers
US11881443B2 (en) 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 3D semiconductor device and structure with metal layers and a connective path
US9941332B2 (en) Semiconductor memory device and structure
US11594473B2 (en) 3D semiconductor device and structure with metal layers and a connective path

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: SMAL); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE