US20170314129A1 - Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system - Google Patents

Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system Download PDF

Info

Publication number
US20170314129A1
US20170314129A1 US15/143,338 US201615143338A US2017314129A1 US 20170314129 A1 US20170314129 A1 US 20170314129A1 US 201615143338 A US201615143338 A US 201615143338A US 2017314129 A1 US2017314129 A1 US 2017314129A1
Authority
US
United States
Prior art keywords
station
substrate
deposition
plasma
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/143,338
Other languages
English (en)
Inventor
Ishtak Karim
Kiyong Cho
Adrien Lavoie
Jaswinder Guliani
Purushottam Kumar
Jun Qian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US15/143,338 priority Critical patent/US20170314129A1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GULIANI, JASWINDER, CHO, KIYONG, KARIM, ISHTAK, KUMAR, PURUSHOTTAM, LAVOIE, ADRIEN, QIAN, JUN
Priority to SG10201703133PA priority patent/SG10201703133PA/en
Priority to KR1020170049539A priority patent/KR102302800B1/ko
Priority to JP2017083755A priority patent/JP6908426B2/ja
Priority to TW112134025A priority patent/TW202401522A/zh
Priority to TW106113839A priority patent/TWI775749B/zh
Priority to TW111130779A priority patent/TWI817655B/zh
Priority to CN202310082773.8A priority patent/CN116083880A/zh
Priority to CN202310088307.0A priority patent/CN116083881A/zh
Priority to CN201710291562.XA priority patent/CN107419238A/zh
Publication of US20170314129A1 publication Critical patent/US20170314129A1/en
Priority to JP2021109808A priority patent/JP7282130B2/ja
Priority to KR1020210120640A priority patent/KR102502272B1/ko
Priority to US17/587,560 priority patent/US20220154336A1/en
Priority to KR1020230020942A priority patent/KR102610664B1/ko
Priority to JP2023080505A priority patent/JP2023103367A/ja
Priority to KR1020230172344A priority patent/KR20230169037A/ko
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Definitions

  • Some semiconductor manufacturing processes deposit one or more layers of a material onto a semiconductor substrate or wafer.
  • Integrated circuit fabricators and equipment designers employ various process and apparatus arrangements to produce integrated circuits of uniform quality and with high throughput.
  • Material deposition systems such as chemical vapor deposition chambers are operated in different modes, some that emphasize high throughput and others that emphasize uniformity. Defining modes of operation that optimizes both throughput and uniformity remains a challenge.
  • a method of depositing approximately equal thicknesses of a material on at least two substrates concurrently processed in separate stations of a multi-station deposition apparatus may include (a) providing a first substrate in a first station and a second substrate in a second station of the deposition apparatus, (b) concurrently depositing the material on the first substrate in the first station and on the second substrate in the second station, wherein deposition conditions in the first and second stations are substantially the same, but yet produce a thicker layer of the material on the first substrate in the first station than on the second substrate in the second station, (c) adjusting the deposition conditions in the first station to slow or stop depositing the material on the first substrate while continuing to deposit the material on the second substrate in the second station under the conditions in (b), and (d) completing deposition on the first substrate in the first station and the second substrate in the second station such that a total thickness of the material deposited on the first substrate and on the second substrate is substantially equal.
  • the deposition conditions may include exposing the first substrate and the second substrate to a precursor of the material.
  • adjusting the deposition conditions may include reducing or stopping flow of the precursor to the first station.
  • the deposition conditions may include exposing the first substrate and the second substrate to a plasma.
  • adjusting the deposition conditions may include reducing or stopping the exposure of the first substrate to the plasma.
  • the first wafer may not move from the first station during (b) and (c)
  • (b) may include a cyclic repetition of (i) precursor dosing to absorb precursor on the first and second substrates, and (ii) exposing the first and second substrates to plasma to cause the precursor to react to form the material.
  • (c) may include stopping the precursor dosing and/or the plasma exposure in the first station to thereby reduce a thickness of the material deposited during the cyclic repetitions, while continuing to conduct the cyclic repetitions on the second substrate in the second station under the conditions in (b).
  • (c) may include adjusting the duration or power of the plasma in the first station to thereby reduce a thickness of the material deposited during the cyclic repetitions, while continuing to conduct the cyclic repetitions on the second substrate in the second station under the conditions in (b).
  • the method may further include, before or during (b), analyzing measurement information regarding the relative deposition rates in the first and second stations, and using the measurement information to determine how to adjust the deposition conditions in (c).
  • the measurement information may be obtained during (b).
  • the method may further include, before or during (b), analyzing measurement information regarding physical characteristics of the first substrate and the second substrate, and using the measurement information to determine how to adjust the deposition conditions in (c).
  • a method of semiconductor deposition for creating approximately equal thicknesses of a material on at least two substrates concurrently processed in separate stations of a multi-station deposition apparatus may be provided.
  • the method may include (a) providing a first substrate in a first station and a second substrate in a second station of the deposition apparatus, (b) exposing, at the same time, the first substrate in the first station and the second substrate in the second station to a precursor of the material, (c) activating, at the same time, a reaction of the precursor on the first substrate in the first station and a reaction of the precursor on the second substrate in the second station, (d) performing (b) and (c) for N1 cycles, each of the N1 cycles including depositing a thin film of substantially equal thickness t 1 of the material on the first substrate and a thin film of substantially equal thickness t 2 of the material on the second substrate, and performing N1 cycles creates a total deposition thickness T 1 of the material on the first substrate and a total deposition thickness T 2 A of the material on the
  • the activating in (c) may include independently providing a plasma in each station for a first plasma time at a first plasma power
  • the activating in (e) may include independently providing a plasma in the second station.
  • the activating in (e) may include independently providing a plasma in the second station for a second plasma time that is different than the first plasma time, and the thin film of substantially equal thickness t 2 deposited in each N1 cycle may be different than the thin film of substantially equal thickness t 2 deposited in each N2 cycle.
  • the activating in (e) may include independently providing a plasma in the second station at a second plasma power level that is different than the first plasma power level, and the thin film of substantially equal thickness t 2 deposited in each N1 cycle may be different than the thin film of substantially equal thickness t 2 deposited in each N2 cycle.
  • the exposing in (c) may include flowing a precursor for a first exposure time to the first station and the second station
  • the exposing in (e) may include flowing a precursor for a second exposure time to the second station
  • the thin film of substantially equal thickness t 2 deposited in each N1 cycle may be different than the thin film of substantially equal thickness t 2 deposited in each N2 cycle.
  • a multi-station deposition apparatus may be provided.
  • the apparatus may include a vacuum system, a gas delivery system, a processing chamber that includes at least two stations, each station shares the vacuum system and the gas delivery system, and a controller for controlling the multi-station deposition apparatus to deposit approximately equal thicknesses of a material on at least two substrates concurrently processed in separate stations.
  • the controller may include control logic for (a) providing a first substrate in a first station and a second substrate in a second station of the deposition apparatus, (b) concurrently depositing the material on the first substrate in the first station and on the second substrate in the second station, deposition conditions in the first and second stations are substantially the same, but yet produce a thicker layer of the material on the first substrate in the first station than on the second substrate in the second station, (c) adjusting the deposition conditions in the first station to slow or stop depositing the material on the first substrate while continuing to deposit the material on the second substrate in the second station under the conditions in (b), and (d) completing deposition on the first substrate in the first station and the second substrate in the second station such that a total thickness of the material deposited on the first substrate and on the second substrate is substantially equal.
  • each station may include a showerhead to distribute a precursor of the material onto the substrate in that station, and the gas delivery system may be configured to control delivery of the precursor of the material to each station.
  • the controller may further include control logic for independently controlling precursor delivery to each station, and adjusting the deposition conditions in (c) may include reducing or stopping flow of the precursor to the first station.
  • the apparatus may further include a plasma source configured to independently form and maintain a plasma in each station
  • the controller may further include control logic for independently forming and maintaining a plasma in each station
  • the deposition conditions in (b) may include exposing the first substrate and the second substrate to the plasma.
  • the controller may further include control logic for independently controlling a plasma power level in each station, and adjusting the deposition conditions in (c) may include reducing or stopping the exposure of the first substrate to the plasma.
  • the controller may further include control logic for independently controlling a plasma time in each station, and adjusting the deposition conditions in (c) may include reducing or stopping the exposure of the first substrate to the plasma.
  • FIG. 1 depicts a substrate processing apparatus for depositing films on semiconductor substrates using any number of processes.
  • FIG. 2 depicts an implementation of a multi-station processing tool.
  • FIG. 3 depicts a flowchart for a first example technique for depositing approximately equal thicknesses of a material on at least two substrates concurrently processed in separate stations of a multi-station deposition apparatus.
  • FIG. 4 depicts a graph showing a general relationship between plasma exposure time and thickness of a material formed by the plasma.
  • FIG. 5 depicts a flowchart for a second example technique for creating approximately equal thicknesses of a material on at least two substrates concurrently processed in separate stations of a multi-station deposition apparatus.
  • FIG. 6 depicts a chart of an example implementation using feed forward information.
  • FIG. 7 depicts a graph of measured thicknesses for a four-station deposition apparatus for two different deposition processes.
  • FIG. 8 depicts a flowchart of an example sequence of operations for forming a film of material on a substrate via an atomic layer deposition process.
  • the present disclosure is neither limited to any single aspect nor implementation, nor to any single combination and/or permutation of such aspects and/or implementations. Moreover, each of the aspects of the present disclosure, and/or implementations thereof, may be employed alone or in combination with one or more of the other aspects and/or implementations thereof. For the sake of brevity, many of those permutations and combinations will not be discussed and/or illustrated separately herein.
  • Some semiconductor processes are used to deposit one or more layers of a material onto a substrate such as a wafer.
  • wafer can typically be interpreted to include other forms of “substrate” such as a large format display substrate.
  • deposition processes include chemical vapor deposition (“CVD”), plasma-enhanced CVD (“PECVD”), atomic layer deposition (“ALD”), low pressure CVD, ultra-high CVD, physical vapor deposition (“PVD”), and conformal film deposition (“CFD”).
  • some CVD processes may deposit a film on a wafer surface by flowing one or more gas reactants into a reactor which form film precursors and by-products.
  • the precursors are transported to the wafer surface where they are adsorbed by the wafer, diffused into the wafer, and deposited on the wafer by chemical reactions which also generate by-products that are removed from the surface and from the reactor.
  • some deposition processes involve multiple film deposition cycles, each producing a “discrete” film thickness.
  • ALD is one such film deposition method, but any technique which puts down thin layers of film and used in a repeating sequential matter may be viewed as involving multiple cycles of deposition.
  • ALD is a film forming technique which is well-suited to the deposition of conformal films due to the fact that a single cycle of ALD only deposits a single thin layer of material, the thickness being limited by the amount of one or more film precursor reactants which may adsorb onto the substrate surface (i.e., forming an adsorption-limited layer) prior to the film-forming chemical reaction itself.
  • Multiple “ALD cycles” may then be used to build up a film of the desired thickness, and since each layer is thin and conformal, the resulting film substantially conforms to the shape of the underlying devices structure.
  • each ALD cycle includes the following steps:
  • each ALD cycle may typically be less than 25 seconds or less than 10 seconds or less than 5 seconds.
  • the plasma exposure step (or steps) of the ALD cycle may be of a short duration, such as a duration of 1 second or less.
  • FIG. 8 depicts a flowchart of an example sequence of operations for forming a film of material on a substrate via an ALD process. As can be seen in FIG. 8 , item 1 above corresponds with block 858 , item 2 above corresponds with block 860 , item 3 above corresponds with block 862 , and item 4 above corresponds with block 864 ; the four blocks are performed for N cycles, after which the process is stopped.
  • FIG. 1 shows a substrate processing apparatus for depositing films on semiconductor substrates using any number of processes.
  • the apparatus 100 of FIG. 1 has a single processing chamber 102 with a single substrate holder 108 (e.g., a pedestal) in an interior volume which may be maintained under vacuum by vacuum pump 118 .
  • a single substrate holder 108 e.g., a pedestal
  • gas delivery system 101 and showerhead 106 are also fluidically coupled to the chamber for the delivery of (for example) film precursors, carrier and/or purge and/or process gases, secondary reactants, etc.
  • Equipment for generating a plasma within the processing chamber is also shown in FIG. 1 .
  • the apparatus schematically illustrated in FIG. 1 is commonly for performing ALD, although it may be adapted for performing other film deposition operations such as conventional CVD, particularly plasma enhanced CVD.
  • processing apparatus 100 is depicted as a standalone process station having a process chamber body 102 for maintaining a low-pressure environment.
  • a plurality of process stations may be included in a common process tool environment—e.g., within a common reaction chamber—as described herein.
  • FIG. 2 depicts an implementation of a multi-station processing tool and is discussed in further detail below.
  • one or more hardware parameters of processing apparatus 100 may be adjusted programmatically by one or more system controllers.
  • Process station 100 fluidically communicates with gas delivery system 101 for delivering process gases, which may include liquids and/or gases, to a distribution showerhead 106 .
  • Gas delivery system 101 includes a mixing vessel 104 for blending and/or conditioning process gases for delivery to showerhead 106 .
  • One or more mixing vessel inlet valves 120 may control introduction of process gases to mixing vessel 104 .
  • Some reactants may be stored in liquid form prior to vaporization and subsequent delivery to the process chamber 102 .
  • the implementation of FIG. 1 includes a vaporization point 103 for vaporizing liquid reactant to be supplied to mixing vessel 104 .
  • vaporization point 103 may be a heated liquid injection module.
  • vaporization point 103 may be a heated vaporizer.
  • vaporization point 103 may be eliminated from the process station.
  • a liquid flow controller (LFC) upstream of vaporization point 103 may be provided for controlling a mass flow of liquid for vaporization and delivery to processing chamber 102 .
  • LFC liquid flow controller
  • showerhead 106 distributes process gases and/or reactants (e.g., film precursors) toward substrate 112 at the process station, the flow of which is controlled by one or more valves upstream from the showerhead (e.g., valves 120 , 120 A, 105 ).
  • substrate 112 is located beneath showerhead 106 , and is shown resting on a pedestal 108 .
  • showerhead 106 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 112 .
  • the gas delivery system 101 includes valves or other flow control structures upstream from the showerhead, which can independently control the flow of process gases and/or reactants to each station such that gas may be flowed to one station but not another. Furthermore, the gas delivery system 101 may be configured to independently control the process gases and/or reactants delivered to each station in a multi-station apparatus such that the gas composition provided to different stations is different; e.g., the partial pressure of a gas component may vary between stations at the same time.
  • a volume 107 is located beneath showerhead 106 .
  • pedestal 108 may be raised or lowered to expose substrate 112 to volume 107 and/or to vary a volume of volume 107 .
  • pedestal 108 may be lowered and/or raised during portions of the deposition process to modulate process pressure, reactant concentration, etc. within volume 107 .
  • showerhead 106 and pedestal 108 are electrically connected to RF power supply 114 and matching network 116 for powering a plasma.
  • the plasma energy may be controlled (e.g., via a system controller having appropriate machine-readable instructions and/or control logic) by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing.
  • RF power supply 114 and matching network 116 may be operated at any suitable power to form a plasma having a desired composition of radical species.
  • RF power supply 114 may provide RF power of any suitable frequency and power.
  • the apparatus is configured such that the RF power supply 114 and associated components ignite and sustain a plasma in each station independently.
  • the apparatus may be configured to maintain a plasma in one station while, at the same time, not forming a plasma in another station.
  • the apparatus may be configured to maintain a plasma in two stations, but with different plasma characteristics such as plasma power, density, composition, duration, etc.
  • the plasma ignition and maintenance conditions are controlled with appropriate hardware and/or appropriate machine-readable instructions in a system controller which may provide control instructions via a sequence of input/output control (IOC) instructions.
  • the instructions for setting plasma conditions for plasma ignition or maintenance are provided in the form of a plasma activation recipe of a process recipe.
  • process recipes may be sequentially arranged, so that all instructions for a process are executed concurrently with that process.
  • instructions for setting one or more plasma parameters may be included in a recipe preceding a plasma process.
  • a first recipe may include instructions for setting a flow rate of an inert (e.g., helium) and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe.
  • a second, subsequent recipe may include instructions for enabling the plasma generator and time delay instructions for the second recipe.
  • a third recipe may include instructions for disabling the plasma generator and time delay instructions for the third recipe. It will be appreciated that these recipes may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.
  • plasma strikes last on the order of a few seconds or more in duration. In certain implementations described herein, much shorter plasma strikes may be applied during a processing cycle. These may be on the order of less than 50 milliseconds, with 25 milliseconds being a specific example.
  • FIG. 2 shows an example multi-station substrate processing apparatus.
  • a multi-station processing apparatus like that shown in FIG. 2 with respect to equipment cost, operational expenses, as well as increased throughput.
  • a single vacuum pump may be used to create a single high-vacuum environment for all four process stations by evacuating spent process gases, etc. for all four process stations.
  • each process station may have its own dedicated showerhead for gas delivery, but may share the same gas delivery system.
  • certain elements of the plasma generator equipment may be shared amongst process stations (e.g., power supplies), although depending on the implementation, certain aspects may be process station-specific (for example, if showerheads are used to apply plasma-generating electrical potentials).
  • process stations e.g., power supplies
  • certain aspects may be process station-specific (for example, if showerheads are used to apply plasma-generating electrical potentials).
  • the substrate processing apparatus 200 of FIG. 2 employs a single substrate processing chamber 214 that contains multiple substrate process stations, each of which may be used to perform processing operations on a substrate held in a wafer holder, e.g., a pedestal, at that process station.
  • the multi-station substrate processing apparatus 200 is shown having four process stations 201 , 202 , 203 , and 204 .
  • Other similar multi-station processing apparatuses may have more or fewer processing stations depending on the implementation and, for instance, the desired level of parallel wafer processing, size/space constraints, cost constraints, etc.
  • Also shown in FIG. 2 are a substrate handler robot 226 and a controller 250 .
  • the multi-station processing tool 200 has a substrate loading port 220 , and a robot 226 configured to move substrates from a cassette loaded through a pod 228 through atmospheric port 220 , into the processing chamber 214 , and onto one of the four stations 201 , 202 , 203 , or 204 .
  • the depicted processing chamber 214 shown in FIG. 2 provides four process stations, 201 , 202 , 203 , and 204 .
  • the RF power is generated at an RF power system 213 and distributed to each of the stations 201 , 202 , 203 , and 204 .
  • the RF power system may include one or more RF power sources, e.g., a high frequency (HFRF) and a low frequency (LFRF) source, impedance matching modules, and filters.
  • the power source may be limited to only the high frequency or low frequency source.
  • the distribution system of the RF power system may be symmetric about the reactor and may have high impedance. This symmetry and impedance result in approximately equal amounts of power being delivered to each station.
  • the RF power system may be configured to independently deliver power to each station.
  • RF power may be delivered simultaneously to stations 201 and 202 , and at the same time not delivered to stations 203 and 204 , such that a plasma is simultaneously formed and maintained only in stations 201 and 202 .
  • FIG. 2 also depicts an implementation of a system controller 250 employed to control process conditions and hardware states of process tool 200 and its process stations.
  • System controller 250 may include one or more memory devices 256 , one or more mass storage devices 254 , and one or more processors 252 .
  • Processor 252 may include one or more CPUs, ASICs, general-purpose computer(s) and/or specific purpose computer(s), one or more analog and/or digital input/output connection(s), one or more stepper motor controller board(s), etc.
  • system controller 250 controls some or all of the operations of process tool 200 including the operations of its individual process stations.
  • System controller 250 may execute machine-readable system control instructions 258 on processor 252 ; the system control instructions 258 , in some implementations, loaded into memory device 256 from mass storage device 254 .
  • System control instructions 258 may include instructions for controlling the timing, mixture of gaseous and liquid reactants, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, RF exposure time, substrate pedestal, chuck, and/or susceptor position, plasma formation in each station (which, as discussed above, may include independent plasma formation in one or more stations), flow of gaseous and liquid reactants (which, as stated above, may include independent flow to one or more stations) and other parameters of a particular process performed by process tool 200 . These processes may include various types of processes including, but not limited to, processes related to deposition of film on substrates. System control instructions 258 may be configured in any suitable way.
  • System control instructions 258 may be coded in any suitable computer readable programming language.
  • system control instructions 258 are implemented in software, in other implementations, the instructions may be implemented in hardware—for example, hard-coded as logic in an ASIC (application specific integrated circuit), or, in other implementations, implemented as a combination of software and hardware.
  • ASIC application specific integrated circuit
  • system control software 258 may include input/output control (IOC) instructions for controlling the various parameters described above.
  • IOC input/output control
  • each step of a deposition process or processes may include one or more instructions for execution by system controller 250 .
  • the instructions for setting process conditions for a primary film deposition process may be included in a corresponding deposition recipe, and likewise for a capping film deposition.
  • the recipes may be sequentially arranged, so that all instructions for a process are executed concurrently with that process.
  • Other computer-readable instructions and/or programs stored on mass storage device 254 and/or memory device 256 associated with system controller 250 may be employed in some implementations.
  • Examples of programs or sections of programs include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by system controller 250 relate to process conditions.
  • Non-limiting examples include process gas compositions and flow rates, temperatures, pressures, plasma conditions (such as RF bias power levels, frequencies, exposure times), etc.
  • the controller may be configured to independently control conditions in the process stations, e.g., the controller provides instructions to ignite a plasma in some but not all stations. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the processes may be provided by analog and/or digital input connections of system controller 250 from various process tool sensors.
  • the signals for controlling the processes may be output on the analog and/or digital output connections of process tool 200 .
  • process tool sensors that may be monitored include mass flow controllers (MFCs), pressure sensors (such as manometers), thermocouples, load sensors, OES sensors, metrology equipment for measuring physical characteristics of wavers in-situ, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 250 may provide machine-readable instructions for implementing deposition processes.
  • the instructions may control a variety of process parameters, such as DC power level, RF bias power level, station-to-station variations such as RF power parameter variations, frequency tuning parameters, pressure, temperature, etc.
  • the instructions may control the parameters to operate in-situ deposition of film stacks according to various implementations described herein.
  • the system controller will typically include one or more memory devices and one or more processors configured to execute machine-readable instructions so that the apparatus will perform operations in accordance with the processes disclosed herein.
  • Machine-readable, non-transitory media containing instructions for controlling operations in accordance with the substrate doping processes disclosed herein may be coupled to the system controller.
  • processing multiple substrates at multiple process stations within a common substrate processing chamber may increase throughput by enabling film deposition to proceed in parallel on multiple substrates while at the same time utilizing common processing equipment between the various stations. For instance, in a four-station process chamber, four substrates placed in four separate stations may be processed at the same time. It should be noted that some multi-station substrate processing tools may be utilized to simultaneously process wafers for an equal number of cycles (e.g., for some ALD processes).
  • Approaches to achieving consistent film deposition across different substrates include indexing a substrate through multiple process stations within the processing chamber over the course of a deposition process—i.e., for each substrate, some portion of its film is deposited at one station, and some portion at one or more other processing stations. This may result in an averaging-out of any systematic difference in deposition occurring at the different stations.
  • this processing mode may be used for any type of deposition process including, for instance, CVD and ALD. For example, in an ALD process for which a total of N cycles are to be performed on four wafers in a four-station processing chamber, N/4 cycles may be performed on each wafer in each station, with each wafer being transported to a different station after the completion of each of the N/4 cycles.
  • N ⁇ 2/5 cycles may be performed on each wafer in the station in which each wafer is initially placed, followed by N/5 cycles performed each wafer in the other three remaining stations.
  • 500 total deposition cycles may be performed on wafers 1 , 2 , 3 , and 4 , which are initially placed in stations 201 , 202 , 203 , and 204 , respectively.
  • sequential mode processing is beneficial in the sense that each wafer sees a different station which may average-out some of the systematic differences in deposition occurring at the different stations.
  • other characteristics of this mode of operation make it less appealing.
  • some implementations of sequential mode involve a great deal of substrate loading/unloading, opening/closing of processing chamber port 220 .
  • the processing chamber has to be opened and closed for loading/unloading operations 4 times, each time accompanied by restoration of the environment on chamber's interior back to deposition-appropriate environmental conditions (e.g., temperature, pressure, flow rates, etc.).
  • “Static mode,” when using one station for loading operations, may involve the same amount of indexing—using 90 degree transfer rotations of a cassette on which the wafers are located within the process chamber—to get 4 wafers into position for deposition, but the chamber is only opened and closed once since in static mode no intervening depositions are performed between the transfer rotations. Thus, loading of all four wafers (one by one) into the multi-station chamber prior to deposition is also possible. Even when the chamber remains closed and the internal pressure remains relatively static, the indexing of wafers from one station to the next delays processing.
  • fixed mode involves no indexing.
  • the chamber is opened via port 220 , wafers are loaded at all four stations, the chamber is closed, N deposition cycles are performed on all four wafers in parallel and simultaneously, the deposition cycles conclude, the chamber is opened, and the four wafers are removed.
  • each substrate receives its film deposition entirely (all N cycles) at one of the four processing stations.
  • This fixed mode processing may be used for any type of deposition process including, for example, CVD and ALD. Fixed mode processing does not have the delay associated with indexing in other modes, so deposition throughput is higher.
  • this mode may not always achieve consistent film deposition between the different substrates due to process mismatch between the different stations.
  • the process conditions in one station may not exactly match the process conditions in another station, such as different RF frequencies between stations, which may result in a wafer processed in the one station having different properties than a wafer processed in another station.
  • the mismatch between wafers may include, for example, differences in average film thickness, uniformity over the face of wafer, physical properties, chemical properties, and optical properties.
  • Techniques for improving the wafer mismatch between stations, i.e. achieving more consistent film deposition across different substrates, in a multi-station process chamber include designing the semiconductor processing equipment in a way that minimizes the differences in process conditions between stations.
  • station-to-station thickness matching is a problem in multi-station process chambers and this station-to-station thickness may vary because of differences in numerous process conditions between stations, such as gas and/or chemistry delivery, RF power delivered to each station, temperature of each station, pumping within the chamber and/or each station, hardware settings (e.g., placement and function of the station hardware), and the physical environment within the chamber.
  • aspects of the multi-station process chamber may be designed and/or built to minimize the differences of these process conditions between each station (e.g., identical temperature profiles at each station), but such design in complex and it is nearly impossible to reduce them completely.
  • Another technique to improve the wafer mismatch between stations in a multi-station process chamber includes adjusting one or more process conditions at one or more stations.
  • process conditions in a deposition process are typically dependent upon one another such that if process condition A is adjusted to compensate for the difference in process condition B, such adjustment may unintentionally impact the effects of process condition C.
  • the temperature in one or more stations may be adjusted to improve thickness-matching between stations, but this change in temperature may also impact film stress. Therefore, adjusting one or more process conditions at one or more stations is a very complex optimization problem that involves highly cross-correlated variables.
  • station-to-station thickness matching can be a problem in multi-station process chambers operated in fixed mode.
  • the inventors have determined that station-to-station thickness matching (and other forms of uniformity) may be improved by operating one or more stations normally to deposit a layer of material on a wafer in one station, while simultaneously slowing or stopping the normal operation of one or more other stations such that deposition of a layer on another wafer in the one or more other stations of the multi-station process chamber is slowed or stopped.
  • the process may simply adjust deposition conditions in those stations, while maintaining normal conditions in the other stations.
  • deposition conditions that may be adjusted to provide different conditions in different stations include, among other things, plasma ignition timing, plasma power, duration of the plasma, flow of process gases (e.g., reactant and/or precursor), and partial pressure of process gases.
  • process gases e.g., reactant and/or precursor
  • partial pressure of process gases e.g., reactant and/or precursor
  • the apparatuses and techniques in this disclosure may apply to any deposition processes, including those described above, such as CVD, PECVD, ALD, and ECD.
  • FIG. 3 depicts a flowchart for a first example technique for depositing approximately equal thicknesses of a material on at least two substrates concurrently processed in separate stations of a multi-station deposition apparatus.
  • Such deposition may be performed by, for instance, CVD or ALD.
  • this first example illustrates a technique that involves two stations, such technique may be applied to a multi-station deposition apparatus with more than two stations, including the apparatuses described above with respect to FIGS. 1 and 2 .
  • substrates are provided into the stations of a multi-station deposition apparatus.
  • a first substrate may be provided into a first station and a second substrate may be provided into a second station.
  • the substrates may be placed into the stations by a substrate handler robot.
  • a material is concurrently deposited on the first substrate in the first station and on the second substrate in the second station.
  • this deposition occurs concurrently, i.e., simultaneously or in parallel, in the stations such that the deposition is occurring on first substrate in the first station at the same time as the deposition on the second substrate in the second station.
  • the concurrent deposition in block 342 may be a single CVD deposition process, a single deposition cycle in a cyclic deposition process like ALD, or the total deposition process of a cyclic process.
  • the deposition conditions in the first and second stations may be substantially the same; e.g., the control system sets parameters intended to produce identical (or nearly identical) conditions in the stations.
  • the parameters in the different stations may be within a few percent, e.g., within +/ ⁇ 5%, of each other.
  • deposition conditions may include, for example and as noted above, temperature of a pedestal in each station, partial pressure of a gas flowed into each station, local gas flow conditions, pressure in the chamber, plasma power in each station, plasma frequency, and plasma formation duration in each station (for depositions that involve plasma).
  • the concurrent deposition may produce a thicker layer of the material on the first substrate in the first station than on the second substrate in the second station despite efforts to produce equal layers of the material on each substrate.
  • the thicker layer of the material may be the total thickness of the material deposited on the substrate after performing N cycles, or it could be the thickness of the material after a single cycle.
  • Determining that two or more stations of a chamber deposit material to different thicknesses may be accomplished by various techniques. It may be inferred from device performance or measured directly. As understood by those of skill in the art, measuring the difference of material layer thickness between two substrates can be performed by any number of techniques including any known metrology technique, such as reflectometry, various microscopies, etc. Because the deposited thicknesses produced in each station may not typically vary between deposition processes until after a certain period of time or a certain number of deposition cycles, these measured thicknesses may be considered the thicknesses consistently produced by each station for each process, which may be stored in a memory and/or used for later aspects of the technique, as discussed below. In another example, the thickness of the layer of material on each substrate may be measured in situ, i.e., while a wafer is in the station and/or chamber before, during, and/or after the deposition process.
  • one or more adjustments are made to the deposition conditions in the first station to slow or stop deposition of the material on the first substrate, while the apparatus continues to deposit the material on the second substrate in the second station under the conditions in block 342 .
  • Each adjustment of each deposition condition that is adjusted may depend on numerous factors, including the deposition process being utilized as well as the thickness of the material that is to be deposited in each station, if any.
  • the adjustments may, for example, include reducing or stopping the flow of reactant, e.g., precursor, into the first station.
  • the adjustment may be to stop the flow of a reactant into the first station in order to stop and/or slow the deposition in the first station while the deposition conditions in the second station may continue under the initial deposition conditions.
  • the adjustment in a cyclic deposition process, such as ALD, according to initial, substantially identical deposition conditions may be to stop the flow of precursor to the first station for an adsorption stage of one cycle of the process such that no material is deposited on the first substrate during that one cycle, but during that same one cycle, the precursor is flowed to the second station according to the initial deposition conditions such that deposition occurs in the second station.
  • the adjustment may be to change the deposition conditions in the first station in order to change the characteristics of the layer produced by that deposition process.
  • This change in characteristics may be considered a stopping or slowing of the deposition process.
  • adjustment may be made to the plasma conditions in the first station.
  • the adjustment in block 344 may be to reduce or stop the exposure of the first substrate to the plasma which may in turn slow or stop the deposition process on the first substrate.
  • This adjustment may be achieved by, among other things, stopping the formation of the plasma in the first station, changing the plasma frequency, changing the power level of the plasma in the first station, and/or changing the time for which the plasma is formed in the first station.
  • the adjustments may be made from one cycle to the next. For example, a plasma may be ignited in each of 100 cycles and then not ignited for each of thirteen cycles.
  • the adjustments are made at a particular point in the deposition process without regard for defined process transitions.
  • the plasma exposure in the first station may be stopped after a defined duration while the plasma exposure in the second station continues under the initial deposition conditions.
  • other plasma conditions may be adjusted to tune the deposition rate. For instance, the plasma power level in the first station may be reduced in order to slow the deposition process in the first station and the plasma power level in the second station may continue under the initial deposition conditions.
  • FIG. 4 depicts a graph showing a general relationship between plasma exposure time and thickness of a material formed by the plasma. As can be seen, the thickness of the layer initially increases as the time of plasma exposure increases, after which a maximum thickness is reached, but as plasma exposure continues after that maximum is reached, the layer is caused to densify and therefore decrease in thickness.
  • this relationship between time of plasma exposure and thickness of the layer may be used in the adjustment of the deposition conditions in order to change the deposition of the wafer in the first station such that, for example, the deposition rate of the layer in the first station may be “reduced”, i.e. the thickness is decreased by additional exposure time to the plasma.
  • block 342 may include a cyclic repetition of (i) precursor dosing to absorb precursor on the first and second substrates, and (ii) exposing the first and second substrates to plasma to cause the precursor to react to form the material.
  • a cyclic repetition may be an ALD process as described herein and shown, for example, in FIG. 8 .
  • an overall thickness of the layer of the material on the first wafer may be greater than the overall thickness of the layer of the material on the second wafer, as noted above with block 342 . Therefore, in block 344 , adjustments to the deposition conditions of one or more cycles in the first station may be made.
  • these adjustments may include stopping the precursor dosing and/or the plasma exposure in the first station in order to reduce the thickness of the material deposited during the cyclic repetitions, while continuing to conduct the cyclic repetitions on the second substrate in the second station under the conditions in block 342 .
  • reduce may be a layer having a reduced or decreased thickness, or may be a layer having no thickness, i.e., no layer is produced during the cycles for which the adjustments are made.
  • these adjustments may include changing the duration of plasma exposure or power of the plasma in the first station in order to reduce the thickness of the material deposited during the cyclic repetitions, while continuing to conduct the cyclic repetitions on the second substrate in the second station under the conditions in block 342 .
  • this reduction may include a layer having a reduced thickness or that no layer is produced during the cycles for which the adjustments are made.
  • the first wafer does not move from the first station during blocks 342 and 344 . Therefore, as the deposition is continued in the second station under the deposition conditions, the first wafer remains in the first station. For instance, these implementations may be considered a “fixed mode”.
  • the deposition may be completed on the first substrate in the first station and the second substrate in the second station such that a total thickness of the material deposited on the first substrate and on the second substrate is substantially equal for purposes of the performance of the resulting integrated circuit or other fabricated device.
  • the adjustment(s) in block 344 enable the deposition of the layer of material on the second substrate in the second station to increase while the deposition on the first wafer is either stopped, slowed, or otherwise changed in order to cause the thickness of the layer of material on the second substrate to become substantially equal to the thickness of the layer of material on the first substrate by the end of the total deposition process.
  • the adjustment of the deposition conditions may occur at any point during the technique, such as at the beginning, middle, end, or interspersed throughout the deposition process.
  • the adjustment of block 344 may be made after the 500 cycles such that for N deposition cycles after all the 500 cycles, no deposition occurs on the first wafer but deposition of the layer of material does occur on the second wafer in the second station for the N cycles.
  • FIG. 5 depicts a flowchart for a second example technique for creating approximately equal thicknesses of a material on at least two substrates concurrently processed in separate stations of a multi-station deposition apparatus.
  • the second example technique may be used for cyclic deposition processes such as ALD or as depicted in FIG. 8 .
  • Block 548 may be the same as block 340 of FIG. 3 as discussed above, which provides a first substrate in a first station and a second substrate in a second station of the deposition apparatus.
  • the second example technique may be applied to a multi-station deposition apparatus having more than two stations and using the apparatus described herein, such as with respect to FIGS. 1 and 2 .
  • the first substrate and the second substrate are exposed, at the same time (or substantially the same time), to a precursor of the material.
  • This block may be considered a “dose” phase of a cyclic deposition process, such as block 858 in FIG. 8 for an ALD process.
  • This exposure, or dose occurs at the same time in each station such that the precursor flows into each station at substantially the same time for substantially the same duration, e.g., identical to within about +/ ⁇ 5% of each other.
  • a purge step may occur after block 550 and before block 552 , as described above with respect to ALD processes and shown in block 860 of FIG. 8 .
  • the reaction of the precursor on the first substrate in the first station and on the second substrate in the second station is activated.
  • this activation is performed thermally upon contact with a reactant, such as a reactant gas, while in other implementations it is performed by exposure to a plasma.
  • the activation in each station occurs at the same time, or substantially the same time (e.g., within about +/ ⁇ 5% of each other). For instance, if the activation is with a plasma, then the activation of block 552 is performed by forming the plasma at substantially the same time in each station for substantially the same duration. Additionally, the deposition conditions of such activation may be substantially equal in each station.
  • the activation of the reaction of the precursor causes, at least in part, the formation of the layer of the material on each substrate.
  • Block 554 provides that blocks 550 and 552 are performed for N1 cycles.
  • a thin film of substantially equal thickness t 1 of the material may be deposited on the first substrate and a thin film of substantially equal thickness t 2 of the material may be deposited on the second substrate.
  • performing the N1 cycles may create a total deposition thickness T 1 of the material on the first substrate and a total deposition thickness T 2 A of the material on the second substrate.
  • T 1 is greater than T 2 A, which is similar to the first technique of FIG. 3 .
  • Block 556 includes exposing the second substrate in the second station to the precursor and activating a reaction of the precursor on the second substrate in the second station for N2 cycles.
  • Each of the N2 cycles may include depositing a thin film of substantially equal thickness t 2 of the material on the second substrate.
  • the first substrate may remain in the first station and the deposition of a layer of the material on the first substrate may be stopped or slowed.
  • Performing N2 cycles may create a total deposition thickness T 2 B and performing N1 and N2 cycles on the second substrate creates a total deposition thickness T 2 (e.g., T 2 A+T 2 B) such that T 2 is substantially equal to T 1 .
  • T 2 B may substantially equal to t 2 , which may occur when N2 cycles is one cycle.
  • each N2 cycle of block 556 may include exposing the second substrate in the second station with the precursor, forming a plasma in the second station to activate the reaction of the precursor on the second substrate, and at the same time not forming a plasma in the first station such that no deposition of the layer of the material may occur on the first substrate.
  • block 556 may also include exposing the first substrate in the first station to the precursor, but not activating the reaction of the precursor on the first substrate.
  • FIG. 5 includes block 556 at the bottom of the Figure, block 556 may be performed at any time throughout the entire deposition process. For instance, block 556 may be performed before blocks 550 , 552 and 554 . In another instance, the N2 cycles of block 556 may be broken up and performed at different times throughout the N1 cycles. For example, for a deposition process involving 500 N1 cycles and 100 N2 cycles, the cycle order may be as follows: 100 N1 cycles, then 50 N2 cycles, then 200 N1 cycles, then 50 N2 cycles, and then 200 N1 cycles.
  • the activation of the reaction of the precursor may be performed by a plasma.
  • a plasma may be independently provided, e.g., ignited and controlled, in each station such that the plasma may be formed in one station while at the same time the plasma may not be formed in another station.
  • the activation may include independently providing the plasma to each station for a first plasma time and at a first plasma power.
  • the first plasma time i.e., duration for which the plasma is formed in the station, may vary depending on the deposition process involved, but may be 1 second or less.
  • the first plasma power may be the power at which the plasma is generated, and may be correlated with RF power and/or RF frequency delivered to each station.
  • the activation of the reaction of the precursor in the second station may include independently igniting and/or controlling a plasma in the second station.
  • the plasma may not be provided to the first station, or the plasma may be provided to the first station in such a way as to slow the deposition of the layer of material on the first substrate.
  • the activating in block 556 may include providing the plasma in the second station for a second plasma time that is different than the first plasma time.
  • the duration over which a plasma is formed in each N2 cycle may cause the thickness of the layer of material deposited on the second wafer to be less or more than the thickness of the layer of material deposited in each N1 cycle of block 554 . Therefore, the thin film of equal thickness t 2 deposited in each N1 cycle of block 554 may be different than, e.g., less than or greater than, the thin film of equal thickness t 2 deposited in each N2 cycle.
  • the ability to produce films of two different thicknesses per cycle in and across stations enhances the ability to match station-to-station thickness.
  • the activating in block 556 may include providing the plasma in the second station at a second plasma power level that is different than the first plasma power level. Similar to above, the different power level in each N2 cycle may cause the thickness of the layer of material deposited on the second wafer to be less or more than the thickness of the layer of material deposited in each N1 cycle of block 554 . Accordingly, the thin film of equal thickness t 2 deposited in each N1 cycle of block 554 may be greater than or less than the thin film of equal thickness t 2 deposited in each N2 cycle.
  • the exposure of block 550 may include flowing a precursor for a first exposure time to the first station and the second station. Additionally, the exposure in block 556 may include flowing a precursor for a second exposure time to the second station. As with the differing plasma power and plasma duration, exposing the second substrate to the precursor for the second exposure time may cause the deposition of a layer of the material in each N2 cycle that may have a thickness more than or less than the thickness of the layer of material deposited in each N1 cycle.
  • the first exposure time may be a time that enables maximum adsorption of the precursor and the second exposure time may be 25% less than the first exposure time, which may thus cause the thickness of the layer deposited as a result of the first exposure time to be greater than the thickness of the layer deposited as a result of the second exposure time.
  • the exposure of block 550 may include flowing a precursor with a first partial pressure to the first station and the second station. Additionally, the exposure in block 556 may include flowing a precursor with a second partial pressure to the second station. As with the differing plasma power and plasma duration, exposing the second substrate to the precursor with the second partial pressure may deposit a layer of the material in each N2 cycle that may have a thickness more or less than the thickness of the layer of material deposited in each N1 cycle.
  • the permissible implementations of the first and/or second example techniques for creating approximately equal thicknesses of a material on at least two substrates concurrently processed, using cyclic deposition processes, in separate stations of a multi-station deposition apparatus discussed herein may increase the overall thickness of the layer of the material deposited on the second substrate by, at least: (i) the deposition of additional thin films of substantially equal thickness t 2 of the material on the second substrate for N2 cycles according to the deposition conditions of the N1 cycles such that the thin film thickness t 2 deposited in each of the N1 cycles and each of the N2 cycles are substantially equal, and/or (ii) the deposition of additional thin films of substantially equal thickness t 2 of the material on the second substrate for N2 cycles according to deposition conditions, e.g. different plasma power or duration, that are different than the deposition conditions of the N1 cycles such that the thin film thickness t 2 deposited in each of the N1 cycles is different that the thin film thickness t 2 deposited in each of the N2 cycles.
  • deposition conditions
  • information such as measurement data, about physical characteristics that exist on the wafers in the multi-station deposition apparatus for deposition and/or regarding the relative deposition rates in the first and second stations may be analyzed and/or used to determine the optimal adjustment or adjustments to the depositions conditions in the second station.
  • measurement information may be “feed forward” measurement information, in some other such implementations, for example, such information may be “feedback” measurement information, and in some implementations, such measurement information includes both “feed forward” and “feedback” measurement information.
  • information such as information about physical characteristics that exist on the wafers in the multi-station deposition apparatus for deposition and/or regarding the relative deposition rates in the first and second stations, may be obtained and/or known before deposition occurs on the wafer, which may include before the wafer is placed in the multi-station deposition apparatus for deposition or after the wafer is placed in the multi-station deposition apparatus but before deposition occurs.
  • the “feed forward” measurement information may be measurement data of each wafer that is obtained by metrology equipment, e.g., in situ or in line, which may be obtained before and/or after the wafer has been placed in the multi-station deposition apparatus.
  • This “feed forward” measurement information may be sent directly to the multi-station deposition apparatus controller that includes control logic for determining the appropriate adjustments for each of the N2 deposition cycles.
  • the “feed forward” measurement information may also be provided to a user who may then input the appropriate adjustments into the multi-station deposition apparatus, such as to the controller through the user interface.
  • Such adjustments may be those adjustments discussed herein, including plasma power, plasma duration, and numbers of N2 cycles.
  • wafers may be provided into the multi-station deposition apparatus after having been processed in some other fashion, such as a previous etching process.
  • known data e.g., measurement data obtained from in-situ, in-line, or previous measurements as described above
  • FIG. 6 depicts a chart of an example implementation using feed forward information. The example implementation is for a multi-station deposition apparatus with stations 1 - 4 and wafers 1 - 4 placed in stations 1 - 4 , respectively.
  • the four wafers have been previously etched such that a critical dimension (“CD”), i.e. a resulting distance between each gap formed by the etch process, is known for each wafer prior to the deposition process and as can be seen, the incoming, pre-deposition CD for each wafer varies.
  • CD critical dimension
  • an ALD process is desired to deposit material into the etched gaps such that the final CD is less than the CD immediately after etching.
  • a uniform ALD deposition may cause the variations to remain after the ALD depositions. For instance, a uniform deposition of 100 ⁇ to wafers 1 , 2 , 3 , and 4 would create an output CD of 220 ⁇ , 222 ⁇ , 224 ⁇ , and 226 ⁇ , respectively.
  • the deposition conditions in one or more of the four stations may be adjusted such that each station deposits a layer that causes the final CD to be the desired CD of 220 ⁇ .
  • deposition conditions in station 2 may be adjusted such that the final deposited thickness on wafer 2 is 101 ⁇ .
  • Such adjustments may be, for example, an additional cycle or a cycle with a different plasma power such that a total layer thickness of 101 ⁇ is deposited on wafer 2 .
  • Similar adjustments may be made to all four wafers, as can be seen in FIG. 6 , such that each final CD is the desired 220 ⁇ .
  • feedback measurement information such as information about physical characteristics that exist on the wafers in the multi-station deposition apparatus for deposition and/or regarding the relative deposition rates in the first and second stations, may be obtained and/or known during and/or after at least some deposition has occurred on a wafer.
  • the multi-station deposition apparatus is configured to obtain such information, which may include the use of in situ metrology equipment such as that described herein. For instance, this “feedback” information may be obtained during and/or after, the concurrent deposition of the material on the first substrate in the first station and on the second substrate in the second station of the first technique of block 342 of FIG. 3 .
  • “feedback” measurement information regarding the relative deposition rates in the first and second stations may be obtained, analyzed, and used to determine how to adjust the deposition conditions, as discussed herein. Similar to above, this “feedback” measurement information may be sent directly to the multi-station deposition apparatus controller that includes control logic for analyzing it and determining the appropriate adjustments to the deposition conditions or to a user.
  • the multi-station apparatus may include some or all of the parts of the apparatuses described hereinabove, such as with respect to FIGS. 1 and 2 .
  • the multi-station apparatus may include a vacuum system (which may include vacuum pump 118 of FIG. 1 ), a precursor delivery system (which, for instance, may be configured similar to gas delivery system 101 ), a processing chamber (similar to process chamber 102 ) that includes at least two stations and each station may share the vacuum system and the precursor delivery system.
  • the apparatus may also include a controller for controlling the multi-station deposition apparatus, such as the controller described above with respect to controller 250 of FIG. 2 .
  • the controller may control the multi-station deposition apparatus to deposit approximately equal thicknesses of a material on at least two substrates concurrently processed in separate stations and the controller may comprise control logic for implementing at least part of the techniques described herein with respect to FIGS. 3 and 5 .
  • the controller may comprise control logic for: (a) providing a first substrate in a first station and a second substrate in a second station of the deposition apparatus, (b) concurrently depositing the material on the first substrate in the first station and on the second substrate in the second station, wherein deposition conditions in the first and second stations are substantially the same, but yet produce a thicker layer of the material on the first substrate in the first station than on the second substrate in the second station, (c) adjusting the deposition conditions in the first station to slow or stop depositing the material on the first substrate while continuing to deposit the material on the second substrate in the second station under the conditions in (b), and (c) completing deposition on the first substrate in the first station and the second substrate in the second station such that a total thickness of the material deposited on the first substrate and on the second substrate is substantially equal.
  • each station of the apparatus may include a showerhead that is configured to distribute a precursor of the material onto the substrate in that station (such as showerhead 106 ), and the precursor delivery system is configured to control delivery of the precursor of the material to each station.
  • the controller may also include control logic for independently controlling precursor delivery to each station, and adjusting the deposition conditions in (c), above, includes reducing or stopping flow of the precursor to the first station.
  • the apparatus may include a plasma source that is configured to independently form and maintain a plasma in each station (such as that described with respect to FIGS. 1 and 2 ).
  • the controller may include control logic for independently forming and maintaining a plasma in each station, and the deposition conditions in (b) may include exposing the first substrate and the second substrate to the plasma.
  • the controller may also include control logic for independently controlling a plasma power level in each station, and the adjusting the deposition conditions in (c) includes reducing or stopping the exposure of the first substrate to the plasma.
  • the controller may also include control logic for independently controlling a plasma time in each station, and adjusting the deposition conditions in (c) comprises reducing or stopping the exposure of the first substrate to the plasma.
  • FIG. 7 depicts a graph of measured thicknesses for a four-station deposition apparatus for two different deposition processes. This may be similar to the apparatus discussed hereinabove.
  • the y-axis represents the thickness in Angstroms ( ⁇ ) and the x-axis indicates a processed wafer in each of the four stations.
  • Each circle represents a total wafer thickness deposited after performing the number of cycles indicated above or below each circle.
  • the inventors performed a cyclic deposition process for 579 cycles concurrently at each of the four stations which deposited layers of a material on each substrate such that the total thickness on each substrate does not match the other substrates' total thicknesses.
  • the total thickness of material on the wafer in station 1 is approximately 787 ⁇
  • the total thickness of material on the wafer in station 2 is a little greater than 788 ⁇
  • the total thickness of material on the wafer in station 3 is between 791 ⁇ and 792 ⁇
  • the total thickness of material on the wafer in station 4 is approximately 787 ⁇ . This is a total deviation of approximately 4.6 ⁇ .
  • the inventors performed cyclic deposition processes using the techniques and the apparatus disclosed herein to achieve more consistent thickness matching between the stations.
  • the inventors began by performing concurrent cyclic depositions in all four stations for 579 cycles.
  • the inventors performed additional, independent deposition cycles on the wafer in the other stations in order to achieve thickness across the stations of approximately 791 ⁇ .
  • station 1 received four additional deposition cycles to total 583 total cycles and a thickness of approximately 791 ⁇
  • station 2 received two additional cycles to total 581 total cycles and a thickness of approximately 791 ⁇
  • station 3 received no additional cycles and had a total thickness of approximately 791 ⁇
  • station 4 received three additional cycles to total 582 total cycles and a thickness of approximately 791 ⁇ .
  • the inventors performed two additional deposition cycles concurrently in stations 1 , 2 , and 4 , thereby totaling 581 total cycles in each of these stations, respectively, while the wafer in station 3 remained in its station and no additional deposition cycles were performed in station 3 .
  • one additional deposition cycle was performed concurrently on both stations 1 and 4 , thereby totaling 582 total cycles in each of these stations, respectively, while the wafers in stations 2 and 3 remained in their respective stations and no additional deposition cycles were performed in stations 2 and 3 .

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)
US15/143,338 2016-04-29 2016-04-29 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system Abandoned US20170314129A1 (en)

Priority Applications (16)

Application Number Priority Date Filing Date Title
US15/143,338 US20170314129A1 (en) 2016-04-29 2016-04-29 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
SG10201703133PA SG10201703133PA (en) 2016-04-29 2017-04-17 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
KR1020170049539A KR102302800B1 (ko) 2016-04-29 2017-04-18 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
JP2017083755A JP6908426B2 (ja) 2016-04-29 2017-04-20 マルチステーション型堆積システムにおける膜厚整合のための、サイクル及び時間が可変のrf活性化方法
TW112134025A TW202401522A (zh) 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法
TW106113839A TWI775749B (zh) 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法
TW111130779A TWI817655B (zh) 2016-04-29 2017-04-26 多站沉積系統中之膜厚度匹配用可變循環與時間射頻活化方法
CN201710291562.XA CN107419238A (zh) 2016-04-29 2017-04-28 多站沉积系统中膜厚度匹配的可变循环和时间rf激活方法
CN202310088307.0A CN116083881A (zh) 2016-04-29 2017-04-28 多站沉积系统中膜厚度匹配的可变循环和时间rf激活方法
CN202310082773.8A CN116083880A (zh) 2016-04-29 2017-04-28 多站沉积系统中膜厚度匹配的可变循环和时间rf激活方法
JP2021109808A JP7282130B2 (ja) 2016-04-29 2021-07-01 マルチステーション型堆積システムにおける膜厚整合のための、サイクル及び時間が可変のrf活性化方法
KR1020210120640A KR102502272B1 (ko) 2016-04-29 2021-09-09 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
US17/587,560 US20220154336A1 (en) 2016-04-29 2022-01-28 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
KR1020230020942A KR102610664B1 (ko) 2016-04-29 2023-02-16 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
JP2023080505A JP2023103367A (ja) 2016-04-29 2023-05-16 マルチステーション型堆積システムにおける膜厚整合のための、サイクル及び時間が可変のrf活性化方法
KR1020230172344A KR20230169037A (ko) 2016-04-29 2023-12-01 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/143,338 US20170314129A1 (en) 2016-04-29 2016-04-29 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/587,560 Division US20220154336A1 (en) 2016-04-29 2022-01-28 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system

Publications (1)

Publication Number Publication Date
US20170314129A1 true US20170314129A1 (en) 2017-11-02

Family

ID=60158112

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/143,338 Abandoned US20170314129A1 (en) 2016-04-29 2016-04-29 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US17/587,560 Pending US20220154336A1 (en) 2016-04-29 2022-01-28 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/587,560 Pending US20220154336A1 (en) 2016-04-29 2022-01-28 Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system

Country Status (6)

Country Link
US (2) US20170314129A1 (zh)
JP (3) JP6908426B2 (zh)
KR (4) KR102302800B1 (zh)
CN (3) CN116083881A (zh)
SG (1) SG10201703133PA (zh)
TW (3) TWI775749B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10395921B2 (en) * 2015-03-25 2019-08-27 Asm Ip Holding B.V. Method of forming thin film
US10577691B2 (en) 2014-05-15 2020-03-03 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
WO2021242781A1 (en) * 2020-05-27 2021-12-02 Applied Materials, Inc. Variable loop control feature
US20220136104A1 (en) * 2019-03-12 2022-05-05 Lam Research Corporation Multi-station semiconductor processing with independently adjustable pedestals
WO2023059988A1 (en) * 2021-10-07 2023-04-13 Lam Research Corporation Selective control of multi-station processing chamber components

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220228263A1 (en) * 2019-06-07 2022-07-21 Lam Research Corporation Independently adjustable flowpath conductance in multi-station semiconductor processing
JP2023509451A (ja) * 2020-01-03 2023-03-08 ラム リサーチ コーポレーション 裏面反り補償堆積のステーション間制御
KR102437091B1 (ko) * 2020-08-14 2022-08-26 한국기계연구원 플라즈마 화학기상증착 공정의 실시간 제어 방법 및 플라즈마 화학기상증착용 반응 챔버
CN115418629B (zh) * 2022-08-17 2024-01-12 杭州富芯半导体有限公司 薄膜沉积的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166501A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition
US20150017812A1 (en) * 2013-07-12 2015-01-15 Lam Research Corporation Sequential precursor dosing in an ald multi-station/batch reactor

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2978974B2 (ja) * 1996-02-01 1999-11-15 キヤノン販売株式会社 プラズマ処理装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
TWI277139B (en) * 2001-02-12 2007-03-21 Asm Inc Improved process for deposition of semiconductor filme
JP2003049278A (ja) * 2001-08-06 2003-02-21 Canon Inc 真空処理方法及び真空処理装置
JP2004068091A (ja) * 2002-08-07 2004-03-04 Matsushita Electric Ind Co Ltd 成膜処理装置および成膜処理方法
BRPI0414547A (pt) * 2003-09-19 2006-11-07 Akzo Nobel Nv metalização de substrato(s) por um processo de deposição lìquido/vapor
JP5023004B2 (ja) * 2008-06-30 2012-09-12 株式会社日立国際電気 基板処理方法及び基板処理装置
DE102010016471A1 (de) * 2010-04-16 2011-10-20 Aixtron Ag Vorrichtung und Verfahren zum gleichzeitigen Abscheiden mehrerer Halbleiterschichten in mehreren Prozesskammern
KR101395243B1 (ko) * 2011-04-29 2014-05-15 세메스 주식회사 기판처리장치 및 방법
CN103930970A (zh) * 2011-06-09 2014-07-16 阿文塔科技有限责任公司 用于内联化学气相沉积的方法和系统
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
JP6257437B2 (ja) * 2014-04-25 2018-01-10 株式会社トクヤマ 結晶成長装置
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
JP6578158B2 (ja) * 2015-08-28 2019-09-18 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166501A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition
US20150017812A1 (en) * 2013-07-12 2015-01-15 Lam Research Corporation Sequential precursor dosing in an ald multi-station/batch reactor

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10577691B2 (en) 2014-05-15 2020-03-03 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10395921B2 (en) * 2015-03-25 2019-08-27 Asm Ip Holding B.V. Method of forming thin film
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US11286560B2 (en) 2017-09-15 2022-03-29 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US20220136104A1 (en) * 2019-03-12 2022-05-05 Lam Research Corporation Multi-station semiconductor processing with independently adjustable pedestals
WO2021242781A1 (en) * 2020-05-27 2021-12-02 Applied Materials, Inc. Variable loop control feature
US11449026B2 (en) 2020-05-27 2022-09-20 Applied Materials, Inc. Variable loop control feature
US11841692B2 (en) 2020-05-27 2023-12-12 Applied Materials, Inc. Variable loop control feature
WO2023059988A1 (en) * 2021-10-07 2023-04-13 Lam Research Corporation Selective control of multi-station processing chamber components

Also Published As

Publication number Publication date
KR20230169037A (ko) 2023-12-15
SG10201703133PA (en) 2017-11-29
JP7282130B2 (ja) 2023-05-26
JP2023103367A (ja) 2023-07-26
KR20210113585A (ko) 2021-09-16
TWI817655B (zh) 2023-10-01
US20220154336A1 (en) 2022-05-19
JP6908426B2 (ja) 2021-07-28
TW202314806A (zh) 2023-04-01
KR20230027133A (ko) 2023-02-27
JP2017199904A (ja) 2017-11-02
TW202401522A (zh) 2024-01-01
CN116083880A (zh) 2023-05-09
TWI775749B (zh) 2022-09-01
CN107419238A (zh) 2017-12-01
KR102610664B1 (ko) 2023-12-05
KR20170124074A (ko) 2017-11-09
JP2021158386A (ja) 2021-10-07
KR102502272B1 (ko) 2023-02-20
KR102302800B1 (ko) 2021-09-15
TW201802885A (zh) 2018-01-16
CN116083881A (zh) 2023-05-09

Similar Documents

Publication Publication Date Title
US20220154336A1 (en) Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10145010B2 (en) Multi-station plasma reactor with RF balancing
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
KR102598660B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
US9508547B1 (en) Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
KR20130088120A (ko) 막 불균일성 및 수율을 향상시키는 방법
US20180374697A1 (en) Methods and apparatuses for increasing reactor processing batch size
US20220136104A1 (en) Multi-station semiconductor processing with independently adjustable pedestals

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KARIM, ISHTAK;CHO, KIYONG;LAVOIE, ADRIEN;AND OTHERS;SIGNING DATES FROM 20160428 TO 20160610;REEL/FRAME:039112/0386

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STCV Information on status: appeal procedure

Free format text: ON APPEAL -- AWAITING DECISION BY THE BOARD OF APPEALS

STCV Information on status: appeal procedure

Free format text: BOARD OF APPEALS DECISION RENDERED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION