US20140048888A1 - Strained Structure of a Semiconductor Device - Google Patents

Strained Structure of a Semiconductor Device Download PDF

Info

Publication number
US20140048888A1
US20140048888A1 US13/588,860 US201213588860A US2014048888A1 US 20140048888 A1 US20140048888 A1 US 20140048888A1 US 201213588860 A US201213588860 A US 201213588860A US 2014048888 A1 US2014048888 A1 US 2014048888A1
Authority
US
United States
Prior art keywords
silicide
region
strained
semiconductor device
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/588,860
Other languages
English (en)
Inventor
Chung-Hsien Chen
Ting-Chu Ko
Chih-Hao Chang
Chih-Sheng Chang
Shou-Zen Chang
Clement Hsingjen Wann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/588,860 priority Critical patent/US20140048888A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHIH-HAO, CHANG, CHIH-SHENG, CHANG, SHOU-ZEN, CHEN, CHUNG-HSIEN, KO, TING-CHU, WANN, CLEMENT HSINGJEN
Priority to KR1020120153341A priority patent/KR101573108B1/ko
Priority to TW102126603A priority patent/TWI524396B/zh
Priority to US14/166,585 priority patent/US9236253B2/en
Publication of US20140048888A1 publication Critical patent/US20140048888A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Definitions

  • the disclosure relates to integrated circuit fabrication, and more particularly to a semiconductor device with a strained structure.
  • MOSFET metal-oxide-semiconductor field-effect transistor
  • a high-k gate dielectric layer and metal gate electrode layer are incorporated into the gate stack of the MOSFET to improve device performance with the decreased feature sizes.
  • strained structures in source and drain (S/D) recess cavities of the MOSFET utilizing selectively grown silicon germanium (SiGe) may be used to enhance carrier mobility.
  • CMOS complementary metal-oxide-semiconductor
  • FET field-effect transistor
  • FIG. 1 is a flowchart illustrating a method of fabricating a strained structure of a semiconductor device according to various aspects of the present disclosure
  • FIGS. 2-12 show schematic cross-sectional views of a semiconductor device comprising a strained structure at various stages of fabrication according to various aspects of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • Various features may be arbitrarily drawn in different scales for simplicity and clarity.
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • the method 100 begins with step 102 in which a substrate comprising a major surface is provided.
  • the method 100 continues with step 104 in which a cavity is formed below the major surface.
  • the method 100 continues with step 106 in which a strained material is epi-grown in the cavity, wherein a lattice constant of the strained material is different from a lattice constant of the substrate.
  • the method 100 continues with step 108 in which a first metal layer is formed over the strained material.
  • the method 100 continues with step 110 in which the first metal layer and the strained material are heated to form a first silicide region.
  • the method 100 continues with step 112 in which an interlayer dielectric (ILD) layer is formed over the first silicide region and extending over the substrate.
  • the method 100 continues with step 114 in which an opening is formed in the ILD layer, wherein the opening is on the first silicide region (i.e. the opening at least partially exposes the first silicide region).
  • the method 100 continues with step 116 in which a second metal layer is formed on the first silicide region in the opening.
  • the method 100 continues with step 118 in which the second metal layer and the strained material are heated to form a second silicide region lower than the first silicide region.
  • the discussion that follows illustrates embodiments of semiconductor devices that can be fabricated according to the method 100 of FIG. 1 .
  • FIGS. 2-12 show schematic cross-sectional views of a semiconductor device 200 comprising a strained structure 250 (see FIG. 12 ) at various stages of fabrication according to various aspects of the present disclosure.
  • the term semiconductor device 200 refers to a planar field effect transistor (FET).
  • the term semiconductor device 200 refers to a fin field effect transistor (FinFET).
  • the FinFET refers to any fin-based, multi-gate transistor.
  • Other transistor structures and analogous structures are within the contemplated scope of this disclosure.
  • the semiconductor device 200 may be included in a microprocessor, memory cell, and/or other integrated circuit (IC). It is noted that the method of FIG. 1 does not produce a completed semiconductor device 200 .
  • Completed semiconductor device 200 may be fabricated using complementary metal-oxide-semiconductor (CMOS) technology processing. Accordingly, it is understood that additional processes may be provided before, during, and after the method 100 of FIG. 1 , and that some other processes may only be briefly described herein. Also, FIGS. 2 through 12 are simplified for a better understanding of the concepts of the present disclosure. For example, although only the semiconductor device 200 is depicted in FIGS. 2-12 , it is understood the IC may comprise a number of other devices comprising resistors, capacitors, inductors, fuses, etc.
  • CMOS complementary metal-oxide-semiconductor
  • the substrate 202 may comprise a silicon substrate.
  • the substrate 202 may alternatively comprise silicon germanium, gallium arsenic, or other suitable semiconductor materials.
  • the substrate 202 may further comprise other features such as various doped regions, a buried layer, and/or an epitaxy layer.
  • the substrate 202 may be a semiconductor on insulator such as silicon on insulator (SOI).
  • SOI silicon on insulator
  • the semiconductor substrate 202 may comprise a doped epi layer, a gradient semiconductor layer, and/or may further include a semiconductor layer overlying another semiconductor layer of a different type such as a silicon layer on a silicon germanium layer.
  • a compound semiconductor substrate may comprise a multilayer silicon structure or a silicon substrate may include a multilayer compound semiconductor structure.
  • the substrate 202 comprises a major surface 202 s.
  • the semiconductor substrate 202 comprises a P-active region 204 p and an N-active region 204 n separated by an isolation region 206 .
  • the active regions 204 p , 204 n may include various doping configurations depending on design requirements.
  • the P-active region 204 p is doped with n-type dopants, such as phosphorus or arsenic;
  • the N-active region 204 n is doped with p-type dopants, such as boron or BF 2 .
  • the P-active region 204 p may be usable for forming a p-type Field Effect Transistor (pFET) 200 p
  • the N-active region 204 n may be usable for forming an n-type Field Effect Transistor (nFET) 200 n
  • the semiconductor device 200 comprises both the pFET 200 p and the nFET 200 n.
  • Isolation regions 206 may be formed on the substrate 202 to isolate the various active regions 204 p , 204 n from each other.
  • the isolation regions 206 may utilize isolation technology, such as local oxidation of silicon (LOCOS) or shallow trench isolation (STI), to define and electrically isolate the various active regions 204 p , 204 n .
  • the isolation regions 206 comprise an STI.
  • the isolation regions 206 may comprise materials such as silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or combinations thereof.
  • the isolation regions 206 and in the present embodiment, the STI, may be formed by any suitable process.
  • the formation of the STI may include patterning the semiconductor substrate 202 by a photolithography process, etching a trench in the substrate 202 (for example, by using a dry etching, wet etching, and/or plasma etching process), and filling the trench (for example, by using a chemical vapor deposition process) with a dielectric material.
  • the filled trench may have a multi-layer structure such as a thermal oxide liner layer filled with silicon nitride or silicon oxide.
  • the gate dielectric layer 212 and gate electrode layer 214 are sequentially deposited over the substrate 202 .
  • the gate dielectric layer 212 may include silicon oxide, silicon nitride, silicon oxy-nitride, or high-k dielectric.
  • High-k dielectrics comprise metal oxides. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu and mixtures thereof.
  • the gate dielectric layer 212 is a high-k dielectric layer with a thickness in the range of about 10 to 30 angstroms.
  • the gate dielectric layer 212 may be formed using a suitable process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof.
  • the gate dielectric layer 212 may further comprise an interfacial layer (not shown) to reduce damage between the gate dielectric layer 212 and the substrate 202 .
  • the interfacial layer may comprise silicon oxide.
  • the gate electrode layer 214 may comprise a single layer or multilayer structure. In the present embodiment, the gate electrode layer 214 may comprise poly-silicon. Further, the gate electrode layer 214 may be doped poly-silicon with uniform or non-uniform doping. In some embodiments, the gate electrode layer 214 may include an N-work-function metal for the N-gate stack 210 n .
  • the N-work-function metal comprises Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, or Zr. In some embodiments, the gate electrode layer 214 may include a P-work-function metal for the P-gate stack 210 p .
  • the P-work-function metal comprises TiN, WN, TaN, and Ru.
  • the gate electrode layer 214 comprises a thickness in the range of about 30 nm to about 60 nm.
  • the gate electrode layer 214 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof.
  • a layer of photoresist (not shown) is formed over the gate electrode layer 214 by a suitable process, such as spin-on coating, and patterned to form a patterned photoresist feature by a proper lithography patterning method.
  • a width of the patterned photoresist feature is in the range of about 5 to 45 nm.
  • the patterned photoresist feature can then be transferred using a dry etching process to the underlying layers (i.e., the gate electrode layer 214 and the gate dielectric layer 212 ) to form the P-gate stack 210 p and the N-gate stacks 210 n .
  • the photoresist layer may be stripped thereafter.
  • a hard mask layer 216 is formed over the gate electrode layer 214 ; a patterned photoresist layer (not shown) is formed on the hard mask layer 216 ; and the pattern of the photoresist layer is transferred to the hard mask layer 216 and then transferred to the gate electrode layer 214 and the gate dielectric layer 212 to form the P-gate stack 210 p and the N-gate stack 210 n .
  • the hard mask layer 216 comprises silicon oxide.
  • the hard mask layer 216 may comprise silicon nitride, silicon oxynitride, and/or other suitable dielectric materials, and may be formed using a method such as CVD or PVD.
  • the hard mask layer 216 has a thickness in the range from about 100 to 800 angstroms. The photoresist layer may be stripped thereafter.
  • the semiconductor device 200 further comprises a pair of sidewall spacers 218 p on two sides of the P-gate stack 210 p and a pair of sidewall spacers 218 n on two sides of the N-gate stack 210 n .
  • the sidewall spacers 218 p , 218 n are formed by first forming a dielectric layer formed over the P-gate stack 210 p , the N-gate stacks 210 n , and the substrate 202 and covering sidewalls of the P-gate stack 210 p and sidewalls of the N-gate stack 210 n .
  • the dielectric layer may include silicon oxide, silicon nitride, silicon oxy-nitride, or other suitable material.
  • the dielectric layer may comprise a single layer or multilayer structure.
  • the dielectric layer may be formed by CVD, PVD, ALD, or other suitable technique.
  • the dielectric layer has a thickness ranging from about 5 to 15 nm. Then, an anisotropic etching is performed on the dielectric layer to form the pair of sidewall spacers 218 p on two sides of the P-gate stack 210 p and the pair of sidewall spacers 218 n on two sides of the N-gate stack 210 n.
  • the process steps up to this point have provided the substrate 202 having the P-gate stack 210 p over channel portion of the P-active region 204 p and the N-gate stack 210 n over channel portion of the N-active region 204 n .
  • portions of the N-active region (other than where the N-gate stack 210 n and the pair of sidewall spacers 218 n are formed thereover) are recessed to form N-source and drain (S/D) cavities in the N-active region 204 n .
  • S/D N-source and drain
  • an N-strained material is epi-grown in the N-S/D cavities to form N-S/D regions to strain or stress the channel region of the nFET 200 n to enhance carrier mobility of the nFET 200 n .
  • portions of the P-active region 204 p are recessed to form P-source and drain (S/D) cavities in the P-active region 204 p .
  • P-source and drain (S/D) cavities are recessed to form P-source and drain (S/D) cavities in the P-active region 204 p .
  • a P-strained material is epi-grown in the P-SD cavities to form P-S/D regions to strain or stress the channel region of the pFET 200 p to enhance carrier mobility of the pFET 200 p .
  • the strained material i.e., the N-strained material or P-strained material
  • the processing discussed below with reference to FIGS. 3-12 may fabricate a strained structure in the S/D regions of the semiconductor device, thereby delivering a given amount of strain into channel region of the semiconductor device. Problems associated with insufficient on-current of a semiconductor device may be avoided, thereby enhancing the device performance.
  • the structure in FIG. 3 is produced by recessing portions of the N-active region 204 n (other than where the N-gate stack 210 n and the pair of sidewall spacers 218 n are formed thereover) to form N-source and drain (S/D) cavities 208 n in the N-active region 204 n (step 104 in FIG. 1 ).
  • S/D N-source and drain cavities 208 n in the N-active region 204 n (step 104 in FIG. 1 ).
  • Each of the N-S/D cavities 208 n is below the major surface 202 s and adjacent to one side of the N-gate stack 210 n.
  • a dummy dielectric layer comprising a material such as silicon oxide is formed over the substrate 202 by a CVD process, and patterned to form a dummy dielectric feature 220 p by proper lithography and etch methods.
  • the dummy dielectric feature 220 p covers the P-active region 204 p and exposes portions of the N-active region 204 n (other than where the N-gate stack 210 n and the pair of sidewall spacers 218 n are formed thereover).
  • a biased etching process is performed to recess the major surface 208 s of the substrate 202 that are unprotected or exposed to form the N-S/D cavities 208 n in the N-active region 204 n .
  • the etching process may be performed using a chemical selected from NF 3 , CF 4 , and SF 6 as an etching gas.
  • the etching process may be performed using a solution comprising NH 4 OH and H 2 O 2 .
  • the structure in FIG. 4 is produced by epi-growing an N-strained material 222 n in the N-S/D cavities 208 n , wherein a lattice constant of the N-strained material 222 n is different from a lattice constant of the substrate 202 .
  • a top surface 222 a of the N-strained material 222 n is coplanar with the major surface 202 s , although it may be higher or lower than the major surface 202 s .
  • the N-strained material 222 n comprises SiCP or SiP.
  • a pre-cleaning process may be performed to clean the N-S/D cavities 208 n with HF or other suitable solution.
  • the N-strained material 222 n such as SiCP is selectively grown by an LPCVD process to fill the N-SD cavities 208 n .
  • the LPCVD process is performed at a temperature of about 400 to 800° C. and under a pressure of about 1 to 15 Torr, using SiH 4 , CH 4 , and H 2 as reaction gases. Then the dummy dielectric feature 220 p is removed using HF solution.
  • portions of the P-active region 204 p are recessed to form P-source and drain (S/D) cavities 208 p in the P-active region 204 p .
  • S/D cavities 208 p is below the major surface 202 s and adjacent to one side of the P-gate stack 210 p .
  • a dummy dielectric layer such as silicon oxide is formed over the substrate 202 by a CVD process, and patterned to form a dummy dielectric feature 220 n by proper lithography and etch methods.
  • the dummy dielectric feature 220 n covers the N-active region 204 n and exposes portions of the P-active region 204 p (other than where the P-gate stack 210 p and the pair of sidewall spacers 218 p are formed thereover).
  • a biased etching process is performed to recess the major surface 202 s of the substrate 202 that are unprotected or exposed to form the P-S/D cavities 208 p .
  • the etching process may be performed using a chemical selected from NF 3 , CF 4 , and SF 6 as an etching gas.
  • the etching process may be performed using a solution comprising NH 4 OH and/or H 2 O 2 .
  • the structure in FIG. 6 is produced by epi-growing a P-strained material 222 p in the P-S/D cavities 208 p , wherein a lattice constant of the P-strained material 222 p is different from a lattice constant of the substrate 202 .
  • a top surface 222 b of the P-strained material 222 p is higher than the major surface 202 s .
  • the P-strained material 222 p comprises SiGe or SiGeB.
  • a pre-cleaning process may be performed to clean the P-S/D cavities 208 p with HF or other suitable solution.
  • the P-strained material 222 p such as silicon germanium (SiGe) is selectively grown by an LPCVD process to fill the P-S/D cavities 208 p .
  • the LPCVD process is performed at a temperature of about 660 to 700° C. and under a pressure of about 13 to 50 Torr, using SiH 2 Cl 2 , HCl, GeH 4 , B 2 H 6 , and H 2 as reaction gases. Then the dummy dielectric feature 220 n is removed using HF solution.
  • a first metal layer 224 is formed over the strained materials 222 to a thickness of between about 15 and 60 angstroms.
  • the first metal layer 224 comprises titanium, cobalt, nickel, platinum, erbium, or palladium.
  • the first metal layer 224 may be formed by CVD, PVD, plating, ALD, or other suitable technique.
  • the structure depicted in FIG. 8 is produced by heating the first metal layer 224 and the strained materials 222 to form first silicide regions 226 (step 110 in FIG. 1 ).
  • the first metal layer 224 in contact with the strained materials 222 is then transformed into the first silicide regions 226 by a thermal process, such as a rapid thermal anneal (RTA) process.
  • RTA rapid thermal anneal
  • the first silicide regions 226 comprise first N-silicide regions 226 n and first P-silicide regions 226 p .
  • the first silicide regions 226 comprise titanium silicide, cobalt silicide, nickel silicide, platinum silicide, erbium silicide, palladium silicide, and combinations thereof.
  • a first RTA process is applied to heat the substrate 202 at a temperature of about 230° C. to 260° C.
  • the first metal layer 224 in contact with the strained materials 222 will form a high-resistance silicide.
  • the remaining un-reacted first metal layer 224 is removed using, for example, a solution comprising NH 4 OH, H 2 O 2 , and deionized water.
  • a second RTA process is applied to heat the substrate 202 at a temperature of about 650° C. to 750° C., thereby forming the first silicide regions 226 .
  • the structure in FIG. 9 is produced by forming an interlayer dielectric (ILD) layer 228 over the first silicide regions 226 and extending over the substrate 202 .
  • the ILD layer 228 may comprise a dielectric material.
  • the dielectric material may comprise silicon oxide, silicon nitride, silicon oxynitride, or a low-k material. It is understood that the ILD layer 228 may comprise one or more dielectric materials and/or one or more dielectric layers.
  • the ILD layer 228 may be deposited over the first silicide region 226 to a suitable thickness by CVD, high density plasma (HDP) CVD, sub-atmospheric CVD (SACVD), spin-on, sputtering, or other suitable methods.
  • the ILD layer 228 has a thickness of about 3000 to 4500 ⁇ . Then, the ILD layer 228 is planarized using a chemical mechanical polishing (CMP) process until a top surface of the hard mask (i.e., the sidewall spacer) 218 is exposed or reached.
  • CMP chemical mechanical polishing
  • CMOS processing steps applied to the semiconductor device 200 of FIG. 9 comprise forming openings 230 in the ILD layer 228 , wherein the openings 230 are on the first silicide regions 226 (step 114 in FIG. 1 ).
  • the openings 230 may be formed by any suitable process.
  • the formation of the openings 230 may comprise patterning the ILD layer 228 by a conventional photolithography process, and etching the exposed ILD layer 228 (for example, by using a dry etching, wet etching, and/or plasma etching process) to remove portions of the ILD layer 228 over portions of the first silicide regions 226 to expose top portions of the first silicide regions 226 .
  • a second metal layer 234 is formed on the first silicide regions 226 in the openings 230 to a thickness of between about 15 and 60 angstroms.
  • the second metal layer 234 comprises titanium, cobalt, nickel, platinum, erbium, and palladium.
  • the second metal layer 234 may be formed by CVD, PVD, plating, ALD, or other suitable technique.
  • second metal layer 234 is shown as over-filling openings 230 , it is not necessary that second metal layer 234 over-fill the trench or even completely fill the trench, because excess portions of second metal layer 234 are subsequently removed, as described more fully below.
  • the structure depicted in FIG. 12 is produced by heating the second metal layer 234 and the strained materials 222 to form second silicide regions 236 lower than the first silicide regions 226 (step 118 in FIG. 1 ).
  • the second metal layer 234 will penetrate through the first silicide regions 226 to meet the remaining strained material 222 , and then will be transformed into the second silicide regions 236 by a thermal process, such as a rapid thermal anneal (RTA) process.
  • RTA rapid thermal anneal
  • the second silicide regions 236 comprise second N-silicide regions 236 n and second P-silicide regions 236 p .
  • the second silicide regions 236 comprise titanium silicide, cobalt silicide, nickel silicide, platinum silicide, erbium silicide, and palladium silicide.
  • a third RTA process is applied to heat the substrate 202 at a temperature of about 230° C. to 260° C.
  • the second metal layer 234 meeting the strained material 222 will form a high-resistance silicide.
  • the remaining un-reacted second metal layer 234 is removed using, for example, a solution comprising NH 4 OH, H 2 O 2 , and deionized water.
  • a fourth RTA process is applied to heat the substrate 202 at a temperature of about 650° C. to 750° C., thereby forming the second silicide regions 236 .
  • the second N-silicide regions 236 n are on the remaining N-strained material 222 n (referred to as N-strained regions 238 n hereafter), while the second P-silicide regions 236 p are on the remaining P-strained material 222 p (referred to as P-strained regions 238 p hereafter).
  • the P-strained region 238 p has a first top surface 238 q higher than the major surface 202 s . In some embodiments, a distance H 1 between the first top surface 238 q and the major surface 202 s is in the range of about 5 to 15 nm.
  • the N-strained region 238 n has a second top surface 238 m lower than the major surface 202 s . In some embodiments, a distance H 2 between the second top surface 238 m and the major surface 202 s is in the range of about 10 to 25 nm.
  • the N-silicide regions 240 n are on the N-strained region 238 n . Further, the N-silicide regions 240 n are used to strain or stress the channel region of the nFET 200 n to enhance carrier mobility of the nFET 200 n.
  • the first P-silicide regions 226 p and the second P-silicide regions 236 p are combined and referred to as P-silicide regions 240 p .
  • a volume of the P-silicide regions 240 p is a summation of a volume of the first P-silicide regions 226 p and a volume of the second P-silicide regions 236 p , which is greater than each of the volume of the first P-silicide regions 226 p and the volume of the second P-silicide regions 236 p .
  • a maximum thickness t 1 of the P-silicide region 240 p is in the range of about 10 to 25 nm.
  • the P-silicide regions 240 p (with similar stress as the N-silicide regions 240 n ) will degrade carrier mobility of the pFET 200 p if the P-silicide regions 240 p strain or stress the channel region of the pFET 200 p .
  • the P-silicide regions 240 p are on the P-strained regions 238 p .
  • the P-silicide regions 240 p is used to strain or stress the P-gate stack 210 p to enhance work-function of the P-gate stack 210 p .
  • the P-silicide regions 240 p are adjacent to the P-gate stack 210 p , but far from the channel region of the pFET 200 p.
  • the P-silicide regions 240 p and P-strained regions 238 p are combined and referred to as a P-strained structure 250 p .
  • the N-silicide regions 240 n and N-strained regions 238 n are combined and referred to as an N-strained structure 250 n .
  • the P-strained structure 250 p and N-strained structure 250 n are combined and referred to as a strained structure 250 .
  • Applicant's method may fabricate large-volume N-silicide regions 240 n in the S/D regions of the nFET 200 n of the semiconductor device 200 , thereby delivering a given amount of strain into channel region of the semiconductor device 200 . Further, Applicant's method may fabricate large-volume P-silicide regions 240 p in the S/D regions of the pFET 200 p of the semiconductor device 200 , thereby delivering a given amount of strain into P-gate stack 210 p of the semiconductor device 200 . Problems associated with insufficient on-current of the semiconductor device 200 may be avoided, thereby enhancing the device performance.
  • the semiconductor device 200 may undergo further CMOS processes to form various features such as contacts/vias, interconnect metal layers, dielectric layers, passivation layers, etc.
  • a semiconductor device comprises a substrate comprising a major surface; a p-type Field Effect Transistor (pFET) comprising: a P-gate stack over the major surface, a P-strained region in the substrate adjacent to one side of the P-gate stack, wherein a lattice constant of the P-strained region is different from a lattice constant of the substrate, wherein the P-strained region has a first top surface higher than the major surface; and a P-silicide region on the P-strained region; and an n-type Field Effect Transistor (nFET) comprising: an N-gate stack over the major surface, an N-strained region in the substrate adjacent to one side of the N-gate stack, wherein a lattice constant of the N-strained region is different from a lattice constant of the substrate, wherein the N-strained region has a second top surface lower than the major surface and a N-silicide region on the N-strained region.
  • pFET p-type Field Effect Transist
  • a method for fabricating a semiconductor device comprises providing a substrate comprising a major surface; forming a cavity below the major surface; epi-growing a strained material in the cavity, wherein a lattice constant of the strained material is different from a lattice constant of the substrate; forming a first metal layer over the strained material; heating the first metal layer and the strained material to form a first silicide region; forming an interlayer dielectric (ILD) layer over the first silicide region and extending over the substrate; forming an opening in the ILD layer, wherein the opening is on the first silicide region; forming a second metal layer on the first silicide region in the opening; and heating the second metal layer and the strained material to form a second silicide region lower than the first silicide region.
  • ILD interlayer dielectric
US13/588,860 2012-08-17 2012-08-17 Strained Structure of a Semiconductor Device Abandoned US20140048888A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/588,860 US20140048888A1 (en) 2012-08-17 2012-08-17 Strained Structure of a Semiconductor Device
KR1020120153341A KR101573108B1 (ko) 2012-08-17 2012-12-26 반도체 소자의 스트레인드 구조
TW102126603A TWI524396B (zh) 2012-08-17 2013-07-25 半導體裝置及其製造方法
US14/166,585 US9236253B2 (en) 2012-08-17 2014-01-28 Strained structure of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/588,860 US20140048888A1 (en) 2012-08-17 2012-08-17 Strained Structure of a Semiconductor Device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/166,585 Division US9236253B2 (en) 2012-08-17 2014-01-28 Strained structure of a semiconductor device

Publications (1)

Publication Number Publication Date
US20140048888A1 true US20140048888A1 (en) 2014-02-20

Family

ID=50099474

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/588,860 Abandoned US20140048888A1 (en) 2012-08-17 2012-08-17 Strained Structure of a Semiconductor Device
US14/166,585 Active US9236253B2 (en) 2012-08-17 2014-01-28 Strained structure of a semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/166,585 Active US9236253B2 (en) 2012-08-17 2014-01-28 Strained structure of a semiconductor device

Country Status (3)

Country Link
US (2) US20140048888A1 (ko)
KR (1) KR101573108B1 (ko)
TW (1) TWI524396B (ko)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150041910A1 (en) * 2013-08-07 2015-02-12 GlobalFoundries, Inc. Integrated circuits with a partially-depleted region formed over a bulk silicon substrate and methods for fabricating the same
US20150214228A1 (en) * 2014-01-29 2015-07-30 GlobalFoundries, Inc. Iintegrated circuits with dual silicide contacts and methods for fabricating same
US9147747B2 (en) * 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
CN105097513A (zh) * 2014-04-24 2015-11-25 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法、半导体器件和电子装置
US9373624B1 (en) 2015-06-11 2016-06-21 International Business Machines Corporation FinFET devices including epitaxially grown device isolation regions, and a method of manufacturing same
US9520498B2 (en) 2014-03-17 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure and method for fabricating the same
US9659655B1 (en) 2016-09-08 2017-05-23 International Business Machines Corporation Memory arrays using common floating gate series devices
US9831341B2 (en) 2014-06-16 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated circuit
US20180076237A1 (en) * 2016-09-15 2018-03-15 International Business Machines Corporation Integrated gate driver
US10522368B2 (en) 2016-02-18 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN113838932A (zh) * 2020-06-23 2021-12-24 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9059095B2 (en) 2013-04-22 2015-06-16 International Business Machines Corporation Self-aligned borderless contacts using a photo-patternable dielectric material as a replacement contact
CN108573923B (zh) * 2017-03-07 2021-08-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10510875B2 (en) 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4873205A (en) * 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US5907188A (en) 1995-08-25 1999-05-25 Kabushiki Kaisha Toshiba Semiconductor device with conductive oxidation preventing film and method for manufacturing the same
US6054382A (en) 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5990008A (en) 1996-09-25 1999-11-23 Kabushiki Kaisha Toshiba Semiconductor device with pure copper wirings and method of manufacturing a semiconductor device with pure copper wirings
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5958508A (en) 1997-03-31 1999-09-28 Motorlola, Inc. Process for forming a semiconductor device
US6084279A (en) 1997-03-31 2000-07-04 Motorola Inc. Semiconductor device having a metal containing layer overlying a gate dielectric
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US5942799A (en) 1997-11-20 1999-08-24 Novellus Systems, Inc. Multilayer diffusion barriers
US6001415A (en) 1997-12-03 1999-12-14 Advanced Micro Devices, Inc. Via with barrier layer for impeding diffusion of conductive material from via into insulator
US5968333A (en) 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US6090707A (en) 1999-09-02 2000-07-18 Micron Technology, Inc. Method of forming a conductive silicide layer on a silicon comprising substrate and method of forming a conductive silicide contact
US6291332B1 (en) 1999-10-12 2001-09-18 Advanced Micro Devices, Inc. Electroless plated semiconductor vias and channels
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6797608B1 (en) 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
US6531352B1 (en) 2000-08-31 2003-03-11 Micron Technology, Inc. Methods of forming conductive interconnects
JP4225728B2 (ja) 2002-01-08 2009-02-18 株式会社ルネサステクノロジ 不揮発性半導体記憶装置の製造方法
US6642119B1 (en) * 2002-08-08 2003-11-04 Advanced Micro Devices, Inc. Silicide MOSFET architecture and method of manufacture
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US6949482B2 (en) * 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US7449782B2 (en) * 2004-05-04 2008-11-11 International Business Machines Corporation Self-aligned metal to form contacts to Ge containing substrates and structure formed thereby
US20060163670A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Dual silicide process to improve device performance
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
JP4880958B2 (ja) * 2005-09-16 2012-02-22 株式会社東芝 半導体装置及びその製造方法
US7504336B2 (en) * 2006-05-19 2009-03-17 International Business Machines Corporation Methods for forming CMOS devices with intrinsically stressed metal silicide layers
DE102006040764B4 (de) * 2006-08-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem lokal vorgesehenem Metallsilizidgebiet in Kontaktbereichen und Herstellung desselben
KR100835521B1 (ko) 2006-12-27 2008-06-04 동부일렉트로닉스 주식회사 반도체 소자의 구조 및 그의 제조방법
US8536619B2 (en) * 2007-02-05 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained MOS device and methods for forming the same
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US7897514B2 (en) 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
US8377784B2 (en) * 2010-04-22 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a semiconductor device
CN102487014B (zh) * 2010-12-03 2014-03-05 中国科学院微电子研究所 一种半导体结构及其制造方法
US20120235244A1 (en) * 2011-03-18 2012-09-20 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor Structure and Method for Manufacturing the Same
US20140306290A1 (en) * 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9331171B2 (en) 2013-05-02 2016-05-03 United Microelectronics Corp. Manufacturing method for forming semiconductor structure
US9147747B2 (en) * 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9917087B2 (en) * 2013-08-07 2018-03-13 GlobalFoundries, Inc. Integrated circuits with a partially-depleted region formed over a bulk silicon substrate and methods for fabricating the same
US20150041910A1 (en) * 2013-08-07 2015-02-12 GlobalFoundries, Inc. Integrated circuits with a partially-depleted region formed over a bulk silicon substrate and methods for fabricating the same
US9293462B2 (en) * 2014-01-29 2016-03-22 GlobalFoundries, Inc. Integrated circuits with dual silicide contacts and methods for fabricating same
US9660075B2 (en) 2014-01-29 2017-05-23 GlobalFoundries, Inc. Integrated circuits with dual silicide contacts and methods for fabricating same
US20150214228A1 (en) * 2014-01-29 2015-07-30 GlobalFoundries, Inc. Iintegrated circuits with dual silicide contacts and methods for fabricating same
US9520498B2 (en) 2014-03-17 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure and method for fabricating the same
US9806178B2 (en) 2014-03-17 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure and method for fabricating the same
US10164068B2 (en) 2014-03-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure and method for fabricating the same
US10998425B2 (en) 2014-03-17 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure and method for fabricating the same
CN105097513A (zh) * 2014-04-24 2015-11-25 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法、半导体器件和电子装置
US10741688B2 (en) 2014-06-16 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd Structure and method for integrated circuit
US11804546B2 (en) 2014-06-16 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated circuit
US9831341B2 (en) 2014-06-16 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated circuit
US11502198B2 (en) 2014-06-16 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated circuit
US9373624B1 (en) 2015-06-11 2016-06-21 International Business Machines Corporation FinFET devices including epitaxially grown device isolation regions, and a method of manufacturing same
US10522368B2 (en) 2016-02-18 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10796924B2 (en) * 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US11101143B2 (en) 2016-02-18 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and manufacturing method thereof
US9659655B1 (en) 2016-09-08 2017-05-23 International Business Machines Corporation Memory arrays using common floating gate series devices
US10424605B2 (en) * 2016-09-15 2019-09-24 International Business Machines Corporation Integrated gate driver
US20180076237A1 (en) * 2016-09-15 2018-03-15 International Business Machines Corporation Integrated gate driver
CN113838932A (zh) * 2020-06-23 2021-12-24 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
TW201409553A (zh) 2014-03-01
US9236253B2 (en) 2016-01-12
US20140147978A1 (en) 2014-05-29
KR101573108B1 (ko) 2015-11-30
KR20140023200A (ko) 2014-02-26
TWI524396B (zh) 2016-03-01

Similar Documents

Publication Publication Date Title
US10535557B2 (en) Interlayer dielectric film in semiconductor devices
US9236253B2 (en) Strained structure of a semiconductor device
US10043908B2 (en) Contact structure of semiconductor device
US9812551B2 (en) Method of forming the gate electrode of field effect transistor
US9589838B2 (en) Contact structure of semiconductor device
US9099494B2 (en) Contact structure of semiconductor device
US9337304B2 (en) Method of making semiconductor device
US9997631B2 (en) Methods for reducing contact resistance in semiconductors manufacturing process
US8685808B2 (en) Semiconductor device fabrication method
US20130102138A1 (en) Semiconductor device and fabrication method thereof
US9786543B2 (en) Isolation structure of semiconductor device
US10847634B2 (en) Field effect transistor and method of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, CHUNG-HSIEN;KO, TING-CHU;CHANG, CHIH-HAO;AND OTHERS;REEL/FRAME:028807/0969

Effective date: 20120814

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION