US20130206337A1 - Arrangements for controlling plasma processing parameters - Google Patents

Arrangements for controlling plasma processing parameters Download PDF

Info

Publication number
US20130206337A1
US20130206337A1 US13/524,142 US201213524142A US2013206337A1 US 20130206337 A1 US20130206337 A1 US 20130206337A1 US 201213524142 A US201213524142 A US 201213524142A US 2013206337 A1 US2013206337 A1 US 2013206337A1
Authority
US
United States
Prior art keywords
power
edge ring
substrate
processing system
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/524,142
Inventor
Rajinder Dhindsa
Alexei Marakhtanov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/524,142 priority Critical patent/US20130206337A1/en
Publication of US20130206337A1 publication Critical patent/US20130206337A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means

Definitions

  • a common cause of defective devices is the lack of uniformity during substrate processing. Factors that may affect uniformity are substrate edge effects. Another cause of defective devices may be due to polymeric by-products flaking off from the backside of one substrate onto another substrate during transport.
  • the pressure to further reduce substrate feature sizes, as well as the implementation of newer optimized substrate materials has challenged current fabrication technologies. For example, it is becoming increasing difficult to maintain the uniformity or process results from the center to the edge of larger substrates (e.g., >300 mm). In general, for a given feature size, the number of devices on the substrate near the edge increases as the size of the substrate becomes larger. Likewise, for a given substrate size, the number of devices on the substrate near the edge increases as the feature size of the devices decreases. For example, often over 20% the total number of devices on a substrate are located near the perimeter the substrate.
  • the process results near the substrate edge may differ from the remaining (center) area of the substrate.
  • the equipotential lines of the plasma sheath may become disrupted, causing non-uniform ion angular distribution around the substrate edge.
  • etching process it may be common for polymer byproducts (e.g., fluorinated polymers, etc.) to form on the substrate backside and/or around the substrate edge.
  • Fluorinated polymers generally are comprised of photo resist material previously exposed to an etch chemistry, or polymer byproducts deposited during a fluorocarbon etch process.
  • a fluorinated polymer is a substance with a chemical equation of C x H y F z , where x, z are integers greater than 0, and y is an integer greater than or equal to 0 (e.g., CF 4 , C 2 F 6 , CH 2 F 2 , C 4 F 8 , C 5 F 8 , etc.).
  • FIG. 1 shows a simplified diagram of a substrate in which a set of edge polymers have been deposited on the planar backside is shown.
  • edge polymers polymer by-products
  • the polymer by-products have been deposited on the planar backside, that is, the side of the substrate away from the plasma.
  • the polymer thickness may be about 250 nm at about 70° ( 102 ), 270 nm at about 45° ( 104 ), and about 120 nm at 0° ( 106 ).
  • the greater the thickness of the polymer the higher the probability that a portion of the polymer may become dislodged and fall onto another substrate or the chuck, potentially affecting manufacturing yield.
  • FIG. 2 shows a simplified diagram of a capacitively-coupled plasma processing system in which the DC potential of the edge ring is substantially greater than that of the substrate.
  • a source RF generated by source RF generator 210 is commonly used to generate the plasma as well as control the plasma density via capacitively coupling.
  • Certain etch applications may require the upper electrode to be grounded with respect to a lower electrode, which is RF powered.
  • the RF power is at least one of 2 MHz, 27 MHz, and 60 MHz.
  • Still other etch applications may require both the upper electrode and the lower electrode to be RF powered using similar RF frequencies.
  • an appropriate set of gases is flowed through an inlet in an upper electrode 202 .
  • the gases are subsequently ionized to form plasma 204 in order to process (e.g., etch or deposit) exposed areas of substrate 206 , such as a semiconductor substrate or a glass pane, positioned with a hot edge ring (HER) 212 (e.g., Si, etc.) on an electrostatic chuck (ESC) 208 , which also serves as a powered electrode.
  • HER hot edge ring
  • ESC electrostatic chuck
  • Hot edge ring 212 generally performs many functions, including positioning substrate 206 on ESC 208 and shielding the underlying components not protected by the substrate itself from being damaged by the ions of the plasma. Hot edge ring 212 may further sit on coupling ring 220 (e.g., quartz, etc.), which is generally configured to provide a current path from chuck 208 to hot edge ring 212 . In general, a configurable DC power source 216 may be coupled to hot edge ring 212 through RF filter 214 .
  • coupling ring 220 e.g., quartz, etc.
  • RF filter 214 is generally used to provide attenuation of unwanted RF power without introducing losses to DC power source 216 .
  • RF filter 214 includes a switch module that allows a positive or negative current polarity to be selected, as well as a path to ground.
  • the RF filter 214 includes vacuum relays. RF harmonics are generated in the plasma discharge and may be kept from being returned to the DC power source by the RF filter.
  • the DC potential of the edge ring is substantially higher than that of the substrate in a typical plasma process.
  • the angular ion distribution profile is substantially non-uniform, with a set of vectors that tend to point toward areas of lower potential, such as the substrate edge. This application is highly useful for polymer removal from the substrate edge, as mentioned earlier.
  • the DC potential of the edge ring may be substantially similar to that of the substrate (e.g., V substrate ⁇ V edge ring ⁇ 0).
  • the DC potential on the substrate during processing tends to be negative with respect to ground, and thus when the edge ring is coupled to receive a negative potential (with respect to ground), the DC potential of the edge ring and the DC potential of the substrate are substantially equal. Consequently, angular ion distribution is substantially uniform, with a set of vectors that are substantially perpendicular to the substrate in an area of the plasma sheath above both the substrate and the edge ring.
  • this perpendicular angular profile may be useful for anisotropic etch applications, such as etching contacts and trenches with high aspect ratios.
  • the edge ring may have a higher potential (being at ground) than the DC potential of the substrate (being generally negative during processing, in an embodiment).
  • the angular ion distribution will also tend toward the substrate edge, albeit to a lesser degree than when the edge ring is coupled to receive voltage from the positive terminal of the DC power source.
  • the invention relates, in an embodiment, to a method for processing a substrate in a plasma processing chamber.
  • the substrate is disposed above a chuck and surrounded by an edge ring.
  • the edge ring is electrically isolated from the chuck.
  • the method includes providing first RF power to the chuck.
  • the method also includes providing an edge ring RF voltage control arrangement.
  • the edge ring RF voltage control arrangement is coupled to the edge ring to provide second RF power to the edge ring.
  • the second RF power being delivered to the edge ring has a frequency of about 20 KHz to about 10 MHz, resulting in the edge ring having an edge ring potential.
  • the method further includes generating a plasma within the plasma processing chamber to process the substrate.
  • the substrate is being processed while the edge ring RF voltage control arrangement is configured to cause the edge ring potential to be substantially equal to a DC potential of the substrate while processing the substrate.
  • FIG. 1 shows a simplified diagram of a substrate in which a set of edge polymers have been deposited on the planar backside is shown.
  • FIG. 2 shows a simplified diagram of a capacitively-coupled plasma processing system in which the DC potential of the edge ring is substantially greater than that of the substrate.
  • FIG. 3 shows, in accordance with an embodiment of the present invention, a simplified schematic of a capacitively-coupled plasma processing system with independent low frequency (LF) RF voltage control arrangement.
  • LF low frequency
  • FIG. 4 shows, in accordance with an embodiment of the invention, a multi-frequency capacitively coupled plasma processing system with low frequency RF from RF generator.
  • FIG. 5 shows, in accordance with an embodiment of the invention, a simplified schematic of a segmented HER and arrangements for low frequency RF power delivery to each segment.
  • FIG. 6 shows, in accordance with an embodiment of the invention, a simplified schematic to conceptually show an integrated solution with additional localized gas flow, temperature, and/or external DC power source controls.
  • the invention might also cover articles of manufacture that includes a computer readable medium on which computer-readable instructions for carrying out embodiments of the inventive technique are stored.
  • the computer readable medium may include, for example, semiconductor, magnetic, opto-magnetic, optical, or other forms of computer readable medium for storing computer readable code.
  • the invention may also cover apparatuses for practicing embodiments of the invention. Such apparatus may include circuits, dedicated and/or programmable, to carry out tasks pertaining to embodiments of the invention. Examples of such apparatus include a general-purpose computer and/or a dedicated computing device when appropriately programmed and may include a combination of a computer/computing device and dedicated/programmable circuits adapted for the various tasks pertaining to embodiments of the invention.
  • Embodiments of the invention include delivering a low frequency RF power to an HER to produce desired electric potential differences between a substrate and an edge ring.
  • the equipotential lines of the plasma sheath for a given plasma process may be optimized.
  • an independent low frequency RF power source and an RF match network may be employed to deliver RF power to an HER through an RF filter.
  • Embodiments of the invention enable the independent control of an area of RF sheath voltage above a substrate edge ring with respect to an area of RF sheath voltage above a substrate to produce desired electric potential differences.
  • low frequency RF power may be delivered to an HER from an RF power source, which normally delivers multi-frequency RF power to a substrate.
  • a variable capacitor may be employed to control the low frequency RF power delivery to the HER.
  • Embodiments of the invention enable control of an area of RF sheath voltage above a substrate edge ring with respect to an area of RF sheath voltage above a substrate to produce desired electric potential difference.
  • an HER may be configured to have a plurality of segments. Each segment of the HER may be electrically isolated from the other segments by a plurality of insulators. Low frequency RF power may be individually controlled and delivered to each HER segment and a common RF power source. The low frequency RF power from the common RF power source may be individually controlled by a plurality of variable device. Embodiments of the invention enable individually controlling the amount of RF power being delivered to each segment of the HER to improve azimuthal uniformity of plasma species around the substrate edge.
  • one or more additional controls may be employed to provide an integrated solution for improving the uniformity of a substrate during plasma processing.
  • differential gas flow may be employed to compensate for the differential of plasma species and chemistry that may be caused by abrupt change from the substrate to an HER.
  • electrostatic clamping of the HER to a bottom electrode may be employed to individually control the temperature of the HER.
  • external DC control may be employed to control V DC on HER.
  • FIG. 3 shows, in accordance with an embodiment of the present invention, a simplified schematic of a capacitively-coupled plasma processing system 300 with independent low frequency (LF) RF voltage control arrangement.
  • LF low frequency
  • Plasma processing system 300 may be a single, double, or triple frequency RF capacitively discharge system.
  • radio frequencies may include, but are not limited to, e.g., 2 MHz, 27 MHz, and 60 MHz.
  • Plasma processing system 300 may be configured to include a substrate 306 being disposed above an electrostatic chuck (ESC) 308 .
  • ESC 308 which also serves as a powered electrode, is disposed above a lower electrode 318 .
  • a multifrequency RF generator 310 with a path to ground (not shown to simplify the figure) may supply low RF bias power to lower electrode 318 through an RF match network (not shown to simplify the figure).
  • the RF power provided from RF generator 310 may interact with a gas (not shown to simplify the figure) to ignite plasma 304 between upper electrode 302 and substrate 306 .
  • Plasma may be employed to etch and/or deposit materials onto substrate 306 to create electronic devices.
  • certain etch applications may require upper electrode 302 to be grounded with respect to a lower electrode, which is RF powered.
  • the RF power is at least one of 2 MHz, 27 MHz, and 60 MHz.
  • Still other etch applications may require both the upper electrode and the lower electrode to be RF powered using similar RF frequencies.
  • hot edge ring (HER) 312 generally performs many functions, including positioning substrate 306 on ESC 308 and shielding the underlying components not protected by the substrate itself from being damaged by the ions of the plasma.
  • Hot edge ring 31 . 2 may further sit on a coupling ring 320 (e.g., quartz, etc.).
  • a configurable DC power source may be coupled to hot edge ring through an RF filter.
  • an independent low frequency RF power source 322 and an RF match network 316 may be employed to deliver RF power to HER 312 through an RF filter 314 in accordance with an embodiment of the invention.
  • RF match network 316 may be employed to maximize RF power delivery to HER 312 .
  • low frequency RF power may be delivered to HER 312 via a coaxial cable 324 enclosed in an insulator sleeve 326 . As shown in FIG.
  • RF filter 314 is generally used to provide attenuation of unwanted RF power without introducing losses to low frequency (about 20 KHz to about 10 MHz) RF power source 322 . Harmonics are generated in the plasma discharge and may be kept from being returned to low frequency RF power source 322 by RF filter 314 .
  • the frequency of the low frequency RF power source being delivered to HER 312 may be different, in an embodiment, from the frequency being delivered to the bias electrode, e.g. ESC 308 .
  • the low frequency RF power source being supplied to HER 312 goes mainly into independently controlling the induced V DC on HER 312 , and not the voltage or ion energy to substrate 306 .
  • the power usage may be relatively low compared to high frequency RF power source. Since high RF frequency may tend to couple to plasma, the power usage for employing high frequency RF power to control voltage may be higher to achieve the same V DC control on HER 312 . Analogously, prior art solutions employing configurable DC power source to control voltage on HER 3 . 1 . 2 may also require more power to achieve the same V DC control on HER 312 .
  • low frequency RF power allows for easier RF match resulting in coverage for the whole range of the process window.
  • low frequency RF power source 332 which is being delivered to HER 312 , may allow for independent control of an area of RF sheath voltage above the substrate edge ring 330 with respect to an area of RF sheath voltage above the substrate 332 to produce desired electric potential differences in accordance with an embodiment. Hence, the chemistry and/or plasma around substrate 306 edge are not affected.
  • the inventor believes that the ion angular distribution may be controlled by altering the DC potential between the substrate and the edge ring, thus optimizing the equipotential lines of the plasma sheath for a given plasma process.
  • changes may be made to the electric field around the substrate edge by changing an RF coupling of an edge ring.
  • the chuck is substantially electrically isolated from the edge ring.
  • the ion angular distribution is generally uniform. Consequently, in an area of the plasma sheath above both the substrate and the edge ring, a set of ion vectors are formed that are substantially perpendicular to the substrate.
  • the ion angular distribution is generally non-uniform. Consequently, in the area of the plasma sheath above both the substrate and the edge ring, a set of ion vectors are formed that tend to be non-uniform with respect to the surfaces of the substrate and the edge ring.
  • the DC potential on the edge ring may be independently controlled from that of the substrate. Consequently, the difference between the DC potential of the substrate to the DC potential of the edge ring may be optimized in order to control the angular distribution of the positively charged ions in the plasma around the edge of the substrate.
  • FIG. 4 shows, in accordance with an embodiment of the invention, a multi-frequency capacitively coupled plasma processing system 400 with low frequency RF from RF generator 410 .
  • Plasma processing system 400 may be configured to include a grounded upper electrode 402 , a substrate 406 , an electrostatic chuck (ESC) 408 , a lower electrode 418 , a hot edge ring (HER) 412 , a coaxial cable 424 , and an insulator sleeve 426 .
  • ESC electrostatic chuck
  • HER hot edge ring
  • Plasma 404 may be struck when gas (not shown to simply the figure) interacts with RF power from RF power generator 410 .
  • Plasma 404 may be employed to etch and/or deposit materials onto substrate 406 to create electronic devices.
  • substrate edge effects such as electric field, plasma temperature, and the loading effects from process chemistry, may cause the process results near the substrate edge to be differed from the remaining (center) area of the substrate.
  • the equipotential lines of the plasma sheath may become disrupted, causing non-uniform ion angular distribution around the substrate edge.
  • RF power source 410 which generally delivers RF power to substrate 406 , may be employed to deliver low frequency RF power to HER 412 through a high frequency RF filter 414 and a variable capacitor 416 .
  • variable capacitor 416 may be employed to control low frequency RF power delivery to HER 412 in accordance with an embodiment of the invention. Due to the low frequency, RF power from RF generator 410 may be delivered to HER 412 via coaxial cable 424 enclosed in insulator sleeve 426 in an embodiment.
  • low frequency RF power source 410 which is being coupled to HER 412 , may allow for limited control of an area of RF sheath voltage above the substrate edge ring 430 with respect to an area of RF sheath voltage above the substrate 432 to produce desired electric potential difference in accordance with an embodiment of the invention.
  • the limited control may be due to RF power to both substrate 406 and/or HER 412 being from the same RF generator 410 .
  • HER 412 voltage may be controlled by controlling the ratio of RF power to substrate 406 and HER 412 , the RF power to substrate 406 may drop if more RF power is shifted to HER 412 . Notwithstanding the lack of independent control of RF power to substrates 406 and/or HER 412 , the trade-off in not employing an independent RF power source may be offset by providing device manufacturer(s) the ability to control induced DC voltage on HER 412 through low frequency RF power from multi-frequency RF power source 410 to improve etching rate uniformity.
  • the inventor believes that the ion bombardment to substrate may be controlled by altering the sheath thickness.
  • low frequency RF power may be supplied to the HER resulting in increasing the sheath thickness and impedance.
  • the voltage drop is a combination of the voltage drop across the sheath and the voltage drop across the surface on top of the substrate. Due to the higher voltage drop across a thicker sheath, i.e., higher impedance, ion bombardments to the substrate may be less.
  • the sheath thickness may be controlled by adjusting the voltage on the HER through low frequency RF power to affect ion bombardment on the substrate.
  • Another indirect effect from applying low frequency RF power to the HER is the DC-like effect similar to applying DC to the upper electrode.
  • the power of low RF may be increased to cause the induced V DC on the HER to increase.
  • the plasma density may be controlled by the voltage on the HER through low frequency RF power.
  • low frequency RF power is easier to deliver and control than high frequency RF power.
  • low frequency RF power may be delivered to the HER by inexpensive coaxial cable in an embodiment.
  • the azimuthal uniformity of plasma species around the wafer edge may be low due to the localized effect from the high frequency RF power on the HER.
  • the energy from high frequency RF power may couple to the plasma species.
  • the azimuthal uniformity around the wafer edge is high since low frequency RF power may not create localized effect on the HER.
  • the low frequency RF power affects the voltage at the HER without coupling to the plasma species.
  • azimuthal refers to the horizontal component of direction, e.g., compass direction, as being measured around the horizon.
  • FIG. 5 shows, in accordance with an embodiment of the invention, a simplified schematic of a segmented HER and arrangements for low frequency RF power delivery to each segment.
  • an HER may be divided into a plurality of segments ( 506 A, 506 B, 506 C and 506 D) in an embodiment.
  • Each HER segment may be electrically isolated from the other by a plurality of insulators ( 508 A, 508 B, 508 C, and 508 D) in accordance with an embodiment of the invention.
  • low frequency RF power may be individually controlled and delivered to each HER segment.
  • the low frequency RF power may be delivered from a common RF power source 502 .
  • the low frequency RF power from the common RF power source 502 may be individually controlled by a plurality of variable devices ( 504 A, 504 B, 504 C, and 504 D) to each HER segment in accordance with an embodiment of the invention.
  • variable devices may be implemented by variable matches, for example.
  • the variable devices may be employed to provide independent control of the delivery of the low frequency RF power to an HER segment.
  • the low frequency RF power may be adjusted locally by adjusting variable device 504 B to control the amount of RF power being delivered to HER segment 506 C from common RF power source 502 .
  • azimuthal uniformity of plasma species around the wafer edge may be improved by individually controlling the amount of RF power being delivered to each segment of a hot edge ring.
  • controlled delivery of RF power to a segment of an HER may provide for better azimuthal uniformity around the wafer edge.
  • FIG. 6 shows, in accordance with an embodiment of the invention, a simplified schematic to conceptually show an integrated solution with additional localized gas flow, temperature, and/or external DC power source controls.
  • low frequency RF power may be delivered to an HER 612 during plasma processing to correct sheath voltage and/or ions trajectory issues.
  • the chemistry around the wafer edge may be affected due to the sputtering of the HER materials.
  • the by-products from the sputtered HER materials may interact and interfere with the local etching chemistry at the wafer edge adjacent to the HER.
  • differential gas flow may be introduced through a plurality of nozzles ( 602 A, 602 B, 602 C, and 602 D) across the region that may include a substrate 606 and an HER 612 to provide different etch gas densities in an embodiment. Therefore, different plasma species may exist in different regions across substrate 606 and HER 612 to compensate for the differential of the plasma species and the chemistry caused by abrupt change from substrate 606 to HER 612 .
  • the first gas flow rate from first nozzle 602 A may be different from second flow rate from second nozzle 602 B, and the like in accordance with an embodiment.
  • the gas flow rate through each nozzle may be actively manipulated using appropriate flow control strategy and flow control mechanism (e.g., mass flow controller).
  • flow control mechanism e.g., mass flow controller.
  • plasma density may be controlled individually to offset the chemistry effect cause by unwanted sputtering of HER materials from RF voltage control of HER 612 .
  • the temperature of wafer edge of substrate 606 and/or HER 612 may increase during plasma processing. Uncontrolled increases in temperature at HER 612 may adversely affect wafer edge results. For example, as HER 612 gets hotter, the chemistry and reactivity of plasma species of the wafer edge in the local vicinity of HER 612 may change. The inventors herein realize the temperature of substrate 606 and/or HER 612 need to be individually controlled to maintain process uniformity during plasma processing.
  • the temperature of substrate 606 may be controlled by electrostatically clamping substrate 606 to chuck (ESC) 608 .
  • the temperature of HER 612 may be individually controlled by also employing electrostatic clamping of HER 612 to bottom electrode 618 in an embodiment. By clamping the heat transfer mechanism, the temperature of HER 612 transfers heat from bottom electrode 618 onto HER 612 .
  • T substrate and/or T edge ring may be individually controlled to allow etching to occur at an appropriate rate.
  • DC voltage may be manipulated by an external DC power supply 616 through an RF filter 614 while applying low frequency RF power to HER 612 .
  • the DC may be grounded while applying low frequency RF power to HER 612 .
  • the DC voltage i.e. positive or negative V DC , may be applied while applying low frequency RF power to HER 612 .
  • a V DC may be induced on HER 612 to push plasma potential higher.
  • plasma potential may tend to shift affecting the ion energy on substrate 606 .
  • each step in a process recipe for plasma processing of a substrate may have unique process parameters.
  • the process recipe for an etching step may specify a low frequency RF power to be directed to an HER to control plasma sheath to get better uniformity at a substrate edge in an embodiment.
  • the low frequency RF power is not being applied to the HER during plasma processing, ions tend to bombard the backside of a substrate edge, i.e. beveled edge, due to the voltage potential difference between the HER and the substrate. As ions bombard the beveled edge, polymer deposits on the beveled edge may be removed through the ion bombardments.
  • an in-situ process step for cleaning the beveled edge of the substrate may be achieved.
  • the process recipe for an in-situ cleaning step may specify that the low frequency RF power to be turned off to allow the ions to bombard the beveled edge in an embodiment.
  • embodiments of the invention provide methods and arrangements for control of wafer edge results by controlling sheath voltages on HER around the wafer edge.
  • the plasma processing of wafer edge results may be controlled locally to achieve higher yield of devices being fabricated.
  • the ion energy on the substrate may be controlled independently.
  • an in-situ cleaning of polymer deposition is possible on the beveled edges.
  • several indirect results that indicate ion energy and plasma density may be controlled during plasma processing.

Abstract

A plasma processing system having a plasma processing chamber configured for processing a substrate is provided. The substrate is disposed above a chuck and is surrounded by an edge ring, the edge ring being electrically isolated from the chuck. The plasma processing system includes a first RF power supply for providing a first RF power to the chuck. The plasma processing system also includes an edge ring RF voltage control arrangement which is coupled to the edge ring to provide second RF power to the edge ring. The plasma processing chamber is configured to strike plasma to process the substrate, the substrate being processed while the edge ring RF voltage control arrangement is configured to control the second RF power to the edge ring such that a predefined potential difference is maintained between the edge ring and the substrate while processing the substrate.

Description

    PRIORITY CLAIM
  • This divisional application claims priority under 37 CFR 1.53(b) of and claims the benefit under 35 U.S.C. §120 to a commonly assigned patent application entitled “METHODS AND ARRANGEMENTS FOR CONTROLLING PLASMA PROCESSING PARAMETERS”, Attorney Docket Number P1637C1/LMRX-P126C1, application Ser. No. 12/839,375 filed on Jul. 19, 2010, which claims priority under 35 U.S.C. §120 to a commonly assigned application entitled “Methods and Arrangements for Substrate Processing,” by Dhindsa et al., Attorney Docket Number P1637/LMRX-P126, application Ser. No. 11/770,637, U.S. Pat. No. 7,758,764, filed on Jun. 28, 2007, which are all incorporated by reference herein.
  • BACKGROUND OF THE INVENTION
  • Advances in plasma processing have facilitated growth in the semiconductor industry. Since the semiconductor industry is highly competitive, device manufacturers generally want to maximize yield and efficiently utilize the real estate available on a substrate. During plasma processing of the substrate, a plurality of parameters may need to be controlled to ensure high yield of devices being processed. A common cause of defective devices is the lack of uniformity during substrate processing. Factors that may affect uniformity are substrate edge effects. Another cause of defective devices may be due to polymeric by-products flaking off from the backside of one substrate onto another substrate during transport.
  • Due to the demand for higher performance devices, the pressure to further reduce substrate feature sizes, as well as the implementation of newer optimized substrate materials, has challenged current fabrication technologies. For example, it is becoming increasing difficult to maintain the uniformity or process results from the center to the edge of larger substrates (e.g., >300 mm). In general, for a given feature size, the number of devices on the substrate near the edge increases as the size of the substrate becomes larger. Likewise, for a given substrate size, the number of devices on the substrate near the edge increases as the feature size of the devices decreases. For example, often over 20% the total number of devices on a substrate are located near the perimeter the substrate.
  • Due to edge effects, such as electric field, plasma temperature, and the loading effects from process chemistry, the process results near the substrate edge may differ from the remaining (center) area of the substrate. For example, the equipotential lines of the plasma sheath may become disrupted, causing non-uniform ion angular distribution around the substrate edge. Generally, it is desirable for the electric field to remain substantially constant over the entire surface of the substrate in order to maintain process uniformity and vertical etch profiles.
  • In addition, during the etch process, it may be common for polymer byproducts (e.g., fluorinated polymers, etc.) to form on the substrate backside and/or around the substrate edge. Fluorinated polymers generally are comprised of photo resist material previously exposed to an etch chemistry, or polymer byproducts deposited during a fluorocarbon etch process. In general, a fluorinated polymer is a substance with a chemical equation of CxHyFz, where x, z are integers greater than 0, and y is an integer greater than or equal to 0 (e.g., CF4, C2F6, CH2F2, C4F8, C5F8, etc.).
  • However, as successive polymer layers are deposited on the edge area as the result of several different etch processes, organic bonds that are normally strong and adhesive will eventually weaken and peel or flake off, often onto another substrate during transport. For example, substrates are commonly moved in sets between plasma processing systems via substantially clean containers, often called cassettes. As a higher positioned substrate is repositioned in the container, a portion of a polymer layer may fall on a lower substrate where dies are present, potentially affecting device yield.
  • FIG. 1 shows a simplified diagram of a substrate in which a set of edge polymers have been deposited on the planar backside is shown. As previously stated, during the etch process, it may be common for polymer by-products (edge polymers) to form on the substrate. In this example, the polymer by-products have been deposited on the planar backside, that is, the side of the substrate away from the plasma. For example, the polymer thickness may be about 250 nm at about 70° (102), 270 nm at about 45° (104), and about 120 nm at 0° (106). In general, the greater the thickness of the polymer, the higher the probability that a portion of the polymer may become dislodged and fall onto another substrate or the chuck, potentially affecting manufacturing yield.
  • FIG. 2 shows a simplified diagram of a capacitively-coupled plasma processing system in which the DC potential of the edge ring is substantially greater than that of the substrate. In general, a source RF generated by source RF generator 210 is commonly used to generate the plasma as well as control the plasma density via capacitively coupling. Certain etch applications may require the upper electrode to be grounded with respect to a lower electrode, which is RF powered. The RF power is at least one of 2 MHz, 27 MHz, and 60 MHz. Still other etch applications may require both the upper electrode and the lower electrode to be RF powered using similar RF frequencies.
  • Generally, an appropriate set of gases is flowed through an inlet in an upper electrode 202. The gases are subsequently ionized to form plasma 204 in order to process (e.g., etch or deposit) exposed areas of substrate 206, such as a semiconductor substrate or a glass pane, positioned with a hot edge ring (HER) 212 (e.g., Si, etc.) on an electrostatic chuck (ESC) 208, which also serves as a powered electrode.
  • Hot edge ring 212 generally performs many functions, including positioning substrate 206 on ESC 208 and shielding the underlying components not protected by the substrate itself from being damaged by the ions of the plasma. Hot edge ring 212 may further sit on coupling ring 220 (e.g., quartz, etc.), which is generally configured to provide a current path from chuck 208 to hot edge ring 212. In general, a configurable DC power source 216 may be coupled to hot edge ring 212 through RF filter 214.
  • RF filter 214 is generally used to provide attenuation of unwanted RF power without introducing losses to DC power source 216. RF filter 214 includes a switch module that allows a positive or negative current polarity to be selected, as well as a path to ground. The RF filter 214 includes vacuum relays. RF harmonics are generated in the plasma discharge and may be kept from being returned to the DC power source by the RF filter.
  • In the case where DC power source 216 is a positive voltage, the DC potential of the edge ring is substantially higher than that of the substrate in a typical plasma process. Thus, the angular ion distribution profile is substantially non-uniform, with a set of vectors that tend to point toward areas of lower potential, such as the substrate edge. This application is highly useful for polymer removal from the substrate edge, as mentioned earlier.
  • In another case where DC power source 216 is a positive voltage, the DC potential of the edge ring may be substantially similar to that of the substrate (e.g., Vsubstrate−Vedge ring≈0). The DC potential on the substrate during processing tends to be negative with respect to ground, and thus when the edge ring is coupled to receive a negative potential (with respect to ground), the DC potential of the edge ring and the DC potential of the substrate are substantially equal. Consequently, angular ion distribution is substantially uniform, with a set of vectors that are substantially perpendicular to the substrate in an area of the plasma sheath above both the substrate and the edge ring. As previously stated, this perpendicular angular profile may be useful for anisotropic etch applications, such as etching contacts and trenches with high aspect ratios.
  • It is also possible to, for example, couple the ground terminal of the DC power source, in which case the edge ring may have a higher potential (being at ground) than the DC potential of the substrate (being generally negative during processing, in an embodiment). In this case, the angular ion distribution will also tend toward the substrate edge, albeit to a lesser degree than when the edge ring is coupled to receive voltage from the positive terminal of the DC power source.
  • However, aforementioned prior art methods employing DC control on hot edge ring may require substantial DC power to sustain the required voltages, adding cost to the fabrication of devices. In addition, arcing between the wafer edge and hot edge ring may cause pitting on substrate edge and damage to the devices, thereby reducing yield.
  • SUMMARY OF INVENTION
  • The invention relates, in an embodiment, to a method for processing a substrate in a plasma processing chamber. The substrate is disposed above a chuck and surrounded by an edge ring. The edge ring is electrically isolated from the chuck. The method includes providing first RF power to the chuck. The method also includes providing an edge ring RF voltage control arrangement. The edge ring RF voltage control arrangement is coupled to the edge ring to provide second RF power to the edge ring. The second RF power being delivered to the edge ring has a frequency of about 20 KHz to about 10 MHz, resulting in the edge ring having an edge ring potential. The method further includes generating a plasma within the plasma processing chamber to process the substrate. The substrate is being processed while the edge ring RF voltage control arrangement is configured to cause the edge ring potential to be substantially equal to a DC potential of the substrate while processing the substrate.
  • The above summary relates to only one of the many embodiments of the invention disclosed herein and is not intended to limit the scope of the invention, which is set forth in the claims herein. These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIG. 1 shows a simplified diagram of a substrate in which a set of edge polymers have been deposited on the planar backside is shown.
  • FIG. 2 shows a simplified diagram of a capacitively-coupled plasma processing system in which the DC potential of the edge ring is substantially greater than that of the substrate.
  • FIG. 3 shows, in accordance with an embodiment of the present invention, a simplified schematic of a capacitively-coupled plasma processing system with independent low frequency (LF) RF voltage control arrangement.
  • FIG. 4 shows, in accordance with an embodiment of the invention, a multi-frequency capacitively coupled plasma processing system with low frequency RF from RF generator.
  • FIG. 5 shows, in accordance with an embodiment of the invention, a simplified schematic of a segmented HER and arrangements for low frequency RF power delivery to each segment.
  • FIG. 6 shows, in accordance with an embodiment of the invention, a simplified schematic to conceptually show an integrated solution with additional localized gas flow, temperature, and/or external DC power source controls.
  • DETAILED DESCRIPTION OF EMBODIMENTS
  • The present invention will now be described in detail with reference to a few embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
  • Various embodiments are described hereinbelow, including methods and techniques. It should be kept in mind that the invention might also cover articles of manufacture that includes a computer readable medium on which computer-readable instructions for carrying out embodiments of the inventive technique are stored. The computer readable medium may include, for example, semiconductor, magnetic, opto-magnetic, optical, or other forms of computer readable medium for storing computer readable code. Further, the invention may also cover apparatuses for practicing embodiments of the invention. Such apparatus may include circuits, dedicated and/or programmable, to carry out tasks pertaining to embodiments of the invention. Examples of such apparatus include a general-purpose computer and/or a dedicated computing device when appropriately programmed and may include a combination of a computer/computing device and dedicated/programmable circuits adapted for the various tasks pertaining to embodiments of the invention.
  • In accordance with embodiments of the invention, there are provided methods and arrangements for configuring a plasma processing system to enhance control over plasma processing parameters. Embodiments of the invention include delivering a low frequency RF power to an HER to produce desired electric potential differences between a substrate and an edge ring. Thus, the equipotential lines of the plasma sheath for a given plasma process may be optimized.
  • In one or more embodiments of the invention, an independent low frequency RF power source and an RF match network may be employed to deliver RF power to an HER through an RF filter. Embodiments of the invention enable the independent control of an area of RF sheath voltage above a substrate edge ring with respect to an area of RF sheath voltage above a substrate to produce desired electric potential differences.
  • In another embodiment of the invention, low frequency RF power may be delivered to an HER from an RF power source, which normally delivers multi-frequency RF power to a substrate. In an example, a variable capacitor may be employed to control the low frequency RF power delivery to the HER. Embodiments of the invention enable control of an area of RF sheath voltage above a substrate edge ring with respect to an area of RF sheath voltage above a substrate to produce desired electric potential difference.
  • In one or more embodiments of the invention, an HER may be configured to have a plurality of segments. Each segment of the HER may be electrically isolated from the other segments by a plurality of insulators. Low frequency RF power may be individually controlled and delivered to each HER segment and a common RF power source. The low frequency RF power from the common RF power source may be individually controlled by a plurality of variable device. Embodiments of the invention enable individually controlling the amount of RF power being delivered to each segment of the HER to improve azimuthal uniformity of plasma species around the substrate edge.
  • In one or more embodiments of the invention, one or more additional controls may be employed to provide an integrated solution for improving the uniformity of a substrate during plasma processing. In an embodiment, differential gas flow may be employed to compensate for the differential of plasma species and chemistry that may be caused by abrupt change from the substrate to an HER. In another embodiment, electrostatic clamping of the HER to a bottom electrode may be employed to individually control the temperature of the HER. In yet another embodiment, external DC control may be employed to control VDC on HER.
  • The features and advantages of the invention may be better understood with reference to the figures and discussions that follow. FIG. 3 shows, in accordance with an embodiment of the present invention, a simplified schematic of a capacitively-coupled plasma processing system 300 with independent low frequency (LF) RF voltage control arrangement.
  • Plasma processing system 300 may be a single, double, or triple frequency RF capacitively discharge system. In an example, radio frequencies may include, but are not limited to, e.g., 2 MHz, 27 MHz, and 60 MHz. Plasma processing system 300 may be configured to include a substrate 306 being disposed above an electrostatic chuck (ESC) 308. ESC 308, which also serves as a powered electrode, is disposed above a lower electrode 318.
  • Consider the situation wherein, for example, substrate 306 is being processed. During plasma processing, a multifrequency RF generator 310 with a path to ground (not shown to simplify the figure) may supply low RF bias power to lower electrode 318 through an RF match network (not shown to simplify the figure). The RF power provided from RF generator 310 may interact with a gas (not shown to simplify the figure) to ignite plasma 304 between upper electrode 302 and substrate 306. Plasma may be employed to etch and/or deposit materials onto substrate 306 to create electronic devices.
  • In the implementation of FIG. 3, certain etch applications may require upper electrode 302 to be grounded with respect to a lower electrode, which is RF powered. The RF power is at least one of 2 MHz, 27 MHz, and 60 MHz. Still other etch applications may require both the upper electrode and the lower electrode to be RF powered using similar RF frequencies.
  • As shown in FIG. 3, hot edge ring (HER) 312 generally performs many functions, including positioning substrate 306 on ESC 308 and shielding the underlying components not protected by the substrate itself from being damaged by the ions of the plasma. Hot edge ring 31.2 may further sit on a coupling ring 320 (e.g., quartz, etc.).
  • In the prior art, a configurable DC power source may be coupled to hot edge ring through an RF filter. Unlike prior art methods, an independent low frequency RF power source 322 and an RF match network 316 may be employed to deliver RF power to HER 312 through an RF filter 314 in accordance with an embodiment of the invention. In an example, RF match network 316 may be employed to maximize RF power delivery to HER 312. In an embodiment, low frequency RF power may be delivered to HER 312 via a coaxial cable 324 enclosed in an insulator sleeve 326. As shown in FIG. 3, RF filter 314 is generally used to provide attenuation of unwanted RF power without introducing losses to low frequency (about 20 KHz to about 10 MHz) RF power source 322. Harmonics are generated in the plasma discharge and may be kept from being returned to low frequency RF power source 322 by RF filter 314.
  • To prevent RF coupling to substrate 306, the frequency of the low frequency RF power source being delivered to HER 312 may be different, in an embodiment, from the frequency being delivered to the bias electrode, e.g. ESC 308. By decoupling from substrate 306, the low frequency RF power source being supplied to HER 312 goes mainly into independently controlling the induced VDC on HER 312, and not the voltage or ion energy to substrate 306.
  • In employing low frequency RF power source to control VDC on HER 312, the power usage may be relatively low compared to high frequency RF power source. Since high RF frequency may tend to couple to plasma, the power usage for employing high frequency RF power to control voltage may be higher to achieve the same VDC control on HER 312. Analogously, prior art solutions employing configurable DC power source to control voltage on HER 3.1.2 may also require more power to achieve the same VDC control on HER 312. Advantageously, low frequency RF power allows for easier RF match resulting in coverage for the whole range of the process window.
  • In the implementation of FIG. 3, low frequency RF power source 332, which is being delivered to HER 312, may allow for independent control of an area of RF sheath voltage above the substrate edge ring 330 with respect to an area of RF sheath voltage above the substrate 332 to produce desired electric potential differences in accordance with an embodiment. Hence, the chemistry and/or plasma around substrate 306 edge are not affected.
  • While not wishing to be bound by theory, the inventor believes that the ion angular distribution may be controlled by altering the DC potential between the substrate and the edge ring, thus optimizing the equipotential lines of the plasma sheath for a given plasma process. In an advantageous manner, changes may be made to the electric field around the substrate edge by changing an RF coupling of an edge ring. In an embodiment, the chuck is substantially electrically isolated from the edge ring.
  • For example, if the DC potential of the substrate edge is substantially the same as the DC potential of the edge ring (e.g., Vsubstrate−Vedge ring≈0), the ion angular distribution is generally uniform. Consequently, in an area of the plasma sheath above both the substrate and the edge ring, a set of ion vectors are formed that are substantially perpendicular to the substrate.
  • However, if the DC potential of the substrate edge is substantially different from the DC potential of the edge ring, the ion angular distribution is generally non-uniform. Consequently, in the area of the plasma sheath above both the substrate and the edge ring, a set of ion vectors are formed that tend to be non-uniform with respect to the surfaces of the substrate and the edge ring.
  • In an advantageous fashion, the DC potential on the edge ring may be independently controlled from that of the substrate. Consequently, the difference between the DC potential of the substrate to the DC potential of the edge ring may be optimized in order to control the angular distribution of the positively charged ions in the plasma around the edge of the substrate.
  • In addition to the aforementioned method and arrangement as discussed in FIG. 3, other embodiments may be provided in which low frequency wafer/substrate RF power may be employed to deliver RF power to HER to control RF shealth voltage. FIG. 4 shows, in accordance with an embodiment of the invention, a multi-frequency capacitively coupled plasma processing system 400 with low frequency RF from RF generator 410. Plasma processing system 400 may be configured to include a grounded upper electrode 402, a substrate 406, an electrostatic chuck (ESC) 408, a lower electrode 418, a hot edge ring (HER) 412, a coaxial cable 424, and an insulator sleeve 426.
  • Consider the situation wherein, for example, substrate 406 is being processed. Plasma 404 may be struck when gas (not shown to simply the figure) interacts with RF power from RF power generator 410. Plasma 404 may be employed to etch and/or deposit materials onto substrate 406 to create electronic devices.
  • As aforementioned, substrate edge effects, such as electric field, plasma temperature, and the loading effects from process chemistry, may cause the process results near the substrate edge to be differed from the remaining (center) area of the substrate. For example, the equipotential lines of the plasma sheath may become disrupted, causing non-uniform ion angular distribution around the substrate edge.
  • In an embodiment, RF power source 410, which generally delivers RF power to substrate 406, may be employed to deliver low frequency RF power to HER 412 through a high frequency RF filter 414 and a variable capacitor 416. In an example, variable capacitor 416 may be employed to control low frequency RF power delivery to HER 412 in accordance with an embodiment of the invention. Due to the low frequency, RF power from RF generator 410 may be delivered to HER 412 via coaxial cable 424 enclosed in insulator sleeve 426 in an embodiment.
  • In the implementation of FIG. 4, low frequency RF power source 410, which is being coupled to HER 412, may allow for limited control of an area of RF sheath voltage above the substrate edge ring 430 with respect to an area of RF sheath voltage above the substrate 432 to produce desired electric potential difference in accordance with an embodiment of the invention. The limited control may be due to RF power to both substrate 406 and/or HER 412 being from the same RF generator 410.
  • Although HER 412 voltage may be controlled by controlling the ratio of RF power to substrate 406 and HER 412, the RF power to substrate 406 may drop if more RF power is shifted to HER 412. Notwithstanding the lack of independent control of RF power to substrates 406 and/or HER 412, the trade-off in not employing an independent RF power source may be offset by providing device manufacturer(s) the ability to control induced DC voltage on HER 412 through low frequency RF power from multi-frequency RF power source 410 to improve etching rate uniformity.
  • While not wishing to be bound by theory, the inventor believes that the ion bombardment to substrate may be controlled by altering the sheath thickness. Consider the situation wherein, for example, low frequency RF power may be supplied to the HER resulting in increasing the sheath thickness and impedance. The voltage drop is a combination of the voltage drop across the sheath and the voltage drop across the surface on top of the substrate. Due to the higher voltage drop across a thicker sheath, i.e., higher impedance, ion bombardments to the substrate may be less. In an embodiment, the sheath thickness may be controlled by adjusting the voltage on the HER through low frequency RF power to affect ion bombardment on the substrate.
  • Another indirect effect from applying low frequency RF power to the HER is the DC-like effect similar to applying DC to the upper electrode. For example, the power of low RF may be increased to cause the induced VDC on the HER to increase. As a result, there is a higher voltage drop on the upper electrode causing secondary electrons to be ejected into the plasma increasing the plasma density. Hence, the plasma density may be controlled by the voltage on the HER through low frequency RF power.
  • In general, low frequency RF power is easier to deliver and control than high frequency RF power. In the implementation of FIGS. 3 and 4, low frequency RF power may be delivered to the HER by inexpensive coaxial cable in an embodiment. In prior art, when high frequency RF power is being supply to a localized spot on the HER, the azimuthal uniformity of plasma species around the wafer edge may be low due to the localized effect from the high frequency RF power on the HER. In high frequency RF power, the energy from high frequency RF power may couple to the plasma species. In contrast, the azimuthal uniformity around the wafer edge is high since low frequency RF power may not create localized effect on the HER. The low frequency RF power affects the voltage at the HER without coupling to the plasma species. As the term is employed herein, azimuthal refers to the horizontal component of direction, e.g., compass direction, as being measured around the horizon.
  • When low frequency RF power delivery to the HER, the azimuthal uniformity may be high. The azimuthal uniformity of plasma species around the wafer edge may be improved by segmenting the low frequency RF power delivery to the HER. FIG. 5 shows, in accordance with an embodiment of the invention, a simplified schematic of a segmented HER and arrangements for low frequency RF power delivery to each segment.
  • In the implementation of FIG. 5, an HER may be divided into a plurality of segments (506A, 506B, 506C and 506D) in an embodiment. Each HER segment may be electrically isolated from the other by a plurality of insulators (508A, 508B, 508C, and 508D) in accordance with an embodiment of the invention. In an embodiment, low frequency RF power may be individually controlled and delivered to each HER segment. In an embodiment, for example, the low frequency RF power may be delivered from a common RF power source 502. The low frequency RF power from the common RF power source 502 may be individually controlled by a plurality of variable devices (504A, 504B, 504C, and 504D) to each HER segment in accordance with an embodiment of the invention. These variable devices may be implemented by variable matches, for example. The variable devices may be employed to provide independent control of the delivery of the low frequency RF power to an HER segment.
  • Consider the situation wherein, for example, during plasma processing, there may be azimuthal non-uniformity on the HER at segment 506C. The low frequency RF power may be adjusted locally by adjusting variable device 504B to control the amount of RF power being delivered to HER segment 506C from common RF power source 502. Thus, azimuthal uniformity of plasma species around the wafer edge may be improved by individually controlling the amount of RF power being delivered to each segment of a hot edge ring. In contrast to prior art where localized effect of RF power delivery on an HER may cause azimuthal non-uniformity, controlled delivery of RF power to a segment of an HER may provide for better azimuthal uniformity around the wafer edge.
  • Once voltage control to HER is achieved by low frequency RF power, one or more additional controls may be introduced to provide an integrated solution for improved uniformity of substrate during plasma processing in accordance with one or more embodiments of the invention. FIG. 6 shows, in accordance with an embodiment of the invention, a simplified schematic to conceptually show an integrated solution with additional localized gas flow, temperature, and/or external DC power source controls.
  • Consider the situation wherein, for example, low frequency RF power may be delivered to an HER 612 during plasma processing to correct sheath voltage and/or ions trajectory issues. The chemistry around the wafer edge may be affected due to the sputtering of the HER materials. The by-products from the sputtered HER materials may interact and interfere with the local etching chemistry at the wafer edge adjacent to the HER.
  • In the example of FIG. 6, differential gas flow may be introduced through a plurality of nozzles (602A, 602B, 602C, and 602D) across the region that may include a substrate 606 and an HER 612 to provide different etch gas densities in an embodiment. Therefore, different plasma species may exist in different regions across substrate 606 and HER 612 to compensate for the differential of the plasma species and the chemistry caused by abrupt change from substrate 606 to HER 612.
  • Conceptually, to get differential gas flow across substrate 606 and/or HER 612, the first gas flow rate from first nozzle 602A may be different from second flow rate from second nozzle 602B, and the like in accordance with an embodiment. The gas flow rate through each nozzle may be actively manipulated using appropriate flow control strategy and flow control mechanism (e.g., mass flow controller). Hence, plasma density may be controlled individually to offset the chemistry effect cause by unwanted sputtering of HER materials from RF voltage control of HER 612.
  • In general, the temperature of wafer edge of substrate 606 and/or HER 612, e.g., Tsubstrate and/or Tedge ring, may increase during plasma processing. Uncontrolled increases in temperature at HER 612 may adversely affect wafer edge results. For example, as HER 612 gets hotter, the chemistry and reactivity of plasma species of the wafer edge in the local vicinity of HER 612 may change. The inventors herein realize the temperature of substrate 606 and/or HER 612 need to be individually controlled to maintain process uniformity during plasma processing.
  • In an embodiment, the temperature of substrate 606 may be controlled by electrostatically clamping substrate 606 to chuck (ESC) 608. Analogously, the temperature of HER 612 may be individually controlled by also employing electrostatic clamping of HER 612 to bottom electrode 618 in an embodiment. By clamping the heat transfer mechanism, the temperature of HER 612 transfers heat from bottom electrode 618 onto HER 612. Thus, by employing electrostatic forces to clamp substrate 606 or HER 612, Tsubstrate and/or Tedge ring may be individually controlled to allow etching to occur at an appropriate rate.
  • Consider the situation wherein, for example, DC voltage may be manipulated by an external DC power supply 616 through an RF filter 614 while applying low frequency RF power to HER 612. In an example, the DC may be grounded while applying low frequency RF power to HER 612. In another example, the DC voltage, i.e. positive or negative VDC, may be applied while applying low frequency RF power to HER 612.
  • When low frequency RF power is being applied to HER 612 during plasma processing, a VDC may be induced on HER 612 to push plasma potential higher. In order to force VDC on HER 612 to remain at zero, plasma potential may tend to shift affecting the ion energy on substrate 606. By having external DC control on HER 612 while applying low frequency RF power to HER 612, the ion energy on substrate 606 may be controlled independently.
  • In general, device fabrication tends to be a multi-steps process. Each step in a process recipe for plasma processing of a substrate may have unique process parameters. For example, the process recipe for an etching step may specify a low frequency RF power to be directed to an HER to control plasma sheath to get better uniformity at a substrate edge in an embodiment. However, if the low frequency RF power is not being applied to the HER during plasma processing, ions tend to bombard the backside of a substrate edge, i.e. beveled edge, due to the voltage potential difference between the HER and the substrate. As ions bombard the beveled edge, polymer deposits on the beveled edge may be removed through the ion bombardments. Hence, an in-situ process step for cleaning the beveled edge of the substrate may be achieved. For example, the process recipe for an in-situ cleaning step may specify that the low frequency RF power to be turned off to allow the ions to bombard the beveled edge in an embodiment.
  • As may be appreciated from the foregoing, embodiments of the invention provide methods and arrangements for control of wafer edge results by controlling sheath voltages on HER around the wafer edge. By employing an integrated solution of tuning gas and/or electrostatic clamping for thermal control with low RF HER voltage control (these approaches may be employed singularly or in any combination and/or sequence), the plasma processing of wafer edge results may be controlled locally to achieve higher yield of devices being fabricated. By employing external DC control with low RF HER voltage control, the ion energy on the substrate may be controlled independently. By controlling HER voltage in different steps of a process recipe, an in-situ cleaning of polymer deposition is possible on the beveled edges. In addition, several indirect results that indicate ion energy and plasma density may be controlled during plasma processing.
  • While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and equivalents, which fall within the scope of this invention. Also, the title, summary, and abstract are provided herein for convenience and should not be used to construe the scope of the claims herein. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. Although various examples are provided herein, it is intended that these examples be illustrative and not limiting with respect to the invention. Further, in this application, a set of “n” items refers zero or more items in the set. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and equivalents as fall within the true spirit and scope of the present invention.

Claims (21)

1-28. (canceled)
29. A plasma processing system having a plasma processing chamber configured for processing a substrate, said substrate being disposed above a chuck and surrounded by an edge ring, said edge ring being electrically isolated from said chuck, comprising:
a first RF power supply for providing a first RF power to said chuck; and
an edge ring RF voltage control arrangement, said edge ring RF voltage control arrangement being coupled to said edge ring to provide second RF power to said edge ring, said second RF power being delivered to said edge ring has a frequency of about 20 KHz to about 10 MHz, resulting in said edge ring having an edge ring potential, said plasma processing chamber is configured to strike plasma to process said substrate, said substrate being processed while said edge ring RF voltage control arrangement is configured to control said second RF power to said edge ring such that a predefined potential difference is maintained between said edge ring and said substrate while processing said substrate.
30. A processing system of claim 29 wherein said RF power supply is a multifrequency RF generator.
31. A processing system of claim 30 wherein a frequency of said second RF power being delivered to said edge ring is different from a frequency of said first RF power.
32. A processing system of claim 29 wherein said edge ring RF voltage control arrangement includes an RF filter arrangement and an RF match arrangement, said RF filter arrangement being disposed between said edge ring and an RF power source.
33. A processing system of claim 32 wherein said RF filter arrangement is configured to attenuate at least a portion of unwanted harmonic RF energy from reaching said RF power source.
34. A processing system of claim 32 wherein said RF filter arrangement includes vacuum relays.
35. A processing system of claim 32 wherein said RF match arrangement is configured to maximize RF power delivery to said edge ring.
36. A processing system of claim 29 wherein said second RF power is an RF generator that is different from an RF generator employed to provide said first RF power to said chuck.
37. A processing system of claim 29 wherein said second RF power is an RF generator that is also employed to provide said first RF power to said chuck.
38. A processing system of claim 29 wherein said plasma processing chamber is part of a capacitively-coupled plasma processing system.
39. A plasma processing system having a plasma processing chamber configured for processing a substrate, said substrate being disposed above a chuck and surrounded by a multi-segment edge ring, said multi-segment edge ring comprising a plurality of segments electrically isolated from one another, said multi-segment edge ring also being electrically isolated from said chuck, comprising:
a first RF power supply for providing a first RF power to said chuck; and
an edge ring RF voltage control arrangement, said edge ring RF voltage control arrangement being coupled to said edge ring to provide at least a second RF power to a first segment of said multi-segment edge ring and third RF power to a second segment of said multi-segment edge ring, said second RF power and said third RF power having frequencies in the range of about 20 KHz to about 10 MHz, said edge ring RF voltage control arrangement is configured to control said second RF power and said third RF power to said first segment and said second segment such that a predefined potential difference is maintained between said edge ring and said substrate—while processing said substrate.
40. A plasma processing system of claim 39 wherein said RF power supply is a multifrequency RF generator.
41. A plasma processing system of claim 40 wherein a frequency of said second RF power being delivered to said edge ring is different from a frequency of said first RF power.
42. A plasma processing system of claim 39 wherein said edge ring RF voltage control arrangement includes an RF filter arrangement and an RF match arrangement, said RF filter arrangement being disposed between said edge ring and an RF power source.
43. A plasma processing system of claim 42 wherein said RF filter arrangement is configured to attenuate at least a portion of unwanted harmonic RF energy from reaching said RF power source.
44. A plasma processing system of claim 42 wherein said RF filter arrangement includes vacuum relays.
45. A plasma processing system of claim 42 wherein said RF match arrangement is configured to maximize RF power delivery to said edge ring.
46. A plasma processing system of claim 39 wherein said second RF power is an RF generator that is different from an RF generator employed to provide said first RF power to said chuck.
47. A plasma processing system of claim 39 wherein said second RF power is an RF generator that is also employed to provide said first RF power to said chuck.
48. A plasma processing system of claim 39 wherein said plasma processing chamber is part of a capacitively-coupled plasma processing system.
US13/524,142 2007-06-28 2012-06-15 Arrangements for controlling plasma processing parameters Abandoned US20130206337A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/524,142 US20130206337A1 (en) 2007-06-28 2012-06-15 Arrangements for controlling plasma processing parameters

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/770,637 US7758764B2 (en) 2007-06-28 2007-06-28 Methods and apparatus for substrate processing
US12/839,375 US8211324B2 (en) 2007-06-28 2010-07-19 Methods and arrangements for controlling plasma processing parameters
US13/524,142 US20130206337A1 (en) 2007-06-28 2012-06-15 Arrangements for controlling plasma processing parameters

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/839,375 Division US8211324B2 (en) 2007-06-28 2010-07-19 Methods and arrangements for controlling plasma processing parameters

Publications (1)

Publication Number Publication Date
US20130206337A1 true US20130206337A1 (en) 2013-08-15

Family

ID=40226458

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/770,637 Active 2029-01-29 US7758764B2 (en) 2007-06-28 2007-06-28 Methods and apparatus for substrate processing
US12/839,375 Active US8211324B2 (en) 2007-06-28 2010-07-19 Methods and arrangements for controlling plasma processing parameters
US13/524,142 Abandoned US20130206337A1 (en) 2007-06-28 2012-06-15 Arrangements for controlling plasma processing parameters

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/770,637 Active 2029-01-29 US7758764B2 (en) 2007-06-28 2007-06-28 Methods and apparatus for substrate processing
US12/839,375 Active US8211324B2 (en) 2007-06-28 2010-07-19 Methods and arrangements for controlling plasma processing parameters

Country Status (6)

Country Link
US (3) US7758764B2 (en)
JP (1) JP5554705B2 (en)
KR (1) KR101513752B1 (en)
CN (1) CN101720501B (en)
TW (1) TWI446399B (en)
WO (1) WO2009006062A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100159703A1 (en) * 2008-12-19 2010-06-24 Andreas Fischer Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9851389B2 (en) 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
WO2019143473A1 (en) * 2018-01-22 2019-07-25 Applied Materials, Inc. Processing with powered edge ring
US10840062B2 (en) 2018-11-09 2020-11-17 Applied Materials, Inc. Radio frequency filter system for a processing chamber
US20220246397A1 (en) * 2021-02-04 2022-08-04 Applied Materials, Inc. Temperature Controlled/Electrically Biased Wafer Surround
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006051550B4 (en) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Method and device for structuring components using a material based on silicon oxide
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
JP5227264B2 (en) * 2009-06-02 2013-07-03 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, program
SG10201405042QA (en) * 2009-08-31 2014-10-30 Lam Res Corp A multi-peripheral ring arrangement for performing plasma confinement
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
JP5970268B2 (en) 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ Plasma processing apparatus and processing method
US9252002B2 (en) 2012-07-17 2016-02-02 Applied Materials, Inc. Two piece shutter disk assembly for a substrate process chamber
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
KR20240015167A (en) 2014-10-17 2024-02-02 어플라이드 머티어리얼스, 인코포레이티드 Cmp pad construction with composite material properties using additive manufacturing processes
JP6346855B2 (en) * 2014-12-25 2018-06-20 東京エレクトロン株式会社 Electrostatic adsorption method and substrate processing apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
JP6539113B2 (en) * 2015-05-28 2019-07-03 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
JP6595335B2 (en) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ Plasma processing equipment
US10109464B2 (en) 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
JP2018006299A (en) 2016-07-08 2018-01-11 東芝メモリ株式会社 Processing object susceptor for plasma processing apparatus, plasma processing apparatus and plasma processing method
US10665433B2 (en) * 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
US10546724B2 (en) 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load
TWI766014B (en) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109216144B (en) * 2017-07-03 2021-08-06 中微半导体设备(上海)股份有限公司 Plasma reactor with low-frequency radio frequency power distribution adjusting function
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
TW201918120A (en) * 2017-10-26 2019-05-01 財團法人工業技術研究院 Focus ring, plasma apparatus comprising the focus ring and method for adjusting voltage use the focus ring
CN109994355B (en) * 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 Plasma reactor with low-frequency radio frequency power distribution adjusting function
US11848177B2 (en) * 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
SG11202007851PA (en) * 2018-02-28 2020-09-29 Applied Materials Inc Electrostatic chuck with multiple radio frequency meshes to control plasma uniformity
CN110323117A (en) * 2018-03-28 2019-10-11 三星电子株式会社 Apparatus for processing plasma
CN111373504B (en) * 2018-04-04 2023-01-06 应用材料公司 RF custom voltage on bias operation
KR20200135550A (en) 2018-04-18 2020-12-02 어플라이드 머티어리얼스, 인코포레이티드 Two-piece shutter disc assembly with self-centering feature
JP7061918B2 (en) * 2018-04-23 2022-05-02 東京エレクトロン株式会社 Plasma etching method and plasma processing equipment
JP6995008B2 (en) 2018-04-27 2022-01-14 東京エレクトロン株式会社 Board processing equipment
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11251028B2 (en) 2018-05-12 2022-02-15 Applied Materials, Inc. Pre-clean chamber with integrated shutter garage
JP6785377B2 (en) * 2018-05-28 2020-11-18 株式会社日立ハイテク Plasma processing equipment
CN112654655A (en) 2018-09-04 2021-04-13 应用材料公司 Advanced polishing pad formulations
KR102111504B1 (en) * 2018-10-15 2020-05-18 세메스 주식회사 Substrate processing apparatus and method
KR102595900B1 (en) 2018-11-13 2023-10-30 삼성전자주식회사 Plasma processing apparatus
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11562887B2 (en) * 2018-12-10 2023-01-24 Tokyo Electron Limited Plasma processing apparatus and etching method
CN111383887A (en) * 2018-12-27 2020-07-07 江苏鲁汶仪器有限公司 Device and method for improving plasma etching uniformity
JP7345382B2 (en) 2018-12-28 2023-09-15 東京エレクトロン株式会社 Plasma processing equipment and control method
US11955314B2 (en) * 2019-01-09 2024-04-09 Tokyo Electron Limited Plasma processing apparatus
JP7451540B2 (en) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド Feedback loop for controlling pulsed voltage waveforms
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
KR102256216B1 (en) * 2019-06-27 2021-05-26 세메스 주식회사 Plasma processing apparatus and method
US11894255B2 (en) 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
US11887820B2 (en) * 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20220100339A (en) 2021-01-08 2022-07-15 삼성전자주식회사 Plasma processing apparatus and semiconductor device menufacturing method using the same
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001007086A (en) * 1999-06-22 2001-01-12 Tokyo Electron Ltd Plasma treatment apparatus
US20010022293A1 (en) * 1999-12-27 2001-09-20 Kenji Maeda Plasma processing equipment and plasma processing method using the same
US20030201069A1 (en) * 2000-09-18 2003-10-30 Johnson Wayne L. Tunable focus ring for plasma processing
JP2004241792A (en) * 2004-05-27 2004-08-26 Hitachi Ltd Plasma treatment apparatus
US20060196605A1 (en) * 2005-03-07 2006-09-07 Eiji Ikegami Method and apparatus for plasma processing
JP2006339391A (en) * 2005-06-02 2006-12-14 Matsushita Electric Ind Co Ltd Dry-etching apparatus
US20070111339A1 (en) * 2005-11-14 2007-05-17 Stephan Wege Apparatus for processing a substrate
US20090166326A1 (en) * 2006-05-24 2009-07-02 Sexton Gregory S Edge electrodes with dielectric covers
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP2002246368A (en) * 2001-02-14 2002-08-30 Anelva Corp System for processing a wafer using radially uniform plasma over wafer surface
JP2003100713A (en) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk Cover for plasma electrode
JP2004022822A (en) * 2002-06-17 2004-01-22 Shibaura Mechatronics Corp Plasma processing method and device
JP3993493B2 (en) * 2002-09-09 2007-10-17 株式会社日立製作所 Plasma etching equipment
JP4547182B2 (en) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 Plasma processing equipment
JP2005260011A (en) * 2004-03-12 2005-09-22 Hitachi High-Technologies Corp Method and device for wafer processing
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001007086A (en) * 1999-06-22 2001-01-12 Tokyo Electron Ltd Plasma treatment apparatus
US20010022293A1 (en) * 1999-12-27 2001-09-20 Kenji Maeda Plasma processing equipment and plasma processing method using the same
US20030201069A1 (en) * 2000-09-18 2003-10-30 Johnson Wayne L. Tunable focus ring for plasma processing
JP2004241792A (en) * 2004-05-27 2004-08-26 Hitachi Ltd Plasma treatment apparatus
US20060196605A1 (en) * 2005-03-07 2006-09-07 Eiji Ikegami Method and apparatus for plasma processing
JP2006339391A (en) * 2005-06-02 2006-12-14 Matsushita Electric Ind Co Ltd Dry-etching apparatus
US20070111339A1 (en) * 2005-11-14 2007-05-17 Stephan Wege Apparatus for processing a substrate
US20090166326A1 (en) * 2006-05-24 2009-07-02 Sexton Gregory S Edge electrodes with dielectric covers
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100159703A1 (en) * 2008-12-19 2010-06-24 Andreas Fischer Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US20150011097A1 (en) * 2008-12-19 2015-01-08 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9548186B2 (en) * 2008-12-19 2017-01-17 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9851389B2 (en) 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
WO2019143473A1 (en) * 2018-01-22 2019-07-25 Applied Materials, Inc. Processing with powered edge ring
TWI698956B (en) * 2018-01-22 2020-07-11 美商應用材料股份有限公司 Processing with powered edge ring
US10840062B2 (en) 2018-11-09 2020-11-17 Applied Materials, Inc. Radio frequency filter system for a processing chamber
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
US20220246397A1 (en) * 2021-02-04 2022-08-04 Applied Materials, Inc. Temperature Controlled/Electrically Biased Wafer Surround
WO2022169515A1 (en) * 2021-02-04 2022-08-11 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
US11664193B2 (en) * 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
TWI830114B (en) * 2021-02-04 2024-01-21 美商應用材料股份有限公司 Etching system

Also Published As

Publication number Publication date
WO2009006062A1 (en) 2009-01-08
KR101513752B1 (en) 2015-04-20
KR20100035169A (en) 2010-04-02
US8211324B2 (en) 2012-07-03
US20090071938A1 (en) 2009-03-19
TWI446399B (en) 2014-07-21
JP2010532099A (en) 2010-09-30
CN101720501A (en) 2010-06-02
CN101720501B (en) 2011-11-23
TW200908063A (en) 2009-02-16
US20110011535A1 (en) 2011-01-20
US7758764B2 (en) 2010-07-20
JP5554705B2 (en) 2014-07-23

Similar Documents

Publication Publication Date Title
US8211324B2 (en) Methods and arrangements for controlling plasma processing parameters
US9184074B2 (en) Apparatus and methods for edge ring implementation for substrate processing
US7572737B1 (en) Apparatus and methods for adjusting an edge ring potential substrate processing
US8563619B2 (en) Methods and arrangements for plasma processing system with tunable capacitance
US6039836A (en) Focus rings
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
KR100743875B1 (en) Electrode assembly
US8771423B2 (en) Low sloped edge ring for plasma processing chamber
CN101557885B (en) Plasma processing reactor with multiple capacitive and inductive power sources
US20070193688A1 (en) Process tuning gas injection from the substrate edge
US20090272718A1 (en) Methods for selective pre-coating of a plasma processing chamber
US20200090972A1 (en) Semiconductor substrate supports with embedded rf shield
US8545671B2 (en) Plasma processing method and plasma processing apparatus
JP2002519860A (en) Focus ring configuration for substantially eliminating open plasma in a plasma processing chamber
US20200234928A1 (en) Semiconductor plasma processing equipment with wafer edge plasma sheath tuning ability
EP1097253A1 (en) Ion energy attenuation
WO2001070517A1 (en) High speed stripping for damaged photoresist

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION