US20090127635A1 - Transistor including an active region and methods for fabricating the same - Google Patents

Transistor including an active region and methods for fabricating the same Download PDF

Info

Publication number
US20090127635A1
US20090127635A1 US12/289,393 US28939308A US2009127635A1 US 20090127635 A1 US20090127635 A1 US 20090127635A1 US 28939308 A US28939308 A US 28939308A US 2009127635 A1 US2009127635 A1 US 2009127635A1
Authority
US
United States
Prior art keywords
active region
layer
transistor
gate trench
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/289,393
Inventor
Ji-Young Kim
Chang-Sub Lee
Sang-Jun Park
Hyo-june Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/289,393 priority Critical patent/US20090127635A1/en
Publication of US20090127635A1 publication Critical patent/US20090127635A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate

Definitions

  • the present invention relates generally to a transistor and methods for fabricating the same, and more particularly to a transistor including an active region and methods for fabricating the same.
  • Semiconductor devices may include an integrated circuit.
  • Integrated circuits may include discrete devices (e.g., transistors). Because semiconductor devices may require a higher level of integration, smaller transistor gate sizes may be required. The electrical characteristics of transistors with reduced gate sizes may degrade due to a short channel effect, which may result from the reduced size of the gate.
  • MOS metal oxide semiconductor
  • FIG. 1 is a cross-sectional view illustrating a prior art method of fabricating a MOS transistor.
  • a buffer layer pattern 110 and a mask pattern 115 may be formed in a region of a semiconductor substrate 100 .
  • the semiconductor substrate 100 may be etched using the mask pattern 115 as an etch mask to form a trench.
  • an insulating layer filling the trench and covering a surface of the semiconductor substrate 100 may be formed.
  • the insulating layer may be patterned to form a gate opening.
  • the active region exposed in the gate opening may be isotropically etched to form a gate trench.
  • a deposition process, a planarization process, and an etchback process may then be used to form isolation layers 605 and 610 , a gate insulating layer 450 , and a gate electrode 615 .
  • fences e.g., Silicon (Si) fences
  • Si Silicon
  • FIG. 2A is a cross sectional view illustrating a conventional method of fabricating a MOS transistor.
  • FIG. 2B is a cross-sectional view taken along the line I-I′ of FIG. 2A .
  • FIG. 2C is a cross-sectional view taken along the line II-II′ of FIG. 2A .
  • an isolation layer 25 may be formed in a region of a semiconductor substrate 21 to define an active region 22 .
  • a buffer layer pattern 31 and a mask pattern 32 may be formed on the semiconductor substrate 21 including the isolation layer 25 .
  • the active region 22 may be etched using the mask pattern 32 as an etch mask to form a gate trench 33 .
  • Fences 34 and 35 may remain on sidewalls of the gate trench 33 adjacent to the isolation layers 25 .
  • the electrical characteristics (e.g., current, voltage, etc.) of the recessed channel MOS transistor may be determined by characteristics (e.g., length, width, depth, etc.) of the gate trench 33 . Increasing the depth of the gate trench 33 may reduce the short channel effect and gate trenches (e.g., gate trench 33 ) may be formed at higher depths.
  • the fences 34 and 35 may be formed on sidewalls of the gate trench 33 in contact with the isolation layers 25 .
  • a channel may be formed in the Si fences 34 and 35 .
  • the fences 34 and 35 may be at a lesser depth than the depth of the gate trench 33 .
  • the effective channel length may be decreased.
  • FIG. 2D is a cross sectional view illustrating a later step in the conventional method of fabricating the MOS transistor of FIG. 2A .
  • the remaining fences 34 and 35 may be removed by isotropically etching the semiconductor substrate.
  • An etching gas and/or etching solutions may be used for the isotropic etching.
  • the exposed surfaces of the active region 22 including the remaining fences 34 and 35 , may be etched.
  • the etch rate at the center of the gate trench 33 may be different (e.g., slower) than the etching rate at the region A.
  • the etch rate difference may cause the gate trench 33 to be deeper and/or wider (e.g., due to excessive etching) because the etching process may continue until the fences 34 and 35 may be removed (e.g., at the slower etching rate). This process may cause sharp silicon residues in the region A of the gate trench 33 as shown in FIG. 2D .
  • a field enhancement effect may occur in the region A.
  • the field enhancement effect may cause a gate induced drain leakage (GIDL) current.
  • GIDL gate induced drain leakage
  • DRAM Dynamic Random Access Memory
  • An example embodiment of the present invention is a method of fabricating a transistor including forming an isolation layer in a region of a semiconductor substrate to define an active region, etching a portion of the active region to form a gate trench crossing the active region, the gate trench being formed with first and second sidewalls facing each other and being adjacent to the isolation layer and with third and fourth sidewalls facing each other and adjacent to the active region, isotropically etching the isolation layer to form undercut regions where the first and second sidewalls are adjacent to the third and fourth sidewalls and removing fences from the first and second sidewalls while forming the gate trench.
  • Another example embodiment of the present invention is a method of fabricating a transistor, including forming an isolation trench in a region of a semiconductor substrate to define an active region, forming a sidewall oxide layer and a liner, the sidewall oxide layer and the liner being stacked on sidewalls of the active region, forming an isolation layer filling the isolation trench, forming a hard mask layer on at least one surface of the semiconductor substrate, patterning the hard mask layer to form a mask pattern, the mask pattern including an opening which crosses over a portion of the active region, etching the portion of the active region using the mask pattern as an etch mask to form a gate trench crossing the active region, the gate trench including first and second sidewalls facing each other and adjacent to the sidewall oxide layer, and third and fourth sidewalls facing each other and adjacent to the active region, isotropically etching the sidewall oxide layer to form undercut regions where the first, second, third and fourth sidewalls are adjacent to each other and removing fences on the first and second sidewalls while forming the gate trench.
  • Another example embodiment of the present invention is a transistor, including an active region formed on a semiconductor substrate, at least one portion of the active region including a desired shape.
  • FIG. 1 is a cross-sectional view illustrating a prior art method of fabricating a MOS transistor.
  • FIG. 2A is a cross sectional view illustrating a conventional method of fabricating a MOS transistor.
  • FIG. 2B is a cross-sectional view taken along the line I-I′ of FIG. 2A .
  • FIG. 2C is a cross-sectional view taken along the line II-II′ of FIG. 2A .
  • FIG. 2D is a cross sectional view illustrating a later step in the method of fabricating the conventional MOS transistor of FIG. 2A .
  • FIGS. 3A , 4 A, 5 A, and 6 A are plan views illustrating methods of fabricating a recessed MOS transistor according to example embodiments of the present invention.
  • FIGS. 3B , 4 B, 5 B, and 6 B are cross-sectional views taken along the line III-III′ of FIGS. 3A , 4 A, 5 A, and 6 A, respectively.
  • FIGS. 3C , 4 C, 5 C, and 6 C are cross-sectional views taken along the line V-V′ of FIGS. 3A , 4 A, 5 A, and 6 A, respectively.
  • a layer when a layer is described to be formed on another layer and/or on a substrate, it is understood that this may indicate that the layer may be formed directly on the other layer or substrate, and/or any combination thereof indirectly (e.g., a third layer may be interposed between the layer and the other layer and/or the substrate), and/or any combination thereof.
  • FIGS. 3A , 4 A, 5 A, and 6 A are plan views illustrating methods of fabricating a recessed MOS transistor according to example embodiments of the present invention.
  • FIGS. 3B , 4 B, 5 B, and 6 B are cross-sectional views taken along the line III-III′ of FIGS. 3A , 4 A, 5 A, and 6 A, respectively.
  • FIGS. 3C , 4 C, 5 C, and 6 C are cross-sectional views taken along the line V-V′ of FIGS. 3A , 4 A, 5 A, and 6 A, respectively.
  • a trench mask pattern including a pad oxide layer and a pad nitride layer, which may be stacked, may be formed on a semiconductor substrate 51 .
  • a region of the semiconductor substrate 51 may be exposed.
  • the pad oxide layer may relieve a physical stress (e.g., caused by a difference in a thermal expansion coefficient between the pad nitride layer and the semiconductor substrate 51 ), when the pad nitride layer is formed on the semiconductor substrate 51 .
  • the pad oxide layer may be formed by thermally oxidizing the semiconductor substrate 51 .
  • the pad nitride layer may include a material layer (e.g., a silicon nitride (SiN) layer) having etch selectivity to the semiconductor substrate 51 .
  • the semiconductor substrate 51 may be etched to form an isolation trench for defining an active region 52 .
  • the active region 52 may include various shapes (e.g., a positive sloped sidewall, a negative sloped sidewall, etc.) during formation.
  • the active region of the example embodiments of the present invention may be assumed to include a positive sloped sidewall.
  • the width of the upper active region may be larger than the width of the lower active region in a plan view.
  • the active region 52 may include any shape (e.g., a negative sloped sidewall).
  • a sidewall oxide layer 53 may be formed on an inner wall of the isolation trench.
  • a liner 54 may be formed on the semiconductor substrate 51 (e.g., on the sidewall oxide layer 53 ).
  • An insulating material layer may be formed on the semiconductor substrate 51 (e.g., on the liner 54 ), which may fill a space within the isolation trench and may cover a surface of the semiconductor substrate 51 .
  • the insulating material layer may be planarized to form an isolation layer 55 .
  • the planarization may be executed by a chemical mechanical polishing (CMP) process which may use the trench mask pattern as a stop layer.
  • CMP chemical mechanical polishing
  • the sidewall oxide layer 53 may be a silicon oxide layer formed by thermal oxidation.
  • the sidewall oxide layer may cover sidewalls of the active region 52 .
  • the sidewall oxide layer 53 may reduce damage in the semiconductor substrate 51 (e.g., damage created when the isolation trench is formed).
  • the liner 54 may be formed of a material including oxidation resistant characteristics.
  • the liner 54 may be formed of a silicon nitride layer by a chemical vapor deposition (CVD) method.
  • the isolation layer 55 may be formed of a material having etch selectivity to the semiconductor substrate 51 .
  • the isolation layer 55 may further include a material having gap filling characteristics and/or insulating characteristics.
  • the isolation layer 55 may include a silicon oxide layer (e.g., a high density plasma oxide layer) applied with a high density plasma chemical vapor deposition (HDPCVD) method.
  • Oxide deposition and sputter etching may be applied repeatedly (e.g., alternating between oxide deposition and sputter etching) to form the high density plasma oxide layer, which may increase the filling characteristics of the layer.
  • the high density plasma oxide layer may include a high etch selectivity to the semiconductor substrate 51 .
  • the trench mask pattern may be removed to expose the active region 52 .
  • the isolation layer 55 may be formed on the semiconductor substrate 51 .
  • the sidewall oxide layer 53 and the liner 54 which may be stacked, may be formed between the active region 52 and the isolation layer 55 .
  • a buffer layer and a hard mask layer may be formed on at least one surface of the semiconductor substrate 51 (e.g., on the isolation layer 55 ).
  • the hard mask layer and the buffer layer may be patterned to form a mask pattern 62 and a buffer layer pattern 61 .
  • the mask pattern 62 and the buffer layer pattern 61 may include an opening 62 a over the active region 52 .
  • the opening 62 a may extend over the active region 52 and either or both of the isolation layers 55 adjacent to the active region 52 as shown in FIG. 3C . Portions of the active region 52 and/or the isolation layer 55 adjacent to the active region 52 may be exposed by the opening 62 a.
  • the buffer layer pattern 61 may include the same material layer as the above-described sidewall oxide layer.
  • the buffer layer pattern 61 may be formed of a silicon oxide layer by thermally oxidizing the semiconductor substrate 51 .
  • the buffer layer pattern 61 may relieve a physical stress (e.g., due to a difference in a thermal expansion coefficient between the mask pattern 62 and the semiconductor substrate 51 ).
  • the mask pattern 62 may be formed of a material having etch selectivity to the semiconductor substrate 51 .
  • the mask pattern 62 may be formed of a silicon oxynitride (SiON) layer by a CVD method.
  • the active region 52 may be etched using the mask pattern 62 as an etch mask to form a gate trench 65 .
  • the gate trench 65 may include a first sidewall 66 and a second sidewall 67 which may face each other and may be adjacent to (e.g., in contact with) the isolation layer 55 .
  • the gate trench 65 may further include a third sidewall 68 and a fourth sidewall 69 which may face each other and may be adjacent to (e.g., in contact with) the active region 52 .
  • the etching may be applied when a high etch selectivity may be set between the active region 52 , the silicon oxide layer, the silicon nitride layer and/or the silicon oxynitride (SiON) layer.
  • the active region 52 may be recessed to form the gate trench 65 .
  • fences e.g., semiconductor fences, Si fences, etc.
  • the electrical characteristics (e.g., current, voltage, etc.) of the recessed channel MOS transistor may be determined by the length L 1 , the width W 1 , and/or the depth D 1 of the gate trench 65 . As the depth D 1 of the gate trench 65 increases, the effective channel length may also increase. As previously discussed, a higher effective channel length may reduce the short channel effect.
  • the fences may be formed along the sidewall oxide layer 53 in a longitudinal direction L 1 with respect to the first and second sidewalls 66 and 67 of the gate trench 65 as shown in FIGS. 4A and 4B .
  • the fences may be formed along the isolation layer 55 .
  • a channel may also be formed at the fences.
  • the effective channel length may be decreased, which may increase the short channel effect.
  • the isolation layer 55 may be isotropically etched to form undercut regions (e.g., in region B) where the first to fourth sidewalls 66 , 67 , 68 , and 69 may be adjacent (e.g., in contact with) each other.
  • the isotropic etching may be executed with a dry etching method or a wet etching method.
  • the dry etching or wet etching may be carried out such that high etch selectivity may be set with respect to a silicon oxide layer.
  • a wet cleaning solution including hydrofluoric acid (HF) may be used.
  • the wet cleaning solution containing HF may have high etch selectivity with respect to the silicon oxide layer.
  • the buffer layer pattern 61 may be formed including a silicon oxide layer and may be etched together to form undercut regions below the mask pattern 62 .
  • the sidewall oxide layer 53 may be isotropically etched to form the undercut regions (e.g., in the regions B) where the first to fourth sidewalls 66 , 67 , 68 , and 69 may be adjacent to (e.g., in contact with) each other when the sidewall oxide layer 53 and the liner 54 are formed. Etching may be performed on all exposed portions of the sidewall oxide layer 53 such that empty spaces S may be formed between the liner 54 and the fences.
  • the empty spaces S may penetrate the regions (e.g., the regions B) where the first to fourth sidewalls 66 , 67 , 68 , and 69 may be adjacent to (e.g., in contact with) each other to extend up to some regions of the sidewalls of the active region 52 .
  • the semiconductor substrate may be isotropically etched to remove the fences.
  • the fences on the active region 52 may be removed, and an extended gate trench 65 a (e.g., with an increased effective channel length) may be formed.
  • the isotropic etching may be executed by a dry etching method and/or a wet etching method.
  • a solution mixed with NH 4 OH, H 2 O 2 , and H 2 O may be used.
  • the mixed solution may have an oxidation reaction caused by H 2 O 2 and/or an etching reaction by NH 4 OH.
  • the oxidation reaction and the etching reaction may occur at the same time.
  • NH 4 OH may etch the silicon at a higher etching rate.
  • a surface oxidation reaction by the H 2 O 2 may reduce a roughness of the silicon surface.
  • the undercut regions and the empty spaces S may provide a path through which the etching gas and/or etching solutions may flow, such that the fences may be removed as shown in FIG. 6C .
  • the regions B where the first to fourth sidewalls 66 , 67 , 68 , and 69 of the gate trench 65 may be adjacent to (e.g., in contact with) each other may include different etch rates.
  • the regions where the first to fourth sidewalls 66 , 67 , 68 , and 69 of the extended gate trench 65 a may be adjacent to (e.g., in contact with) each other may include corners (e.g., corner B′) of the active region 52 which may not include sharp silicon residues.
  • the corners (e.g., corner B′) of the active region 52 may be isotropically etched while the fences are removed, such that the corners (e.g., corner B′) may be formed to have a curved shape (e.g., a rounded shape).
  • the corners (e.g., corner B′) of the active region 52 may be formed at a desired shape.
  • the corner B′ of the active region 52 may be formed to have curved shapes or rectangular shapes.
  • corners (e.g., corner C′ as shown in FIG. 6B ) where the upper surface of the active region 52 and the third sidewall 68 and the fourth sidewall 69 of the extended gate trench 65 a may be adjacent to (e.g., in contact) with each other may also be formed to have a curved shape.
  • the mask pattern 62 and the buffer layer pattern 61 may then be removed.
  • channel ions may be implanted into the active region 52 within the extended gate trench 65 a .
  • An insulated gate electrode (not shown) may be formed to fill the extended gate trench 65 a , and a source and a drain may be formed in a portion of the active region 52 , such that the recessed channel MOS transistor including rounded (e.g., curved) active corners may be fabricated.
  • undercut regions may be formed in regions where first to fourth sidewalls of a gate trench may be adjacent (e.g., in contact) to each other.
  • the undercut regions may provide a path through which an etching gas and/or etching solutions may flow in an isotropic etching process, which may reduce or prevent sharp silicon residues from being left on corners of the active region.
  • corners of the active region may be formed to include a curved or rounded shape.
  • the effective channel length may be increased by the extended gate trench.
  • the recessed channel MOS transistor including rounded (e.g., curved) active corners may be suitable for a high integration semiconductor device

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A transistor including an active region and methods thereof. The active region may include corners with at least one of a rectangular, curved or rounded shape. The methods may include isotropically etching at least a portion of the active region such that the portion includes a desired shape.

Description

    PRIORITY STATEMENT
  • This application claims the benefit of Korean Patent Application No. 2004-0052065, filed Jul. 5, 2004, the contents of which are hereby incorporated herein by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to a transistor and methods for fabricating the same, and more particularly to a transistor including an active region and methods for fabricating the same.
  • 2. Description of the Related Art
  • Semiconductor devices may include an integrated circuit. Integrated circuits may include discrete devices (e.g., transistors). Because semiconductor devices may require a higher level of integration, smaller transistor gate sizes may be required. The electrical characteristics of transistors with reduced gate sizes may degrade due to a short channel effect, which may result from the reduced size of the gate. Several conventional methods exist which may reduce the short channel effect. These methods include reducing a junction depth (e.g., of a source and a drain) and increasing an effective channel length. The two above-described methods may be implemented for a recessed channel metal oxide semiconductor (MOS) transistor at the same time.
  • FIG. 1 is a cross-sectional view illustrating a prior art method of fabricating a MOS transistor.
  • Referring to FIG. 1, a buffer layer pattern 110 and a mask pattern 115 may be formed in a region of a semiconductor substrate 100. The semiconductor substrate 100 may be etched using the mask pattern 115 as an etch mask to form a trench. After a liner 250 is formed on a sidewall of the trench, an insulating layer filling the trench and covering a surface of the semiconductor substrate 100 may be formed. The insulating layer may be patterned to form a gate opening. The active region exposed in the gate opening may be isotropically etched to form a gate trench. A deposition process, a planarization process, and an etchback process may then be used to form isolation layers 605 and 610, a gate insulating layer 450, and a gate electrode 615.
  • However, fences (e.g., Silicon (Si) fences) may remain on other sidewalls (not shown) of the gate trench when the gate trench is formed. Fences may reduce the effective channel length, which may increase the short channel effect.
  • FIG. 2A is a cross sectional view illustrating a conventional method of fabricating a MOS transistor.
  • FIG. 2B is a cross-sectional view taken along the line I-I′ of FIG. 2A.
  • FIG. 2C is a cross-sectional view taken along the line II-II′ of FIG. 2A.
  • Referring to FIGS. 2A, 2B, and 2C, an isolation layer 25 may be formed in a region of a semiconductor substrate 21 to define an active region 22. A buffer layer pattern 31 and a mask pattern 32 may be formed on the semiconductor substrate 21 including the isolation layer 25. The active region 22 may be etched using the mask pattern 32 as an etch mask to form a gate trench 33. Fences 34 and 35 may remain on sidewalls of the gate trench 33 adjacent to the isolation layers 25.
  • The electrical characteristics (e.g., current, voltage, etc.) of the recessed channel MOS transistor may be determined by characteristics (e.g., length, width, depth, etc.) of the gate trench 33. Increasing the depth of the gate trench 33 may reduce the short channel effect and gate trenches (e.g., gate trench 33) may be formed at higher depths.
  • Referring to FIGS. 2A and 2C, the fences 34 and 35 may be formed on sidewalls of the gate trench 33 in contact with the isolation layers 25. When the remaining fences 34 and 35 are present on the sidewalls of the gate trench 33, a channel may be formed in the Si fences 34 and 35. As shown in FIGS. 2A and 2C, the fences 34 and 35 may be at a lesser depth than the depth of the gate trench 33. Thus, because a channel is formed at a lesser depth than the depth of the gate trench 33, the effective channel length may be decreased.
  • FIG. 2D is a cross sectional view illustrating a later step in the conventional method of fabricating the MOS transistor of FIG. 2A.
  • Referring to FIG. 2D, the remaining fences 34 and 35 may be removed by isotropically etching the semiconductor substrate. An etching gas and/or etching solutions may be used for the isotropic etching. As a result, the exposed surfaces of the active region 22, including the remaining fences 34 and 35, may be etched. The etch rate at the center of the gate trench 33 may be different (e.g., slower) than the etching rate at the region A. The etch rate difference may cause the gate trench 33 to be deeper and/or wider (e.g., due to excessive etching) because the etching process may continue until the fences 34 and 35 may be removed (e.g., at the slower etching rate). This process may cause sharp silicon residues in the region A of the gate trench 33 as shown in FIG. 2D.
  • When the sharp silicon residues are present in the region A of the gate trench 33, a field enhancement effect may occur in the region A. The field enhancement effect may cause a gate induced drain leakage (GIDL) current. The data retention characteristics of a semiconductor device such as a Dynamic Random Access Memory (DRAM) may degrade in response to the GIDL current.
  • SUMMARY OF THE INVENTION
  • An example embodiment of the present invention is a method of fabricating a transistor including forming an isolation layer in a region of a semiconductor substrate to define an active region, etching a portion of the active region to form a gate trench crossing the active region, the gate trench being formed with first and second sidewalls facing each other and being adjacent to the isolation layer and with third and fourth sidewalls facing each other and adjacent to the active region, isotropically etching the isolation layer to form undercut regions where the first and second sidewalls are adjacent to the third and fourth sidewalls and removing fences from the first and second sidewalls while forming the gate trench.
  • Another example embodiment of the present invention is a method of fabricating a transistor, including forming an isolation trench in a region of a semiconductor substrate to define an active region, forming a sidewall oxide layer and a liner, the sidewall oxide layer and the liner being stacked on sidewalls of the active region, forming an isolation layer filling the isolation trench, forming a hard mask layer on at least one surface of the semiconductor substrate, patterning the hard mask layer to form a mask pattern, the mask pattern including an opening which crosses over a portion of the active region, etching the portion of the active region using the mask pattern as an etch mask to form a gate trench crossing the active region, the gate trench including first and second sidewalls facing each other and adjacent to the sidewall oxide layer, and third and fourth sidewalls facing each other and adjacent to the active region, isotropically etching the sidewall oxide layer to form undercut regions where the first, second, third and fourth sidewalls are adjacent to each other and removing fences on the first and second sidewalls while forming the gate trench.
  • Another example embodiment of the present invention is a transistor, including an active region formed on a semiconductor substrate, at least one portion of the active region including a desired shape.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will become more apparent by describing in detail example embodiments thereof with reference to the attached drawings in which:
  • FIG. 1 is a cross-sectional view illustrating a prior art method of fabricating a MOS transistor.
  • FIG. 2A is a cross sectional view illustrating a conventional method of fabricating a MOS transistor.
  • FIG. 2B is a cross-sectional view taken along the line I-I′ of FIG. 2A.
  • FIG. 2C is a cross-sectional view taken along the line II-II′ of FIG. 2A.
  • FIG. 2D is a cross sectional view illustrating a later step in the method of fabricating the conventional MOS transistor of FIG. 2A.
  • FIGS. 3A, 4A, 5A, and 6A are plan views illustrating methods of fabricating a recessed MOS transistor according to example embodiments of the present invention.
  • FIGS. 3B, 4B, 5B, and 6B are cross-sectional views taken along the line III-III′ of FIGS. 3A, 4A, 5A, and 6A, respectively.
  • FIGS. 3C, 4C, 5C, and 6C are cross-sectional views taken along the line V-V′ of FIGS. 3A, 4A, 5A, and 6A, respectively.
  • DETAILED DESCRIPTION OF THE EXAMPLE EMBODIMENTS OF THE PRESENT INVENTION
  • Hereinafter, example embodiments of the present invention will be described in detail with reference to the accompanying drawings. It is understood that characteristics (e.g., thicknesses of layers, regions, etc.) illustrated in the drawings are not drawn to scale.
  • In addition, when a layer is described to be formed on another layer and/or on a substrate, it is understood that this may indicate that the layer may be formed directly on the other layer or substrate, and/or any combination thereof indirectly (e.g., a third layer may be interposed between the layer and the other layer and/or the substrate), and/or any combination thereof.
  • In the Figures, the same reference numerals are used to denote the same elements throughout the drawings.
  • FIGS. 3A, 4A, 5A, and 6A are plan views illustrating methods of fabricating a recessed MOS transistor according to example embodiments of the present invention.
  • FIGS. 3B, 4B, 5B, and 6B are cross-sectional views taken along the line III-III′ of FIGS. 3A, 4A, 5A, and 6A, respectively.
  • FIGS. 3C, 4C, 5C, and 6C are cross-sectional views taken along the line V-V′ of FIGS. 3A, 4A, 5A, and 6A, respectively.
  • Referring to FIGS. 3A, 3B, and 3C, a trench mask pattern including a pad oxide layer and a pad nitride layer, which may be stacked, may be formed on a semiconductor substrate 51. A region of the semiconductor substrate 51 may be exposed.
  • In another example embodiment of the present invention, the pad oxide layer may relieve a physical stress (e.g., caused by a difference in a thermal expansion coefficient between the pad nitride layer and the semiconductor substrate 51), when the pad nitride layer is formed on the semiconductor substrate 51. The pad oxide layer may be formed by thermally oxidizing the semiconductor substrate 51. The pad nitride layer may include a material layer (e.g., a silicon nitride (SiN) layer) having etch selectivity to the semiconductor substrate 51.
  • In another example embodiment of the present invention, the semiconductor substrate 51 may be etched to form an isolation trench for defining an active region 52. The active region 52 may include various shapes (e.g., a positive sloped sidewall, a negative sloped sidewall, etc.) during formation. Hereinafter, for simplicity of explanation, the active region of the example embodiments of the present invention may be assumed to include a positive sloped sidewall. Thus, the width of the upper active region may be larger than the width of the lower active region in a plan view. However, it is understood that in other example embodiments, the active region 52 may include any shape (e.g., a negative sloped sidewall).
  • In another example embodiment of the present invention, a sidewall oxide layer 53 may be formed on an inner wall of the isolation trench. A liner 54 may be formed on the semiconductor substrate 51 (e.g., on the sidewall oxide layer 53). An insulating material layer may be formed on the semiconductor substrate 51 (e.g., on the liner 54), which may fill a space within the isolation trench and may cover a surface of the semiconductor substrate 51. The insulating material layer may be planarized to form an isolation layer 55.
  • In another example embodiment of the present invention, the planarization may be executed by a chemical mechanical polishing (CMP) process which may use the trench mask pattern as a stop layer.
  • In another example embodiment of the present invention, the sidewall oxide layer 53 may be a silicon oxide layer formed by thermal oxidation. The sidewall oxide layer may cover sidewalls of the active region 52. The sidewall oxide layer 53 may reduce damage in the semiconductor substrate 51 (e.g., damage created when the isolation trench is formed).
  • In another example embodiment of the present invention, the liner 54 may be formed of a material including oxidation resistant characteristics. For example, the liner 54 may be formed of a silicon nitride layer by a chemical vapor deposition (CVD) method.
  • In another example embodiment of the present invention, the isolation layer 55 may be formed of a material having etch selectivity to the semiconductor substrate 51. The isolation layer 55 may further include a material having gap filling characteristics and/or insulating characteristics. For example, the isolation layer 55 may include a silicon oxide layer (e.g., a high density plasma oxide layer) applied with a high density plasma chemical vapor deposition (HDPCVD) method. Oxide deposition and sputter etching may be applied repeatedly (e.g., alternating between oxide deposition and sputter etching) to form the high density plasma oxide layer, which may increase the filling characteristics of the layer. In addition, the high density plasma oxide layer may include a high etch selectivity to the semiconductor substrate 51. The trench mask pattern may be removed to expose the active region 52.
  • In another example embodiment of the present invention, the isolation layer 55 may be formed on the semiconductor substrate 51. The sidewall oxide layer 53 and the liner 54, which may be stacked, may be formed between the active region 52 and the isolation layer 55.
  • In another example embodiment of the present invention, a buffer layer and a hard mask layer may be formed on at least one surface of the semiconductor substrate 51 (e.g., on the isolation layer 55). The hard mask layer and the buffer layer may be patterned to form a mask pattern 62 and a buffer layer pattern 61. The mask pattern 62 and the buffer layer pattern 61 may include an opening 62 a over the active region 52. The opening 62 a may extend over the active region 52 and either or both of the isolation layers 55 adjacent to the active region 52 as shown in FIG. 3C. Portions of the active region 52 and/or the isolation layer 55 adjacent to the active region 52 may be exposed by the opening 62 a.
  • In another example embodiment of the present invention, the buffer layer pattern 61 may include the same material layer as the above-described sidewall oxide layer. In this example embodiment, the buffer layer pattern 61 may be formed of a silicon oxide layer by thermally oxidizing the semiconductor substrate 51. The buffer layer pattern 61 may relieve a physical stress (e.g., due to a difference in a thermal expansion coefficient between the mask pattern 62 and the semiconductor substrate 51). The mask pattern 62 may be formed of a material having etch selectivity to the semiconductor substrate 51. For example, the mask pattern 62 may be formed of a silicon oxynitride (SiON) layer by a CVD method.
  • In another example embodiment of the present invention, referring to FIGS. 4A, 4B, and 4C, the active region 52 may be etched using the mask pattern 62 as an etch mask to form a gate trench 65. The gate trench 65 may include a first sidewall 66 and a second sidewall 67 which may face each other and may be adjacent to (e.g., in contact with) the isolation layer 55. The gate trench 65 may further include a third sidewall 68 and a fourth sidewall 69 which may face each other and may be adjacent to (e.g., in contact with) the active region 52.
  • In another example embodiment of the present invention, the etching may be applied when a high etch selectivity may be set between the active region 52, the silicon oxide layer, the silicon nitride layer and/or the silicon oxynitride (SiON) layer. Thus, the active region 52 may be recessed to form the gate trench 65. In this case, fences (e.g., semiconductor fences, Si fences, etc.) may remain on the first sidewall 66 and/or the second sidewall 67.
  • In another example embodiment of the present invention, the electrical characteristics (e.g., current, voltage, etc.) of the recessed channel MOS transistor may be determined by the length L1, the width W1, and/or the depth D1 of the gate trench 65. As the depth D1 of the gate trench 65 increases, the effective channel length may also increase. As previously discussed, a higher effective channel length may reduce the short channel effect.
  • In another example embodiment of the present invention, the fences may be formed along the sidewall oxide layer 53 in a longitudinal direction L1 with respect to the first and second sidewalls 66 and 67 of the gate trench 65 as shown in FIGS. 4A and 4B. When the formation of the sidewall oxide layer 53 and the liner 54 is not performed, the fences may be formed along the isolation layer 55. When the fences remain on the first and second sidewalls 66 and 67 of the gate trench 65, a channel may also be formed at the fences. When the channel is formed at the fences, the effective channel length may be decreased, which may increase the short channel effect.
  • In another example embodiment of the present invention, referring to FIGS. 5A, 5B, and 5C, the isolation layer 55 may be isotropically etched to form undercut regions (e.g., in region B) where the first to fourth sidewalls 66, 67, 68, and 69 may be adjacent (e.g., in contact with) each other.
  • In another example embodiment of the present invention, the isotropic etching may be executed with a dry etching method or a wet etching method. The dry etching or wet etching may be carried out such that high etch selectivity may be set with respect to a silicon oxide layer. When the undercut regions are formed by the wet etching method, a wet cleaning solution including hydrofluoric acid (HF) may be used. The wet cleaning solution containing HF may have high etch selectivity with respect to the silicon oxide layer.
  • In another example embodiment of the present invention, while the isolation layer 55 is isotropically etched, the buffer layer pattern 61 may be formed including a silicon oxide layer and may be etched together to form undercut regions below the mask pattern 62.
  • The sidewall oxide layer 53 may be isotropically etched to form the undercut regions (e.g., in the regions B) where the first to fourth sidewalls 66, 67, 68, and 69 may be adjacent to (e.g., in contact with) each other when the sidewall oxide layer 53 and the liner 54 are formed. Etching may be performed on all exposed portions of the sidewall oxide layer 53 such that empty spaces S may be formed between the liner 54 and the fences. The empty spaces S may penetrate the regions (e.g., the regions B) where the first to fourth sidewalls 66, 67, 68, and 69 may be adjacent to (e.g., in contact with) each other to extend up to some regions of the sidewalls of the active region 52.
  • In another example embodiment of the present invention, referring to FIGS. 6A, 6B, and 6C, the semiconductor substrate may be isotropically etched to remove the fences. As a result, the fences on the active region 52 may be removed, and an extended gate trench 65 a (e.g., with an increased effective channel length) may be formed.
  • In another example embodiment of the present invention, the isotropic etching may be executed by a dry etching method and/or a wet etching method. When the fences are removed by the wet etching method, a solution mixed with NH4OH, H2O2, and H2O may be used. The mixed solution may have an oxidation reaction caused by H2O2 and/or an etching reaction by NH4OH. The oxidation reaction and the etching reaction may occur at the same time. NH4OH may etch the silicon at a higher etching rate. A surface oxidation reaction by the H2O2 may reduce a roughness of the silicon surface.
  • In another example embodiment of the present invention, the undercut regions and the empty spaces S may provide a path through which the etching gas and/or etching solutions may flow, such that the fences may be removed as shown in FIG. 6C. The regions B where the first to fourth sidewalls 66, 67, 68, and 69 of the gate trench 65 may be adjacent to (e.g., in contact with) each other may include different etch rates. However, the regions where the first to fourth sidewalls 66, 67, 68, and 69 of the extended gate trench 65 a may be adjacent to (e.g., in contact with) each other may include corners (e.g., corner B′) of the active region 52 which may not include sharp silicon residues. Further, the corners (e.g., corner B′) of the active region 52, which may be adjacent to the undercut regions, may be isotropically etched while the fences are removed, such that the corners (e.g., corner B′) may be formed to have a curved shape (e.g., a rounded shape).
  • In another example embodiment of the present invention, when the depth and width of the undercut regions and the empty spaces S are varied, the corners (e.g., corner B′) of the active region 52 may be formed at a desired shape. For example, the corner B′ of the active region 52 may be formed to have curved shapes or rectangular shapes. Further, corners (e.g., corner C′ as shown in FIG. 6B) where the upper surface of the active region 52 and the third sidewall 68 and the fourth sidewall 69 of the extended gate trench 65 a may be adjacent to (e.g., in contact) with each other may also be formed to have a curved shape. The mask pattern 62 and the buffer layer pattern 61 may then be removed.
  • In another example embodiment of the present invention, channel ions may be implanted into the active region 52 within the extended gate trench 65 a. An insulated gate electrode (not shown) may be formed to fill the extended gate trench 65 a, and a source and a drain may be formed in a portion of the active region 52, such that the recessed channel MOS transistor including rounded (e.g., curved) active corners may be fabricated.
  • In another example embodiment of the present invention, undercut regions may be formed in regions where first to fourth sidewalls of a gate trench may be adjacent (e.g., in contact) to each other. The undercut regions may provide a path through which an etching gas and/or etching solutions may flow in an isotropic etching process, which may reduce or prevent sharp silicon residues from being left on corners of the active region. Further, corners of the active region may be formed to include a curved or rounded shape. Thus, the effective channel length may be increased by the extended gate trench. In one example, the recessed channel MOS transistor including rounded (e.g., curved) active corners may be suitable for a high integration semiconductor device
  • The example embodiments of the present invention being thus described, it will be obvious that the same may be varied in many ways. For example, while corners of the above-described example embodiments formed to a given shape (e.g., curved, rounded, rectangular, etc.) it is understood that the corners may be formed to include any shape. Further, while each of the corners in the above-described example embodiments include the same shape (e.g., curved, rectangular, etc.), it is understood that corners do not require the same shape. Thus, in another example, one corner may have a rectangular shape and another corner may have a curved shape.
  • Such variations are not to be regarded as departure from the spirit and scope of the example embodiments of the present invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.

Claims (10)

1-37. (canceled)
38. A transistor, comprising:
an active region formed on a semiconductor substrate, at least one portion of the active region including a desired shape.
39. The transistor of claim 38, wherein the transistor is a recessed channel metal oxide semiconductor (MOS) transistor.
40. The transistor of claim 38, wherein the desired shape is at least one of a curved shape and a rectangular shape.
41. The transistor of claim 38, wherein the at least one portion includes an edge of the active region.
42. The transistor of claim 41, wherein the edge is between adjacent sidewalls of the active region.
43. The transistor of claim 41, wherein the edge is between a sidewall of the active region and a surface of the active region, the surface not being located within an isolation trench.
44. The transistor of claim 38, wherein the portion is a corner.
45. The transistor of claim 44, wherein the corner is between adjacent sidewalls of the active region.
46. A method of fabricating the transistor of claim 38.
US12/289,393 2004-07-05 2008-10-27 Transistor including an active region and methods for fabricating the same Abandoned US20090127635A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/289,393 US20090127635A1 (en) 2004-07-05 2008-10-27 Transistor including an active region and methods for fabricating the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020040052065A KR100615570B1 (en) 2004-07-05 2004-07-05 method of fabricating a recessed channel MOS transistor having rounded active corners
KR2004-52065 2004-07-05
US11/100,596 US7462544B2 (en) 2004-07-05 2005-04-07 Methods for fabricating transistors having trench gates
US12/289,393 US20090127635A1 (en) 2004-07-05 2008-10-27 Transistor including an active region and methods for fabricating the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/100,596 Division US7462544B2 (en) 2004-07-05 2005-04-07 Methods for fabricating transistors having trench gates

Publications (1)

Publication Number Publication Date
US20090127635A1 true US20090127635A1 (en) 2009-05-21

Family

ID=35513007

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/100,596 Active 2025-10-21 US7462544B2 (en) 2004-07-05 2005-04-07 Methods for fabricating transistors having trench gates
US12/289,393 Abandoned US20090127635A1 (en) 2004-07-05 2008-10-27 Transistor including an active region and methods for fabricating the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/100,596 Active 2025-10-21 US7462544B2 (en) 2004-07-05 2005-04-07 Methods for fabricating transistors having trench gates

Country Status (2)

Country Link
US (2) US7462544B2 (en)
KR (1) KR100615570B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080157391A1 (en) * 2002-07-26 2008-07-03 Yong Guen Lee RF semiconductor devices and methods for fabricating the same
US20090130818A1 (en) * 2007-11-21 2009-05-21 Promos Technologies Inc. Method for forming shallow trench isolation structure and method for preparing recessed gate structure using the same

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100704472B1 (en) * 2004-12-29 2007-04-10 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
KR100745934B1 (en) * 2006-06-30 2007-08-02 주식회사 하이닉스반도체 Semiconductor device and method for forming the same
KR100724578B1 (en) * 2006-08-04 2007-06-04 삼성전자주식회사 Method of fabricating semiconductor device having buried gate
US7645671B2 (en) 2006-11-13 2010-01-12 Micron Technology, Inc. Recessed access device for a memory
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100955935B1 (en) * 2007-12-21 2010-05-03 주식회사 하이닉스반도체 Method for forming isolation layer of semicontuctor device
KR20100052167A (en) * 2008-11-10 2010-05-19 삼성전자주식회사 Method and apparatus for bonding wafers
US9159808B2 (en) * 2009-01-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etch-back process for semiconductor devices
KR101751482B1 (en) 2011-03-08 2017-06-29 삼성전자주식회사 Method of fabricating a semiconductor device including a recess channel

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5858866A (en) * 1996-11-22 1999-01-12 International Business Machines Corportation Geometrical control of device corner threshold
US6277707B1 (en) * 1998-12-16 2001-08-21 Lsi Logic Corporation Method of manufacturing semiconductor device having a recessed gate structure
US20020094622A1 (en) * 2000-09-27 2002-07-18 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6627950B1 (en) * 1988-12-27 2003-09-30 Siliconix, Incorporated Trench DMOS power transistor with field-shaping body profile and three-dimensional geometry
US20050042833A1 (en) * 2003-08-20 2005-02-24 Jong-Chul Park Method of manufacturing integrated circuit device including recessed channel transistor
US20050126616A1 (en) * 1999-06-07 2005-06-16 Yu Zheng Collapsible structures

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010046211A (en) * 1999-11-11 2001-06-05 윤종용 method for fabricating semiconductor device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
KR20020055147A (en) * 2000-12-28 2002-07-08 박종섭 Method for manufacturing semiconductor device
KR100518606B1 (en) * 2003-12-19 2005-10-04 삼성전자주식회사 Method for fabricating a recess channel array transistor using a mask layer having high etch selectivity for silicon substrate
US7037792B2 (en) * 2004-06-25 2006-05-02 Promos Technologies, Inc. Formation of removable shroud by anisotropic plasma etch

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627950B1 (en) * 1988-12-27 2003-09-30 Siliconix, Incorporated Trench DMOS power transistor with field-shaping body profile and three-dimensional geometry
US5858866A (en) * 1996-11-22 1999-01-12 International Business Machines Corportation Geometrical control of device corner threshold
US6277707B1 (en) * 1998-12-16 2001-08-21 Lsi Logic Corporation Method of manufacturing semiconductor device having a recessed gate structure
US20050126616A1 (en) * 1999-06-07 2005-06-16 Yu Zheng Collapsible structures
US20020094622A1 (en) * 2000-09-27 2002-07-18 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US20050042833A1 (en) * 2003-08-20 2005-02-24 Jong-Chul Park Method of manufacturing integrated circuit device including recessed channel transistor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080157391A1 (en) * 2002-07-26 2008-07-03 Yong Guen Lee RF semiconductor devices and methods for fabricating the same
US20090130818A1 (en) * 2007-11-21 2009-05-21 Promos Technologies Inc. Method for forming shallow trench isolation structure and method for preparing recessed gate structure using the same

Also Published As

Publication number Publication date
KR100615570B1 (en) 2006-08-25
US7462544B2 (en) 2008-12-09
US20060001107A1 (en) 2006-01-05
KR20060003251A (en) 2006-01-10

Similar Documents

Publication Publication Date Title
US7462544B2 (en) Methods for fabricating transistors having trench gates
US7709346B2 (en) Semiconductor device with trench gate type transistor and method of manufacturing the same
US7541656B2 (en) Semiconductor devices with enlarged recessed gate electrodes
KR100739653B1 (en) Fin field effect transistor and method for forming the same
KR100763337B1 (en) Semiconductor device having buried gate line and method of fabricating the same
US20070132015A1 (en) Semiconductor device and manufacturing method thereof
US8058141B2 (en) Recessed gate electrode MOS transistor and method for fabricating the same
US7611950B2 (en) Method for forming shallow trench isolation in semiconductor device
CN101656226A (en) Method for forming shallow trench isolation structure
KR100505713B1 (en) Shallow trench isolation and method for forming the same
US7701016B2 (en) Semiconductor device having device characteristics improved by straining surface of active region and its manufacture method
JP2008042163A (en) Method of manufacturing semiconductor element having buried gate
KR100487657B1 (en) mos transistor with recessed gate and method of fabricating the same
US7816208B2 (en) Method of manufacturing semiconductor device having trench-gate transistor
KR100683490B1 (en) Method for manufacturing field effect transistor having vertical channel
CN110164970B (en) Semiconductor device and method for manufacturing the same
KR20050106306A (en) Method of fabricating a finfet having rounded active corners
KR100732269B1 (en) Semiconductor device and method for fabricating the same
KR100629694B1 (en) Method for manufacturing semiconductor device
KR100560664B1 (en) Semiconductor Device Having Embedded insulating Pattern And Method Of Fabricating The Same
KR101045374B1 (en) Method for fabricating gate of transistor
JP2002100673A (en) Manufacturing method of semiconductor device
KR19990085772A (en) Shallow Trench Isolation Method for Semiconductor Devices
KR20060057162A (en) Method for manufacturing semiconductor device
KR19980072922A (en) Method of manufacturing a semiconductor device having a trench isolation structure

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION