KR100732269B1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
KR100732269B1
KR100732269B1 KR1020060010802A KR20060010802A KR100732269B1 KR 100732269 B1 KR100732269 B1 KR 100732269B1 KR 1020060010802 A KR1020060010802 A KR 1020060010802A KR 20060010802 A KR20060010802 A KR 20060010802A KR 100732269 B1 KR100732269 B1 KR 100732269B1
Authority
KR
South Korea
Prior art keywords
gate
layer
semiconductor substrate
forming
semiconductor device
Prior art date
Application number
KR1020060010802A
Other languages
Korean (ko)
Inventor
정성웅
이상돈
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020060010802A priority Critical patent/KR100732269B1/en
Application granted granted Critical
Publication of KR100732269B1 publication Critical patent/KR100732269B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

A semiconductor device and its manufacturing method are provided to uniformly maintain a threshold voltage by forming an active region of a source/drain region lower than an active region of a gate lower portion. An isolation layer(130) is formed in a semiconductor substrate(110) and defines an active region. A recess channel region of SIO(Silicon-on-Insulator) structure is formed in the active region and adjoins a sidewall of the isolation layer. The recess channel region includes a gate dielectric(160) formed by using gas including O2, H2O, O3, and mixture thereof. A gate structure(190) is formed on an upper portion of the recess channel region of a gate region. A source/drain region(205) is formed on the semiconductor substrate which is etched between the gate structures.

Description

반도체 소자 및 그의 제조 방법 {SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}Semiconductor device and manufacturing method thereof {SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}

도 1은 종래 기술에 따른 반도체 소자의 레이아웃.1 is a layout of a semiconductor device according to the prior art.

도 2a 내지 2c 및 도 3은 종래 기술에 따른 반도체 소자의 제조 방법을 도시한 단면도들.2A to 2C and 3 are cross-sectional views showing a method of manufacturing a semiconductor device according to the prior art.

도 4는 본 발명의 실시 예에 따른 반도체 소자의 레이아웃.4 is a layout of a semiconductor device in accordance with an embodiment of the present invention.

도 5 및 7은 본 발명의 실시 예에 따른 반도체 소자의 단면도들.5 and 7 are cross-sectional views of a semiconductor device in accordance with an embodiment of the present invention.

도 6a 내지 6j는 본 발명의 실시 예에 따른 반도체 소자의 제조 방법을 도시한 단면도들.6A through 6J are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

도 8은 본 발명의 실시 예에 따른 반도체 소자의 3차원 단면도.8 is a three-dimensional cross-sectional view of a semiconductor device in accordance with an embodiment of the present invention.

본 발명은 반도체 소자 및 그의 제조 방법에 관한 것으로, 특히 SOI(Silicon-on-Insulator) 구조를 포함하는 리세스 채널 영역을 형성하도록 반도체 소자를 설계함으로써, 유효 채널 면적을 늘려 단 채널 효과(Short channel effect)를 개선할 수 있고, 소스/드레인 영역의 상부를 게이트 하부의 반도체 기판 보다 낮게 형성하도록 소자를 설계함으로써, 전기장이 집중되는 SOI 채널 상부 지역을 소스/드레인으로부터 멀게 하여 문턱 전압을 균일하게 유지할 수 있는 반도체 소자 및 그의 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method for manufacturing the same, and in particular, by designing a semiconductor device to form a recess channel region including a silicon-on-insulator (SOI) structure, the short channel effect is increased by increasing the effective channel area. by designing the device so that the upper portion of the source / drain region is lower than the semiconductor substrate under the gate, so that the upper region of the SOI channel where the electric field is concentrated is kept away from the source / drain to maintain the threshold voltage uniformly. And a method for manufacturing the same.

일반적으로, 셀 트랜지스터의 채널 길이가 감소할수록 셀 트랜지스터의 문턱 전압을 맞추기 위하여 셀 채널의 이온 농도를 증가시키고, 이로 인하여 소스/드레인 영역의 전계가 증가되어 누설 전류가 증가함으로 DRAM의 리프레쉬 특성은 나빠진다. 따라서, 셀 트랜지스터의 채널 길이를 늘이기 위하여 다음과 같은 반도체 소자의 구조가 제안되었다.In general, as the channel length of the cell transistor decreases, the ion concentration of the cell channel is increased to meet the threshold voltage of the cell transistor, and as a result, the electric field of the source / drain region is increased, thereby increasing the leakage current. Falls out. Therefore, the following semiconductor device structure has been proposed in order to increase the channel length of the cell transistor.

도 1은 종래 기술에 따른 소자 분리막(30)에 의해 정의되는 활성 영역(1) 및 게이트 영역(3)을 도시한 반도체 소자의 레이아웃이다.1 is a layout of a semiconductor device showing an active region 1 and a gate region 3 defined by a device isolation film 30 according to the prior art.

도 2a 내지 2c는 종래 기술에 따른 반도체 소자의 제조 방법을 도시한 단면도들로서, 도 2a 내지 2c는 도 1의 I-I'을 따른 단면도들이다.2A through 2C are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art, and FIGS. 2A through 2C are cross-sectional views taken along line II ′ of FIG. 1.

도 2a를 참조하면, 패드 절연막(미도시)을 구비한 반도체 기판(10)을 소자 분리 마스크(미도시)로 식각하여 핀 형(Fin-type) 활성 영역(20)을 정의하는 트렌치(미도시)를 형성한다. 다음으로, 트렌치를 매립하는 소자 분리용 절연막(미도시)을 형성한 후, 패드 절연막을 노출할 때까지 소자 분리용 절연막을 평탄화 식각하여 소자 분리막(30)을 형성한다. 이후, 패드 절연막을 제거하고 핀 형 활성 영역(20)의 상부 표면을 노출한다.Referring to FIG. 2A, a trench (not shown) defining a fin-type active region 20 by etching a semiconductor substrate 10 having a pad insulating film (not shown) with an element isolation mask (not shown). ). Next, after forming a device isolation insulating film (not shown) filling the trench, the device isolation film 30 is formed by planarizing etching of the device isolation insulating film until the pad insulating film is exposed. Thereafter, the pad insulating film is removed and the upper surface of the fin type active region 20 is exposed.

도 2b를 참조하면, 도 1의 게이트 영역(3)을 정의하는 리세스 게이트 마스크(미도시)를 식각 마스크로 소자 분리막(30)을 소정 두께 제거하여 핀 형 활성 영역 (20)을 소자 분리막(30) 상부로 돌출시킨다.Referring to FIG. 2B, the device isolation layer 30 is removed by using a recess gate mask (not shown) defining the gate region 3 of FIG. 1 as an etch mask to remove the fin type active region 20 from the device isolation layer ( 30) Protrude upwards.

도 2c를 참조하면, 돌출된 핀 형 활성 영역(20) 상부에 게이트 절연막(60)을 형성한 후, 도 1의 게이트 영역(3)에 돌출된 핀 형 활성 영역(20)을 매립하는 평탄화된 게이트 전극(70)과 그 상부에 게이트 하드 마스크층 패턴(80)을 형성하여 핀 형 활성 영역(20)의 측벽과 상부의 채널 영역을 매립하는 게이트 전극(70)과 게이트 하드 마스크층 패턴(80)의 적층구조로 이루어진 게이트 구조물(90)을 형성한다.Referring to FIG. 2C, after the gate insulating layer 60 is formed on the protruding fin-type active region 20, the planarized fin is filled with the protruding fin-type active region 20 in the gate region 3 of FIG. 1. The gate electrode 70 and the gate hard mask layer pattern 80 are formed on the gate electrode 70 and the gate hard mask layer pattern 80 to fill the sidewalls and the upper channel region of the fin type active region 20. The gate structure 90 formed of a stacked structure of () is formed.

도 3은 종래 기술에 따른 반도체 소자의 단면도이다.3 is a cross-sectional view of a semiconductor device according to the prior art.

도 3을 참조하면, 게이트에 문턱 전압 이상의 전압을 인가하면, 게이트 절연막(60) 하부의 반도체 기판에 역전층(IL)과 공핍층(DR)을 형성한다.Referring to FIG. 3, when a voltage equal to or higher than a threshold voltage is applied to a gate, an inversion layer IL and a depletion layer DR are formed on a semiconductor substrate under the gate insulating layer 60.

그러나 상술한 반도체 소자의 제조 방법에 따르면, 소자 분리막을 식각하여 돌출된 핀 형 활성 영역을 형성함으로써, 불산(HF)을 포함하는 후속 세정 공정에 의해 돌출된 핀 형 활성 영역은 필연적으로 손실이 발생한다. 따라서, CD 증가 및 이에 따른 게이트 패터닝 난이도 증가로 인하여 소자 제조에 어려움이 있는 문제가 있다.However, according to the above-described method for manufacturing a semiconductor device, by etching the device isolation film to form a protruding fin type active region, the fin type active region protruding by a subsequent cleaning process including hydrofluoric acid (HF) inevitably causes loss. do. Accordingly, there is a problem in that device fabrication is difficult due to an increase in CD and an increase in difficulty of gate patterning.

본 발명은 상기와 같은 문제점을 해결하기 위한 것으로서, 특히 SOI(Silicon-on-Insulator) 구조를 포함하는 리세스 채널 영역을 형성하도록 반도체 소자를 설계함으로써, 유효 채널 면적을 늘려 단 채널 효과(Short channel effect)를 개선할 수 있고, 소스/드레인 영역의 상부를 게이트 하부의 반도체 기판보다 낮게 형성하도록 소자를 설계함으로써, 전기장이 집중되는 SOI 채널 상부 지 역을 소스/드레인으로부터 멀게 하여 문턱 전압을 균일하게 유지할 수 있는 반도체 소자 및 그의 제조 방법을 제공함에 있다.SUMMARY OF THE INVENTION The present invention has been made to solve the above problems, and in particular, by designing a semiconductor device to form a recess channel region including a silicon-on-insulator (SOI) structure, an effective channel area is increased to short channel effects. By designing the device so that the upper portion of the source / drain region is lower than the semiconductor substrate under the gate, the upper region of the SOI channel where the electric field is concentrated is kept away from the source / drain, so that the threshold voltage is uniform. The present invention provides a sustainable semiconductor device and a method of manufacturing the same.

본 발명은 상기와 같은 목적을 달성하기 위한 것으로서, 본 발명에 따른 반도체 소자는,
반도체 기판 내에 형성되며 활성 영역을 정의하는 소자 분리막;
상기 활성 영역 내에 형성되며, 상기 소자 분리막 측벽에 접하고 02, H2O, O3 및 이들의 조합을 포함하는 가스를 이용하여 형성된 게이트 절연막을 포함하는 SOI(Silicon-on-Insulator) 구조의 리세스 채널 영역;
게이트 영역의 상기 리세스 채널 영역 상부에 형성되는 게이트 구조물;
The present invention is to achieve the above object, the semiconductor device according to the present invention,
An isolation layer formed in the semiconductor substrate and defining an active region;
A silicon-on-insulator (SOI) structure is formed in the active region and includes a gate insulating layer formed in contact with a sidewall of the device isolation layer and formed using a gas including 0 2 , H 2 O, O 3, and a combination thereof. A set channel region;
A gate structure formed over the recess channel region of the gate region;

상기 게이트 구조물 사이로 소정 두께 식각된 반도체 기판에 형성되는 소스/드레인 영역을 포함하는 것을 특징으로 한다.And a source / drain region formed on the semiconductor substrate etched between the gate structures by a predetermined thickness.

또한, 본 발명에 따른 반도체 소자의 제조 방법은,Moreover, the manufacturing method of the semiconductor element which concerns on this invention is

(a) 패드 절연막을 구비한 반도체 기판에 활성 영역을 정의하는 소자 분리막을 형성하는 단계와, (b) 리세스 게이트 마스크로 패드 절연막을 식각하여 리세스 영역의 반도체 기판을 노출하는 패드 절연막 패턴을 형성하는 단계와, (c) 패드 절연막 패턴의 측벽에 스페이서를 형성하는 단계와, (d) 스페이서와 패드 절연막 패턴을 식각 마스크로 리세스 영역 하부에 노출된 반도체 기판을 소정 두께 식각하여 리세스를 형성하는 단계와, (e) 스페이서와 패드 절연막 패턴을 제거하여 반도체 기판을 노출하는 단계와, (f) 상기 리세스를 포함하는 상기 노출된 반도체 기판의 상부에 02, H2O, O3 및 이들의 조합을 포함하는 가스를 이용하여 게이트 절연막을 형성하는 단계와, (g) 전체 표면 상부에 리세스를 매립하는 평탄화된 게이트 도전층과 게이트 하드 마스크층을 형성하는 단계와, (h) 게이트 마스크를 식각 마스크로 게이트 하드 마스크층 및 게이트 도전층을 패터닝하여 게이트 구조물을 형성하는 단계와, (i) 게이트 구조물 사이의 반도체 기판을 소정 두께 식각한 후, 불순물 이온 주입 공정을 수행하여 식각된 반도체 기판에 소스/드레인 영역을 형성하는 단계를 포함하는 것을 특징으로 한다.(a) forming an isolation layer defining an active region in the semiconductor substrate including the pad insulating film, and (b) etching the pad insulating film with the recess gate mask to expose the pad insulating film to expose the semiconductor substrate in the recess region. Forming a spacer, and (c) forming a spacer on the sidewalls of the pad insulating film pattern, and (d) etching the semiconductor substrate exposed to the lower portion of the recess region using the spacer and the pad insulating film pattern by an etching mask to a predetermined thickness. Forming a semiconductor substrate, (e) removing the spacer and pad insulating film patterns to expose the semiconductor substrate, and (f) forming a top surface of the exposed semiconductor substrate including the recess 0 2 , H 2 O, O 3. Forming a gate insulating film using a gas comprising a combination thereof; and (g) a planarized gate conductive layer and a gate hard mask filling a recess over the entire surface. Forming a gate structure by forming a gate hard mask layer and a gate conductive layer using the gate mask as an etching mask, and (i) etching a semiconductor substrate between the gate structures to a predetermined thickness; And forming a source / drain region on the etched semiconductor substrate by performing an impurity ion implantation process.

이하에서는 본 발명의 실시 예를 첨부한 도면을 참조하여 상세히 설명하기로 한다.Hereinafter, with reference to the accompanying drawings an embodiment of the present invention will be described in detail.

도 4는 본 발명의 일 실시 예에 따라 소자 분리막(130)에 의해 정의되는 활성 영역(101) 및 게이트 영역(103)을 도시한 반도체 소자의 레이아웃이다.4 is a layout of a semiconductor device illustrating an active region 101 and a gate region 103 defined by an isolation layer 130, according to an exemplary embodiment.

도 5는 본 발명의 일 실시 예에 따른 반도체 소자의 단면도들이며, 도 5(i)는 도 4의 I-I'을 따른 단면도이고, 도 5(ii)는 도 4의 II-II'을 따른 단면도이다.5 is a cross-sectional view illustrating a semiconductor device in accordance with an embodiment of the present invention, FIG. 5 (i) is a cross-sectional view taken along line II ′ of FIG. 4, and FIG. 5 (ii) is a cross-sectional view taken along line II-II ′ of FIG. 4. It is a cross section.

도 5를 참조하면, 소자 분리막(130)에 의해 정의되는 활성 영역 내에 위치되며, 소정 두께의 반도체 기판(110)을 식각하여 리세스 채널 영역(미도시)을 형성하되, 리세스 채널 영역은 도 4의 게이트 영역(103)의 길이 방향에서 SOI(Silicon-on-Insulator) 구조로 형성된다. 또한, 도 4의 게이트 영역(103)의 리세스 채널 영역 상부에 게이트 전극(170)과 게이트 하드 마스크층 패턴(180)의 적층구조로 이루어진 게이트 구조물(190)을 형성한다. 그리고 게이트 구조물(190) 사이의 소정 두께 식각된 반도체 기판(110)에 소스/드레인 영역(205)을 형성하여, 소스/드레인 영역(205) 상부는 게이트 구조물(190) 하부의 반도체 기판(110)보다 낮은 곳에 위치한다. 한편, 게이트 구조물(190)의 측벽에 게이트 스페이서(195)를 형성한다. 여기서, 리세스 채널 영역에서 반도체 기판(110)의 식각된 깊이는 50 내지 500nm이며, 소스/드레인 영역(205)에서 반도체 기판(110)의 식각된 깊이는 10 내지 200nm인 것이 바람직하다. 따라서, 본 발명에 따른 반도체 소자는 소자 분리막에 접한 SOI 구조를 포함하는 리세스 채널 영역을 형성하여 단 채널 효과를 개선할 수 있고, 전계가 집중되는 게이트 하부를 소스/드레인 영역(205)으로부터 멀리 위치시켜 반도체 소자의 문턱 전압을 용이하게 제어할 수 있다.Referring to FIG. 5, a recess channel region (not shown) is formed by etching a semiconductor substrate 110 having a predetermined thickness and positioned in an active region defined by the device isolation layer 130. In the longitudinal direction of the gate region 103 of 4, a silicon-on-insulator (SOI) structure is formed. In addition, a gate structure 190 including a stacked structure of the gate electrode 170 and the gate hard mask layer pattern 180 is formed on the recess channel region of the gate region 103 of FIG. 4. In addition, a source / drain region 205 is formed in the semiconductor substrate 110 etched between the gate structures 190 so that the upper portion of the source / drain region 205 is disposed on the semiconductor substrate 110 under the gate structure 190. Is located lower. Meanwhile, the gate spacer 195 is formed on the sidewall of the gate structure 190. The etched depth of the semiconductor substrate 110 in the recess channel region is 50 to 500 nm, and the etched depth of the semiconductor substrate 110 in the source / drain region 205 is 10 to 200 nm. Accordingly, the semiconductor device according to the present invention can improve the short channel effect by forming a recess channel region including an SOI structure in contact with the device isolation layer, and keep the gate under which the electric field is concentrated away from the source / drain region 205. The threshold voltage of the semiconductor device can be easily controlled by locating it.

도 7은 본 발명의 일 실시 예에 따른 반도체 소자의 단면도이며, 게이트 구조물(190) 하부의 리세스 채널 영역을 자세히 나타내고 있다.7 is a cross-sectional view of a semiconductor device according to an exemplary embodiment of the present disclosure, and illustrates a recess channel region under the gate structure 190 in detail.

도 7을 참조하면, 리세스 채널 영역은 상부 채널 영역(L1), SOI(Silicon-on-Insulator) 구조를 포함하는 측벽 채널 영역(L2) 및 하부 채널 영역(L2)으로 이루어진다. 여기서, 문턱 전압 이상의 전압을 게이트에 인가하면 게이트 절연막(160) 하부의 반도체 기판(110)에 역전층(IL)과 공핍층(DR)을 형성한다. 이때, 소자 분리막(130)과 게이트 전극(170) 사이에서 SOI 구조를 포함하는 측벽 채널 영역(L2)은 완전 공핍층으로 변환되어 단 채널 효과에 매우 우수하다. Referring to FIG. 7, the recess channel region includes an upper channel region L1, a sidewall channel region L2 including a silicon-on-insulator (SOI) structure, and a lower channel region L2. In this case, when a voltage having a threshold voltage or more is applied to the gate, an inversion layer IL and a depletion layer DR are formed on the semiconductor substrate 110 under the gate insulating layer 160. In this case, the sidewall channel region L2 including the SOI structure between the device isolation layer 130 and the gate electrode 170 is converted into a complete depletion layer, which is excellent in the short channel effect.

도 8은 본 발명의 일 실시 예에 따른 반도체 소자의 3차원 단면도이다.8 is a three-dimensional cross-sectional view of a semiconductor device according to an embodiment of the present disclosure.

도 8을 참조하면, 게이트에 의한 전계가 집중되는 상부 채널 영역(L1)을 소스/드레인 영역(205)으로부터 멀리 위치하도록 소정 두께 식각된 반도체 기판(110)에 소스/드레인 영역(205)을 형성한다. 따라서, 본 발명에 따른 반도체 소자는 문턱 전압을 용이하게 제어할 수 있다.Referring to FIG. 8, the source / drain regions 205 are formed on the semiconductor substrate 110 etched to a predetermined thickness so that the upper channel region L1 where the electric field by the gate is concentrated is located away from the source / drain regions 205. do. Therefore, the semiconductor device according to the present invention can easily control the threshold voltage.

도 6a 내지 6j는 본 발명의 일 실시 예에 따른 반도체 소자의 제조 방법을 도시한 단면도들이며, 도 6a(i) 내지 6j(i)는 도 5의 I-I'를 따른 단면도들이고, 도 6a(ii) 내지 6j(ii)는 도 5의 II-II'를 따른 단면도들이다.6A to 6J are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention, and FIGS. 6A (i) to 6J (i) are cross-sectional views taken along line II ′ of FIG. 5, and FIG. ii) to 6j (ii) are sectional views along II-II 'of FIG.

도 6a를 참조하면, 패드 산화막(113)과 패드 질화막(115)을 형성된 반도체 기판(110)을 소자 분리용 마스크로 패드 질화막(115), 패드 산화막(113) 및 소정 두께의 반도체 기판(110)을 식각하여 트렌치(미도시)를 형성한다. 다음으로, 트렌치를 매립하는 소자 분리용 절연막(미도시)을 형성한 후, 패드 질화막(115)을 노출할 때까지 CMP 방법으로 평탄화하여 소자 분리막(130)을 형성한다. 여기서, 소자 분리용 절연막은 실리콘 산화막인 것이 바람직하다.Referring to FIG. 6A, a pad nitride film 115, a pad oxide film 113, and a semiconductor substrate 110 having a predetermined thickness are used as a device isolation mask using a semiconductor substrate 110 on which a pad oxide film 113 and a pad nitride film 115 are formed. To form a trench (not shown). Next, after forming an isolation layer (not shown) for filling the trench, the device isolation layer 130 is formed by planarization by the CMP method until the pad nitride layer 115 is exposed. Here, it is preferable that the insulating film for element isolation is a silicon oxide film.

도 6b를 참조하면, 도 4의 게이트 영역(103)을 정의하는 리세스 게이트 마스크(미도시)를 식각 마스크로 패드 질화막(115)과 패드 산화막(113)을 식각하여 리세스 영역(135)의 반도체 기판(110)을 노출하는 패드 산화막 패턴(113a)과 패드 질화막 패턴(115a)의 적층구조를 형성한다.Referring to FIG. 6B, the pad nitride layer 115 and the pad oxide layer 113 are etched using a recess gate mask (not shown) defining the gate region 103 of FIG. 4 as an etch mask. A stack structure of the pad oxide film pattern 113a and the pad nitride film pattern 115a exposing the semiconductor substrate 110 is formed.

도 6c 및 6d를 참조하면, 전체 표면 상부에 제 1 절연막(140)을 형성한다. 다음으로, 제 1 절연막(140)을 식각하여 패드 질화막 패턴(115a)과 패드 산화막 패턴(113a)의 측벽에 스페이서(145)를 형성한다. 여기서, 제 1 절연막(140)은 실리콘 질화막, 실리콘 산화막, 폴리실리콘층 또는 이들의 조합으로 형성하되, SiH4, O2, Si(OC2H5)4, SiH2Cl2, NH3, N2, He 또는 이들의 조합을 포함하는 소스 가스를 이용한 CVD 방법으로 형성하는 것이 바람직하다. 또한, 스페이서(145)를 형성하기 위한 식각 공정은 CxFyHz, O2, HCl 또는 이들의 조합을 포함하는 가스를 이용한 플라즈마 식각 방법으로 수행되는 것이 바람직하다. 그리고 스페이서(145)의 두께는 1 내지 50 ㎚인 것이 바람직하다.6C and 6D, the first insulating layer 140 is formed on the entire surface. Next, the spacer 145 is formed on sidewalls of the pad nitride layer pattern 115a and the pad oxide layer pattern 113a by etching the first insulating layer 140. Here, the first insulating layer 140 is formed of a silicon nitride film, a silicon oxide film, a polysilicon layer or a combination thereof, and SiH 4 , O 2 , Si (OC 2 H 5 ) 4 , SiH 2 Cl 2 , NH 3 , N It is preferable to form by CVD method using a source gas containing 2 , He or a combination thereof. In addition, the etching process for forming the spacer 145 is preferably performed by a plasma etching method using a gas including C x F y H z , O 2 , HCl, or a combination thereof. The thickness of the spacer 145 is preferably 1 to 50 nm.

도 6e 및 6f를 참조하면, 스페이서(145)와 패드 질화막 패턴(115a)을 식각 마스크로 리세스 영역 하부에 노출된 반도체 기판(110)을 소정 두께 식각하여 리세스(150)를 형성한다. 다음으로, 스페이서(145), 패드 질화막 패턴(115a) 및 패드 산화막 패턴(113a)을 제거하여 리세스(150)를 포함하는 반도체 기판(110)을 노출한다. 여기서, 리세스(150) 형성을 위한 식각 공정은 플라즈마 식각 방법으로 수행되는 것이 바람직하며, 리세스(150)에서 반도체 기판(110)의 식각된 두께는 50 내지 500㎚인 것이 바람직하다. 또한, 불산(HF)을 포함하는 용액을 이용하여 노출된 반도체 기판(110)의 표면을 세정할 수 있다.6E and 6F, the recess 150 is formed by etching a predetermined thickness of the semiconductor substrate 110 exposed under the recess region using the spacer 145 and the pad nitride layer pattern 115a as an etch mask. Next, the semiconductor substrate 110 including the recess 150 is exposed by removing the spacer 145, the pad nitride layer pattern 115a, and the pad oxide layer pattern 113a. Here, the etching process for forming the recess 150 is preferably performed by a plasma etching method, the etched thickness of the semiconductor substrate 110 in the recess 150 is preferably 50 to 500nm. In addition, the exposed surface of the semiconductor substrate 110 may be cleaned using a solution containing hydrofluoric acid (HF).

도 6g를 참조하면, 리세스(150)를 포함한 노출된 반도체 기판(110)의 상부에 게이트 절연막(160)을 형성한 후, 리세스(150)를 매립하는 평탄화된 하부 게이트 도전층(미도시)을 형성한다. 다음으로, 하부 게이트 도전층 상부에 상부 게이트 도전층(미도시)과 게이트 하드 마스크층(미도시)을 형성한다. 이후, 게이트 마스크(미도시)를 식각 마스크로 게이트 하드 마스크층, 상부 게이트 도전층 및 하부 게이트 도전층을 패터닝하여 게이트 전극(170)과 게이트 하드 마스크층 패턴(180)의 게이트 구조물(190)을 형성한다. 여기서, 게이트 절연막(160)은 O2, H2O, O3 또는 이들의 조합을 포함하는 가스를 이용하여 1 내지 10㎚의 두께로 형성하는 것이 바람직하다. 또한, 하부 게이트 도전층은 인(P) 또는 보론(B)을 포함하는 불순물로 도핑한 폴리실리콘층으로 형성하는 것이 바람직하다. 이때, 도핑된 폴리실리콘층은 불 순물이 도핑되지 않은 폴리실리콘층에 불순물 이온을 주입하여 형성되거나, 실리콘(Si) 소스 가스와 인(P) 또는 보론(B)을 포함하는 불순물 소스 가스를 이용하여 형성할 수 있다. 또한, 상부 게이트 도전층은 티타늄(Ti)층, 티타늄 질화(TiN)막, 텅스텐(W)층, 알루미늄(Al)층, 구리(Cu)층, 텅스텐 실리사이드(WSix)층 또는 이들의 조합으로 형성하는 것이 바람직하다.Referring to FIG. 6G, after forming the gate insulating layer 160 on the exposed semiconductor substrate 110 including the recess 150, the planarized lower gate conductive layer filling the recess 150 (not shown) ). Next, an upper gate conductive layer (not shown) and a gate hard mask layer (not shown) are formed on the lower gate conductive layer. Subsequently, the gate hard mask layer, the upper gate conductive layer, and the lower gate conductive layer are patterned using a gate mask (not shown) as an etch mask to form the gate structure 190 of the gate electrode 170 and the gate hard mask layer pattern 180. Form. Here, the gate insulating layer 160 is O 2 , H 2 O, O 3 or these It is preferable to form in the thickness of 1-10 nm using the gas containing a combination. In addition, the lower gate conductive layer is preferably formed of a polysilicon layer doped with an impurity containing phosphorus (P) or boron (B). In this case, the doped polysilicon layer is formed by implanting impurity ions into the polysilicon layer which is not doped with impurities, or using an impurity source gas including silicon (Si) source gas and phosphorus (P) or boron (B). Can be formed. The upper gate conductive layer may be formed of a titanium (Ti) layer, a titanium nitride (TiN) film, a tungsten (W) layer, an aluminum (Al) layer, a copper (Cu) layer, a tungsten silicide (WSix) layer, or a combination thereof. It is desirable to.

도 6h를 참조하면, 게이트 구조물(190) 사이의 반도체 기판(110)을 소정 두께 식각하여 식각된 활성 영역(193)을 형성한 후, 식각된 활성 영역(193)에 이온 주입 공정을 수행하여 소스/드레인 영역(미도시)을 형성한다. 이때, 식각된 활성 영역(193)에 대한 이온 주입 공정 전에 식각된 활성 영역(193)의 표면에 추가적 게이트 절연막(미도시)을 형성할 수 있다. 여기서, 추가적 게이트 절연막은 실리콘 산화막, 하프늄 산화막, 알루미늄 산화막, 지르코늄 산화막, 실리콘 질화막 또는 이들의 조합으로 1 내지 10㎚의 두께로 형성한다. 한편, 게이트 구조물(190) 사이의 반도체 기판(110)의 식각된 두께는 10 내지 200㎚인 것이 바람직하다.Referring to FIG. 6H, the semiconductor substrate 110 between the gate structures 190 is etched to form an etched active region 193, and then an ion implantation process is performed on the etched active region 193. / Drain region (not shown) is formed. In this case, an additional gate insulating layer (not shown) may be formed on the surface of the etched active region 193 before the ion implantation process on the etched active region 193. Here, the additional gate insulating film is formed to a thickness of 1 to 10 nm of a silicon oxide film, a hafnium oxide film, an aluminum oxide film, a zirconium oxide film, a silicon nitride film or a combination thereof. Meanwhile, the etched thickness of the semiconductor substrate 110 between the gate structures 190 is preferably 10 to 200 nm.

도 6i 및 6j를 참조하면, 전체 표면 상부에 제 2 절연막(미도시)을 형성한 후, 제 2 절연막을 식각하여 게이트 구조물(190)의 측벽에 게이트 스페이서(195)를 형성한다. 다음으로, 게이트 스페이서(195)를 포함한 게이트 구조물(190) 사이에 랜딩 플러그(미도시)를 형성한다. 여기서, 랜딩 플러그는 소스/드레인 영역(205)과 콘택 된다.6I and 6J, after forming a second insulating film (not shown) on the entire surface, the second insulating film is etched to form gate spacers 195 on sidewalls of the gate structure 190. Next, a landing plug (not shown) is formed between the gate structures 190 including the gate spacers 195. Here, the landing plug is in contact with the source / drain region 205.

이후의 공정은 비트 라인 콘택 및 비트 라인 형성, 캐패시터 콘택 및 캐패시터 형성, 금속 배선 콘택 및 금속 배선 형성과 같은 일반적 트랜지스터 제조 공정 을 수행하여 반도체 소자를 완성한다.Subsequent processes perform general transistor manufacturing processes such as bit line contact and bit line formation, capacitor contact and capacitor formation, metal wiring contact and metal wiring formation to complete a semiconductor device.

이상에서 설명한 바와 같이, 본 발명에 따른 반도체 소자 및 그의 제조 방법은 SOI(Silicon-on-Insulator) 구조를 포함하는 리세스 채널 영역을 형성하도록 소자를 설계함으로써, 기존의 평면 또는 리세스 트랜지스터보다 더 큰 동작전류를 얻을 수 있는 이점이 있다. 또한, SOI 구조적 특성으로 드레인 전압에 의한 문턱 전압 감소, 바디 효과(Body effect) 및 게이트 On/Off 특성을 개선할 수 있다.As described above, a semiconductor device and a method of manufacturing the same according to the present invention are designed to form a recess channel region including a silicon-on-insulator (SOI) structure, thereby making it more suitable than a conventional planar or recess transistor. There is an advantage that a large operating current can be obtained. In addition, the SOI structural characteristics may improve threshold voltage reduction, body effect, and gate on / off characteristics due to drain voltage.

또한, 소스/드레인 영역의 활성 영역을 게이트 하부의 활성 영역보다 낮게 형성하도록 소자를 설계함으로써, 전기장이 집중되는 SOI 채널 상부 지역을 소스/드레인으로부터 멀게 하여 문턱 전압을 균일하게 유지할 수 있는 장점이 있다.In addition, by designing the device so that the active region of the source / drain region is lower than the active region under the gate, there is an advantage of maintaining the threshold voltage uniformly by keeping the SOI channel upper region where the electric field is concentrated away from the source / drain. .

그리고 본 발명에 따른 반도체 소자는 디자인 룰 감소에도 채널 면적을 충분히 확보할 수 있는 확장성을 갖는다.In addition, the semiconductor device according to the present invention has expandability to sufficiently secure a channel area even with a reduction in design rules.

아울러 본 발명의 바람직한 실시 예는 예시의 목적을 위한 것으로, 당업자라면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다.In addition, the preferred embodiment of the present invention for the purpose of illustration, those skilled in the art will be able to various modifications, changes, substitutions and additions through the spirit and scope of the appended claims, such modifications and changes are the following claims It should be seen as belonging to a range.

Claims (20)

반도체 기판 내에 형성되며 활성 영역을 정의하는 소자 분리막;An isolation layer formed in the semiconductor substrate and defining an active region; 상기 활성 영역 내에 형성되며, 상기 소자 분리막 측벽에 접하고 02, H2O, O3 및 이들의 조합을 포함하는 가스를 이용하여 형성된 게이트 절연막을 포함하는 SOI(Silicon-on-Insulator) 구조의 리세스 채널 영역;A silicon-on-insulator (SOI) structure is formed in the active region and includes a gate insulating layer formed in contact with a sidewall of the device isolation layer and formed using a gas including 0 2 , H 2 O, O 3, and a combination thereof. A set channel region; 게이트 영역의 상기 리세스 채널 영역 상부에 형성되는 게이트 구조물;A gate structure formed over the recess channel region of the gate region; 상기 게이트 구조물 사이로 소정 두께 식각된 반도체 기판에 형성되는 소스/드레인 영역Source / drain regions formed on the semiconductor substrate with a predetermined thickness etched between the gate structures 을 포함하는 것을 특징으로 하는 반도체 소자.A semiconductor device comprising a. 제 1항에 있어서,The method of claim 1, 상기 리세스 채널 영역에서 상기 반도체 기판의 식각된 깊이는 50 내지 500nm인 것을 특징으로 하는 반도체 소자.And the etched depth of the semiconductor substrate in the recess channel region is 50 to 500 nm. 제 1항에 있어서,The method of claim 1, 상기 소스/드레인 영역에서 상기 반도체 기판의 식각된 깊이는 10 내지 200nm인 것을 특징으로 하는 반도체 소자.And an etched depth of the semiconductor substrate in the source / drain region is 10 to 200 nm. (a) 패드 절연막을 구비한 반도체 기판에 활성 영역을 정의하는 소자 분리막을 형성하는 단계;(a) forming a device isolation film defining an active region on a semiconductor substrate having a pad insulating film; (b) 리세스 게이트 마스크로 상기 패드 절연막을 식각하여 리세스 영역의 상기 반도체 기판을 노출하는 패드 절연막 패턴을 형성하는 단계;(b) etching the pad insulating layer with a recess gate mask to form a pad insulating layer pattern exposing the semiconductor substrate in the recess region; (c) 상기 패드 절연막 패턴의 측벽에 스페이서를 형성하는 단계;(c) forming spacers on sidewalls of the pad insulating layer pattern; (d) 상기 스페이서와 상기 패드 절연막 패턴을 식각 마스크로 상기 리세스 영역 하부에 노출된 상기 반도체 기판을 소정 두께 식각하여 리세스를 형성하는 단계;(d) forming a recess by etching a thickness of the semiconductor substrate exposed under the recess region using the spacer and the pad insulating layer pattern as an etching mask; (e) 상기 스페이서와 상기 패드 절연막 패턴을 제거하여 상기 반도체 기판을 노출하는 단계;(e) exposing the semiconductor substrate by removing the spacers and the pad insulating layer pattern; (f) 상기 리세스를 포함하는 상기 노출된 반도체 기판의 상부에 02, H2O, O3 및 이들의 조합을 포함하는 가스를 이용하여 게이트 절연막을 형성하는 단계;(f) forming a gate insulating film using a gas including 0 2 , H 2 O, O 3, and a combination thereof on top of the exposed semiconductor substrate including the recess; (g) 전체 표면 상부에 상기 리세스를 매립하는 평탄화된 게이트 도전층과 게이트 하드 마스크층을 형성하는 단계;(g) forming a planarized gate conductive layer and a gate hard mask layer filling the recess over the entire surface; (h) 게이트 마스크를 식각 마스크로 상기 게이트 하드 마스크층 및 게이트 도전층을 패터닝하여 게이트 구조물을 형성하는 단계; 및(h) patterning the gate hard mask layer and the gate conductive layer using a gate mask as an etch mask to form a gate structure; And (i) 상기 게이트 구조물 사이의 상기 반도체 기판을 소정 두께 식각한 후, 불순물 이온 주입 공정을 수행하여 상기 식각된 반도체 기판에 소스/드레인 영역을 형성하는 단계(i) etching the semiconductor substrate between the gate structures by a predetermined thickness, and then forming a source / drain region in the etched semiconductor substrate by performing an impurity ion implantation process 를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.Method of manufacturing a semiconductor device comprising a. 제 4항에 있어서,The method of claim 4, wherein 상기 (c) 단계는Step (c) is (c-1) 전체 표면에 절연막을 형성하는 단계; 및(c-1) forming an insulating film on the entire surface; And (c-2) 상기 절연막을 식각하여 상기 패드 절연막 패턴의 측벽에 스페이서를 형성하는 단계(c-2) etching the insulating film to form spacers on sidewalls of the pad insulating film pattern 를 포함하는 반도체 소자의 제조 방법.Method for manufacturing a semiconductor device comprising a. 제 5항에 있어서,The method of claim 5, 상기 절연막은 실리콘 질화막, 실리콘 산화막, 폴리실리콘층 및 이들의 조합 중 선택된 것으로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.And the insulating film is formed of a silicon nitride film, a silicon oxide film, a polysilicon layer, or a combination thereof. 제 6항에 있어서,The method of claim 6, 상기 절연막은 SiH4, O2, N2O, Si(OC2H5)4, SiH2Cl2, NH3, N2, He 및 이들의 조합을 포함하는 소스 가스를 이용한 CVD 방법으로 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.The insulating film is formed by a CVD method using a source gas including SiH 4 , O 2 , N 2 O, Si (OC 2 H 5 ) 4 , SiH 2 Cl 2 , NH 3 , N 2 , He, and a combination thereof. The manufacturing method of the semiconductor element characterized by the above-mentioned. 제 5항에 있어서,The method of claim 5, 상기 (c-2) 단계의 스페이서 형성을 위한 식각 공정은 CxFyHz, O2, HCl 및 이들의 조합을 포함하는 가스를 이용한 플라즈마 식각 방법으로 수행되는 것을 특징으로 하는 반도체 소자의 제조 방법.The etching process for forming the spacer of the step (c-2) is manufactured by a semiconductor etching method using a plasma etching method using a gas containing C x F y H z , O 2 , HCl and combinations thereof Way. 제 5항에 있어서,The method of claim 5, 상기 스페이서의 두께는 1 내지 50㎚인 것을 특징으로 하는 반도체 소자의 제조 방법.The thickness of the spacer is a method of manufacturing a semiconductor device, characterized in that 1 to 50nm. 제 4항에 있어서,The method of claim 4, wherein 상기 리세스 형성을 위한 상기 반도체 기판의 식각된 두께는 50 내지 500㎚인 것을 특징으로 하는 반도체 소자의 제조 방법.The etched thickness of the semiconductor substrate for forming the recess is a method of manufacturing a semiconductor device, characterized in that 50 to 500nm. 제 4항에 있어서,The method of claim 4, wherein 상기 (f) 단계 전에, 불산(HF)을 포함한 용액을 이용하여 상기 노출된 반도체 기판의 표면을 세정하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.Before the step (f), further comprising the step of cleaning the surface of the exposed semiconductor substrate using a solution containing hydrofluoric acid (HF). 제 4항에 있어서,The method of claim 4, wherein 상기 (f) 단계의 상기 게이트 절연막은 1 내지 10㎚의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.The gate insulating film of the step (f) is a semiconductor device manufacturing method, characterized in that formed to a thickness of 1 to 10nm. 제 4항에 있어서,The method of claim 4, wherein 상기 (g) 단계는Step (g) (g-1) 전체 표면 상부에 상기 리세스를 매립하는 평탄화된 하부 게이트 도전층을 형성하는 단계;(g-1) forming a planarized lower gate conductive layer filling the recess over the entire surface; (g-2) 상기 하부 게이트 도전층 상부에 상부 게이트 도전층을 형성하는 단계; 및(g-2) forming an upper gate conductive layer on the lower gate conductive layer; And (g-3) 상기 상부 게이트 도전층 상부에 게이트 하드 마스크층을 형성하는 단계 (g-3) forming a gate hard mask layer on the upper gate conductive layer 를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.Method of manufacturing a semiconductor device comprising a. 제 13항에 있어서,The method of claim 13, 상기 하부 게이트 도전층은 인(P) 또는 보론(B)을 포함하는 불순물로 도핑한 폴리실리콘층으로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.The lower gate conductive layer is formed of a polysilicon layer doped with an impurity containing phosphorus (P) or boron (B). 제 14항에 있어서,The method of claim 14, 상기 (g-1) 단계는 Step (g-1) is 전체 표면 상부에 상기 리세스를 매립하는 평탄화된 불순물이 도핑되지 않은 폴리실리콘층을 형성하는 단계; 및Forming a planarized impurity-free polysilicon layer filling the recess over the entire surface; And 상기 폴리실리콘층에 인(P) 또는 보론(B)을 포함하는 불순물 이온을 주입하는 단계Implanting impurity ions containing phosphorus (P) or boron (B) into the polysilicon layer 를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.Method of manufacturing a semiconductor device comprising a. 제 14항에 있어서,The method of claim 14, 상기 (g-1) 단계는Step (g-1) is 전체 표면 상부에 상기 리세스를 매립하는 평탄화된 불순물이 도핑된 폴리실리콘층을 형성하되, 상기 도핑된 폴리실리콘층은 실리콘(Si) 소스 가스와 인(P) 또는 보론(B)을 포함하는 불순물 소스 가스를 이용하여 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.A planarized impurity doped polysilicon layer is formed over the entire surface, and the doped polysilicon layer comprises a silicon (Si) source gas and an impurity (P) or boron (B). A method of manufacturing a semiconductor device comprising the step of forming using a source gas. 제 13항에 있어서,The method of claim 13, 상기 게이트 상부 도전층은 티타늄(Ti)층, 티타늄 질화(TiN)막, 텅스텐(W)층, 알루미늄(Al)층, 구리(Cu)층, 텅스텐 실리사이드(WSix)층 및 이들의 조합 중 선택된 어느 하나로 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.The gate upper conductive layer is any one selected from a titanium (Ti) layer, a titanium nitride (TiN) film, a tungsten (W) layer, an aluminum (Al) layer, a copper (Cu) layer, a tungsten silicide (WSix) layer, and a combination thereof. Method for manufacturing a semiconductor device, characterized in that formed in one. 제 4항에 있어서,The method of claim 4, wherein 상기 (i) 단계는Step (i) is (i-1) 상기 게이트 구조물 사이의 반도체 기판을 소정 두께 식각하는 단계;(i-1) etching the semiconductor substrate between the gate structures by a predetermined thickness; (i-2) 상기 식각된 반도체 기판에 게이트 절연막을 형성하는 단계; 및(i-2) forming a gate insulating film on the etched semiconductor substrate; And (i-3) 이온 주입 공정을 수행하여 상기 식각된 반도체 기판에 소스/드레인 영역을 형성하는 단계(i-3) forming a source / drain region on the etched semiconductor substrate by performing an ion implantation process 를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.Method of manufacturing a semiconductor device comprising a. 제 18항에 있어서,The method of claim 18, 상기 (i-1) 단계에서 상기 반도체 기판의 식각된 두께는 10 내지 200㎚인 것을 특징으로 하는 반도체 소자의 제조 방법.In (i-1), the etched thickness of the semiconductor substrate is a method of manufacturing a semiconductor device, characterized in that 10 to 200nm. 제 18항에 있어서,The method of claim 18, 상기 (i-2) 단계의 게이트 절연막은 실리콘 산화막, 하프늄 산화막, 알루미늄 산화막, 지르코늄 산화막, 실리콘 질화막 및 이들의 조합 중 선택된 어느 하나로 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.The gate insulating film of step (i-2) is formed of any one selected from silicon oxide film, hafnium oxide film, aluminum oxide film, zirconium oxide film, silicon nitride film and combinations thereof.
KR1020060010802A 2006-02-03 2006-02-03 Semiconductor device and method for fabricating the same KR100732269B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060010802A KR100732269B1 (en) 2006-02-03 2006-02-03 Semiconductor device and method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060010802A KR100732269B1 (en) 2006-02-03 2006-02-03 Semiconductor device and method for fabricating the same

Publications (1)

Publication Number Publication Date
KR100732269B1 true KR100732269B1 (en) 2007-06-25

Family

ID=38373395

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060010802A KR100732269B1 (en) 2006-02-03 2006-02-03 Semiconductor device and method for fabricating the same

Country Status (1)

Country Link
KR (1) KR100732269B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100905178B1 (en) 2007-10-29 2009-06-29 주식회사 하이닉스반도체 Method for manufacturing semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100290505B1 (en) 1997-05-23 2001-07-12 마찌다 가쯔히꼬 Manufacturing Method of Field Effect Transistor Using SOI Substrate
KR20040044205A (en) * 2002-11-19 2004-05-28 삼성전자주식회사 Semiconductor devic having diffusion barrier layer surrounding source/drain and method fo forming the same
KR100469128B1 (en) 2002-11-07 2005-01-29 삼성전자주식회사 Method of forming floating gate of non-volatile memory device having self-aligned shallow trench isolation
KR100530496B1 (en) 2004-04-20 2005-11-22 삼성전자주식회사 Semiconductor device, method of forming a recess gate electrode and method of manufacturing a semiconductor device having the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100290505B1 (en) 1997-05-23 2001-07-12 마찌다 가쯔히꼬 Manufacturing Method of Field Effect Transistor Using SOI Substrate
KR100469128B1 (en) 2002-11-07 2005-01-29 삼성전자주식회사 Method of forming floating gate of non-volatile memory device having self-aligned shallow trench isolation
KR20040044205A (en) * 2002-11-19 2004-05-28 삼성전자주식회사 Semiconductor devic having diffusion barrier layer surrounding source/drain and method fo forming the same
KR100530496B1 (en) 2004-04-20 2005-11-22 삼성전자주식회사 Semiconductor device, method of forming a recess gate electrode and method of manufacturing a semiconductor device having the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100905178B1 (en) 2007-10-29 2009-06-29 주식회사 하이닉스반도체 Method for manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
KR100756809B1 (en) Semiconductor device and method for fabricating the same
KR100696764B1 (en) Semiconductor device and method for fabricating the same
KR100732304B1 (en) Semiconductor device and method for fabricating the same
KR100618861B1 (en) Semiconductor device having local recess channel transistor and method of fabricating the same
TWI509736B (en) Finfets having dielectric punch-through stoppers
KR100764360B1 (en) Semiconductor device and method for fabricating the same
CN1897255B (en) Semiconductor device having vertical channels and method of manufacturing the same
TWI483348B (en) Method for fabricating side contact in semiconductor device using double trench process
US7494895B2 (en) Method of fabricating a three-dimensional MOSFET employing a hard mask spacer
US8378395B2 (en) Methods of fabricating field effect transistors having protruded active regions
KR100668838B1 (en) Method for forming gate in semiconductor device
KR100702302B1 (en) Method for fabricating semiconductor device
KR100690422B1 (en) Method for wrapped-gate mosfet
US20060019447A1 (en) Process for the self-aligning production of a transistor with a U-shaped gate
KR20030043597A (en) Semiconductor device with trench isolation and fabrication method thereof
JP2009009988A (en) Semiconductor device and its fabrication process
KR100732269B1 (en) Semiconductor device and method for fabricating the same
KR100944356B1 (en) Semiconductor device and method of fabricating the same
US20230131688A1 (en) Nanosheet channel formation method and structure
KR20070101435A (en) Semiconductor device and method for manufacturing the same
KR100629694B1 (en) Method for manufacturing semiconductor device
KR20050106306A (en) Method of fabricating a finfet having rounded active corners
TW202230728A (en) Semiconductor devices
KR100745881B1 (en) Semiconductor device and method for fabricating the same
CN114267593A (en) Method for forming semiconductor structure

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20110526

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee