US20080223825A1 - Substrate processing apparatus, substrate processing method and storage medium - Google Patents

Substrate processing apparatus, substrate processing method and storage medium Download PDF

Info

Publication number
US20080223825A1
US20080223825A1 US12/047,691 US4769108A US2008223825A1 US 20080223825 A1 US20080223825 A1 US 20080223825A1 US 4769108 A US4769108 A US 4769108A US 2008223825 A1 US2008223825 A1 US 2008223825A1
Authority
US
United States
Prior art keywords
wafer
substrate
process chamber
temperature adjusting
adjusting member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/047,691
Other languages
English (en)
Inventor
Tadashi Onishi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US12/047,691 priority Critical patent/US20080223825A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ONISHI, TADASHI
Publication of US20080223825A1 publication Critical patent/US20080223825A1/en
Priority to US13/523,233 priority patent/US20120248064A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Definitions

  • the present invention relates to a substrate processing apparatus and a substrate processing method for removing an oxide film on a surface of a substrate by chemical processing and heat treatment.
  • an apparatus including: a chemical processing chamber in which the step of turning an oxide film on a surface of a wafer into a reaction product is performed under a relatively low temperature; and a heat treatment chamber in which the step of removing the reaction product from the wafer by heating and sublimating the reaction product is performed under a relatively high temperature.
  • a processing apparatus in which the chemical processing chamber and the heat treatment chamber are separately provided has a disadvantage that the apparatus becomes large, leading to an increase in footprint since the number of process chambers increases.
  • separately providing the chemical processing chamber and the heat treatment chamber necessitates the transfer of a wafer therebetween, which requires a complicated carrier mechanism and further may cause a problem that during the transfer, the wafer is contaminated and contaminants are released from the wafer.
  • the present invention was made in view of the above and its object is to provide a substrate processing apparatus and a substrate processing method capable of performing chemical processing and heat treatment in the same process chamber.
  • a substrate processing apparatus removing an oxide film on a surface of a substrate by chemical processing and heat treatment, the apparatus including: a gas supply mechanism supplying gas containing a halogen element and basic gas into a process chamber; and a first temperature adjusting member and a second temperature adjusting member adjusting a temperature of the substrate in the process chamber, wherein the second temperature adjusting member adjusts the temperature of the substrate to a higher temperature than the first temperature adjusting member.
  • the inside of the process chamber may be airtightly closable.
  • the substrate processing apparatus may further include an exhaust mechanism exhausting the inside of the process chamber.
  • the substrate processing apparatus further includes a support member supporting the substrate in the process chamber, wherein the second temperature adjusting member is thermally in contact with the support member, and the first temperature adjusting member is capable of thermally coming into contact with and separating from the support member.
  • a rear surface of the support member may be exposed to an external part of the process chamber, and the first temperature adjusting member may be capable of thermally coming into contact with or separating from the rear surface of the support member, in the external part of the process chamber.
  • a rear surface of the support member may be covered by the second temperature adjusting member, and the first temperature adjusting member may come into contact with the second temperature adjusting member.
  • the second temperature adjusting member may be buried in the support member, and the first temperature adjusting member may come into contact with the support member. Further, for example, total heat capacity of the support member and the second temperature adjusting member is smaller than heat capacity of the first temperature adjusting member.
  • the first temperature adjusting member is a mounting table on which the substrate is placed in the process chamber
  • the apparatus further includes a lifter mechanism lifting up the substrate from the mounting table in the process chamber, wherein the temperature of the substrate which has been lifted up from the mounting table by the lifter mechanism is adjusted by the second temperature adjusting member.
  • the substrate processing apparatus may further include: a partition member disposed around the substrate which has been lifted up from the mounting table by the lifter mechanism; a first exhaust mechanism exhausting the inside of the process chamber above the partition member; and a second exhaust mechanism exhausting the inside of the process chamber under the partition member.
  • the gas supply mechanism may supply the gas containing the halogen element and the basic gas to the inside of the process chamber above the substrate which has been lifted up from the mounting table by the lifter mechanism.
  • a substrate processing method of removing an oxide film on a surface of a substrate by chemical processing and heat treatment including the steps of: supplying gas containing a halogen element and basic gas to the inside of a process chamber and adjusting a temperature of the substrate by a first temperature adjusting member, thereby turning the oxide film on the surface of the substrate into a reaction product; and adjusting the temperature of the substrate to a higher temperature by the second temperature adjusting member than the first temperature adjusting member, thereby vaporizing the reaction product.
  • the inside of the process chamber may be exhausted.
  • the substrate may be supported by a support member including the second temperature adjusting member, and in the step of turning the oxide film on the surface of the substrate into the reaction product, the first temperature adjusting member may be brought into thermal contact with the support member, and in the step of vaporizing the reaction product, the first temperature adjusting member may be thermally separated from the support member.
  • the first temperature adjusting member may be thermally brought into contact with or separated from the support member, in an external part of the process chamber. Further, for example, total heat capacity of the support member and the second temperature adjusting member is smaller than heat capacity of the first temperature adjusting member.
  • the temperature of the substrate is adjusted while the substrate is placed on a mounting table as the first temperature adjusting member, and in the step of vaporizing the reaction product, the temperature of the substrate may be adjusted by the second temperature adjusting member while the substrate is lifted up from the mounting table in the process chamber.
  • a storage medium containing a recorded program executable by a control unit of a substrate processing apparatus, the program causing the substrate processing apparatus to perform the above substrate processing method when executed by the control unit.
  • the substrate processing apparatus can be compact and a complicated transfer sequence for substrate transfer is not required. Further, the processing time can be shortened, which can improve a throughput. Further, since the temperature of the substrate is adjusted by the first temperature adjusting member and the second temperature adjusting member, it is possible to rapidly heat and cool the substrate.
  • FIG. 1 is a plane view showing a rough configuration of a processing system
  • FIG. 2 is an explanatory view of a COR apparatus according to a first embodiment of the present invention, showing a state where a cooling block is raised;
  • FIG. 3 is an explanatory view of the COR apparatus according to the first embodiment of the present invention, showing a state where the cooling block is lowered;
  • FIG. 4 is an explanatory view of a lifter mechanism
  • FIG. 5 is an enlarged partial sectional view showing the structure for attaching a peripheral edge portion of a face plate to an upper surface of a base portion;
  • FIG. 6 is an enlarged partial sectional view showing the structure for attaching the peripheral edge portion of the face plate, which is different from the structure in FIG. 5 ;
  • FIG. 7 is a vertical sectional view used to explain the cooling block
  • FIG. 8 is a rough vertical sectional view showing the structure of a surface of a wafer before a Si layer is etched
  • FIG. 9 is a rough vertical sectional view showing the structure of the surface of the wafer after the Si layer is etched.
  • FIG. 10 is a rough vertical sectional view showing a state of the surface of the wafer after the wafer undergoes COR processing
  • FIG. 11 is a rough vertical sectional view showing a state of the surface of the wafer after the wafer undergoes film forming processing for forming a SiGe layer;
  • FIG. 12 is an explanatory view of a COR apparatus according to a second embodiment of the present invention, showing a state where a wafer is placed on a mounting table (first processing position);
  • FIG. 13 is an explanatory view of the COR apparatus according to the second embodiment of the present invention, showing a state where the wafer is lifted up from the mounting table (second processing position);
  • FIG. 14 is an explanatory view of a face plate with whose lower surface a cooling block comes into direct contact.
  • FIG. 1 is a plane view showing a rough configuration of a processing system 1 including COR apparatuses 22 .
  • the COR apparatus 22 is a COR apparatus 22 a according to a first embodiment of the present invention or a COR apparatus 22 b according to a second embodiment of the present invention which will be described later.
  • the processing system 1 is configured to apply COR (Chemical Oxide Removal) processing and film forming processing to a wafer W.
  • COR Chemical Oxide Removal
  • a chemical processing step to turn a natural oxide film (silicon dioxide (SiO 2 )) on a surface of the wafer W into a reaction product and a heat treatment step to heat and sublimate the reaction product are performed.
  • gas containing a halogen element and basic gas are supplied as process gases to the wafer W, thereby causing a chemical reaction of the natural oxide film on the surface of the wafer W and gas molecules of the process gases, so that the reaction product is produced.
  • the gas containing the halogen element is, for example, hydrogen fluoride gas and the basic gas is, for example, ammonia gas.
  • the reaction product mainly containing ammonia fluorosilicate is produced.
  • the heat treatment step is a PHT (Post Heat Treatment) step to heat the wafer W having undergone the chemical processing to vaporize the reaction product, thereby removing the reaction product from the wafer.
  • a film of SiGe or the like for instance, is epitaxially grown on the surface of the wafer W from which the natural oxide film has been removed.
  • the processing system 1 shown in FIG. 1 includes: a load/unload unit 2 loading/unloading the wafer W to/from the processing system 1 ; a processing unit 3 applying the COR processing and the film forming processing to the wafer W; and a control unit 4 controlling the load/unload unit 2 and the processing unit 3 .
  • the load/unload unit 2 has a carrier chamber 12 in which a first wafer carrier mechanism 11 carrying the wafer W in a substantially disk shape is provided.
  • the wafer carrier mechanism 11 has two carrier arms 11 a, 11 b each holding the wafer W in a substantially horizontal state.
  • On a side of the carrier chamber 12 there are, for example, three mounting tables 13 on which carriers C each capable of housing the plural wafers W are mounted, In each of the carriers C, the maximum of, for example, 25 pieces of the wafers W can be horizontally housed in multi tiers at equal pitches, and the inside of the carriers C is filled with an N 2 gas atmosphere, for instance.
  • gate valves 14 are disposed, and the wafer W is transferred between the carriers C and the carrier chamber 12 via the gate valves 14 .
  • an orienter 15 which rotates the wafer W and optically calculates its eccentricity amount to align the wafer W
  • a particle monitor 16 measuring an amount of particles of extraneous matters and the like adhering on the wafer W.
  • a rail 17 is provided, and the wafer carrier mechanism 11 is capable of approaching the carriers C, the orienter 15 , and the particle monitor 16 by moving along the rail 17 .
  • the wafer W is horizontally held by either of the carrier arms 11 a, 11 b of the wafer carrier mechanism 11 , and when the wafer carrier mechanism 11 is driven, the wafer W is rotated and moved straight in a substantially horizontal plane or lifted up/down. Consequently, the wafer W is carried to/from the carriers C, the orienter 15 , and the particle monitor 16 from/to later-described two load lock chamber 24 .
  • a common carrier chamber 21 formed in a substantially polygonal shape (for example, a hexagonal shape) is provided.
  • two COR apparatuses 22 (the COR apparatuses 22 a according to the first embodiment of the present invention or the COR apparatuses 22 b according to the second embodiment of the present invention) applying the COR processing to the wafer W
  • four epitaxial growth apparatuses 23 applying the SiGe layer film forming processing to the wafer W
  • the two load lock chambers 24 which can be evacuated are provided around the common carrier chamber 21 .
  • openable/closable gate vales 25 are provided respectively.
  • the two load lock chambers 24 are disposed between the carrier chamber 12 of the load/unload unit 2 and the common carrier chamber 21 of the processing unit 3 , and the carrier chamber 12 of the load/unload unit 2 and the common carrier chamber 21 of the processing unit 3 are coupled to each other via the two load lock chambers 24 .
  • Openable/closable gate valves 26 are provided between the load lock chambers 24 and the carrier chamber 12 and between the load lock chambers 24 and the common carrier chamber 21 .
  • One of the two load lock chambers 24 may be used when the wafer W is carried out of the carrier chamber 12 to be carried into the common carrier chamber 21 , and the other may be used when the wafer W is carried out of the common carrier chamber 21 to be carried into the carrier chamber 12 .
  • the wafer W is horizontally held by either of the carrier arms 31 a, 31 b, and when the wafer carrier mechanism 31 is driven, the wafer W is rotated and moved straight in a substantially horizontal plane or lifted up/down to be carried to a desired position. Then, by the carrier arms 31 a, 31 b entering and exiting from the load lock chambers 24 , the COR apparatuses 22 , and the epitaxial growth apparatuses 23 , the wafers W are loaded/unloaded thereto/therefrom.
  • FIG. 2 and FIG. 3 are explanatory views of the COR apparatus 22 a according to the first embodiment of the present invention.
  • FIG. 2 shows a state where a cooling block 80 is raised.
  • FIG. 3 shows a state where the cooling block 80 is lowered.
  • the COR apparatus 22 a includes a casing 40 , and the inside of the casing 40 is an airtight process chamber (processing space) 41 housing the wafer W.
  • the casing 40 is made of metal such as aluminum (Al) or an aluminum alloy which has been surface-treated, for instance, anodized.
  • the casing 40 has on its one side surface a load/unload port 42 through which the wafer W is loaded/unloaded to/from the process chamber 41 , and the aforesaid gate valve 25 is provided on the load/unload port 42 .
  • abutting pins 48 as abutting members abutting on a lower surface of the wafer W are provided so as to protrude upward.
  • the abutting pins 48 are made of the same material as that of the face plate 47 or made of ceramics, resin, or the like.
  • the wafer W is supported substantially horizontally on the upper surface of the mounting table 45 while a plurality of points of its lower surface are set on upper end portions of the abutting pins 48 respectively.
  • the three lifter pins 52 support a lower surface of a peripheral edge portion of the wafer W, and lines connecting positions at which the lifter pins 52 support the wafer W form an isosceles triangle (including an equilateral triangle).
  • each center angle ⁇ made by the lifter pins 52 is 120°.
  • the support member 51 is attached to an upper end of a lifter rod 53 penetrating through the bottom surface of the casing 40 .
  • a lifter device 55 such as a cylinder disposed outside the process chamber 41 is attached to a lower end of the lifter rod 53 via a bracket 56 .
  • a bellows 57 is provided to allow the upward and downward movement of the lifter rod 53 while keeping the inside of the process chamber 41 airtight.
  • the lifer mechanism 50 as structured above is capable of lifting up/down the wafer W supported by the lifter pins 52 in the process chamber 41 when the lifter device 55 is operated.
  • the lifter pins 52 of the lifter mechanism 50 move up to receive the wafer W from the carrier arm 31 a, 31 b, and thereafter, the lifter pins 52 move down to place the wafer W on the upper surface of the mounting table 45 (the upper surface of the face plate 47 ).
  • the lifter pins 52 first move up, so that the wafer W is lifted up to a position above the mounting table 45 Thereafter, either of the carrier arms 31 a, 31 b of the aforesaid wafer carrier mechanism 31 receives the wafer W from the lifter pins 55 to carry the wafer W out of the COR apparatus 22 a.
  • FIG. 5 is an enlarged partial sectional view showing the structure for attaching a peripheral edge portion of the face plate 47 to the upper surface of the base portion 46 .
  • a heat insulating member 60 in a ring shape such as, for example, VESPEL (registered trademark) is disposed between the upper surface of the base portion 46 and a lower surface of the peripheral edge portion of the face plate 47 .
  • a heat insulating member 61 in a ring shape such as, for example, VESPEL (registered trademark) is similarly disposed, and the face plate 47 is further pressed by a fixing member 62 from above the insulating member 61 , so that the face plate 47 is fixed to the upper surface of the base portion 46 .
  • the heat insulating members 60 , 61 are thus disposed between the peripheral edge portion of the face plate 47 and the upper surface of the base portion 46 to thermally insulate the peripheral edge portion of the face plate 47 and the upper surface of the base portion 46 from each other.
  • Sealing members 63 such as O-rings are disposed between the lower surface of the peripheral edge portion of the face plate 47 and the heat insulating member 60 and between the heat insulating member 60 and the upper surface of the base portion 46 . Therefore, the inside of the process chamber 41 , that is, an area above the face plate 47 , is kept airtightly closed relative to the outside of the process chamber 41 , that is, an area under the face plate 47 . On the other hand, the rear surface (lower surface) of the face plate 47 is exposed to the outside of the process chamber 41 via the inside of the base portion 46 .
  • FIG. 6 is an enlarged partial sectional view showing the structure for attaching the peripheral edge portion of the face plate 47 , which is different from the structure in FIG. 5 .
  • an upper gasket 65 in a ring shape, a heat insulating member 66 in a ring shape such as, for example, VESPEL (registered trademark), and a lower gasket 67 in a ring shape are disposed between the lower surface of the peripheral edge portion of the face plate 47 and the upper surface of the base portion 46 .
  • a gap between the peripheral edge portion of the face plate 47 and the upper gasket 65 , a gap between the upper gasket 65 and the heat insulating member 66 , and a gap between the heat insulating member 66 and the lower gasket 67 are all sealed by metal sealing structures.
  • a sealing member 68 such as an O-ring is provided between the lower gasket 67 and the upper surface of the base portion 46 . Therefore, the inside of the process chamber 41 , that is, an area above the face plate 47 , is kept airtightly closed relative to the outside of the process chamber 41 , that is, an area under the face plate 47 .
  • a heat insulating member 70 in a ring shape such as, for example, VESPEL (registered trademark) is further disposed on the upper surface of the peripheral edge portion of the face plate 47 , and the face plate 47 is further pressed from above the heat insulating member 70 , so that the face plate 47 is fixed to the upper surface of the base portion 46 .
  • a focus ring 72 is disposed around the wafer W placed on the face plate 47 .
  • the attachment structure in FIG. 6 can also maintain the heat insulation state between the peripheral edge portion of the face plate 47 and the upper surface of the base portion 46 while keeping the inside of the process chamber 41 airtight.
  • a heater 75 as a second temperature adjusting member is provided in close contact with a rear surface (lower surface) of the face plate 47 .
  • the heater 75 is made of a material having an excellent heat transfer property and generating heat when supplied with electricity, and is made of, for example, SiC. By the heat generated from the heater 75 , it is possible to heat the wafer W placed on the upper surface of the face plate 47 .
  • the heater 75 has a disk shape substantially equal in diameter to the wafer W, and by transferring the heat of the heater 75 to the whole wafer W via the face plate 47 , it is possible to heat the whole wafer W uniformly.
  • the cooling block 80 as a first temperature adjusting member is disposed under the heater 75 .
  • the cooling block 80 is disposed on a rear surface (lower surface) side of the face plate 47 , that is, outside the process chamber 41 .
  • the cooling block 80 is movable up/down by the operation of a lifter device 82 such as a cylinder supported by a bracket 81 fixed to a lower surface of the casing 40 , and a state where the cooling block 80 is moved up to be in contact with the lower surface of the heater 75 (a state where the cooling block 80 is in thermal contact with the face plate 47 ) as shown in FIG.
  • the cooling block 80 has a columnar shape substantially equal in diameter to the wafer W, and the whole upper surface of the cooling block 80 comes into contact with the rear surface of the heater 75 when the cooling block 80 is moved up as shown in FIG. 2 .
  • a refrigerant channel 85 through which a refrigerant, for example, a fluorine-based inert chemical solution (Galden) flows is provided in the cooling block 80 .
  • a refrigerant for example, a fluorine-based inert chemical solution (Galden) flows
  • Galden fluorine-based inert chemical solution
  • the refrigerant feed pipe 86 and the refrigerant drain pipe 87 are formed of bellows, flexible tubes, or the like so that the feeding of the refrigerant is not prevented when the cooling block 80 moves up/down by the operation of the aforesaid lifter device 82 .
  • a cushion plate 90 for bringing the cooling block 80 into close contact with the lower surface of the heater 75 is provided between the cooling block 80 and the lifter device 82 .
  • a plurality of coil springs 91 are provided between the lower surface of the cooling block 80 and an upper surface of the cushion plate 90 , and the cooling block 80 can be inclined in a desired direction relative to the cushion plate 90 .
  • a lower surface of the cushion plate 90 is connected to a piston rod 92 of the lifter device 82 via a floating joint 93 , so that the cushion plate 90 itself can also be inclined in a desired direction relative to the piston rod 92 .
  • the upper surface of the cooling block 80 comes into close contact with the whole lower surface of the heater 75 .
  • the cooling block 80 has a disk shape substantially equal in diameter to the wafer W, and by transferring the cold heat of the cooling block 80 to the whole wafer W via the heater 75 and the face plate 47 , it is possible to cool the whole wafer W uniformly.
  • Total heat capacity of the face plate 47 and the heater 75 is set smaller than heat capacity of the cooling block 80 .
  • the aforesaid face plate 47 and heater 75 each have, for example, a thin plate shape with relatively small heat capacity and are made of a material excellent in heat transfer property such as SiC.
  • the cooling block 80 has a columnar shape whose thickness is sufficiently larger than the total thickness of the face plate 47 and the heater 75 . Therefore, in the state where the cooling block 80 is moved up to be in contact with the lower surface of the heater 75 as shown in FIG. 2 , it is possible to rapidly cool the face plate 47 and the heater 75 by transferring the heat of the cooling block 80 to the face plate 47 and the heater 75 .
  • the face plate 47 and the heater 75 can be heated when the heater 75 is supplied with electricity.
  • the face plate 47 and the heater 75 can be rapidly heated to a predetermined temperature owing to their relatively small heat capacity, which enables rapid heating of the wafer W placed on the upper surface of the face plate 47 .
  • the COR apparatus 22 a has a gas supply mechanism 100 supplying predetermined gases into the process chamber 41 .
  • the gas supply mechanism 100 includes an HF supply path 101 through which hydrogen fluoride gas (HF) as the process gas containing the halogen element is supplied into the process chamber 41 , an NH 3 supply path 102 through which ammonia gas (NH 3 ) as the basic gas is supplied into the process chamber 41 , an Ar supply path 103 through which argon gas (Ar) as inert gas is supplied into the process chamber 41 , an N 2 supply path 104 through which nitrogen gas (N 2 ) as inert gas is supplied into the process chamber 41 , and a showerhead 105 .
  • HF hydrogen fluoride gas
  • NH 3 ammonia gas
  • Ar argon gas
  • N 2 nitrogen gas
  • the HF supply path 101 is connected to a supply source 111 of the hydrogen fluoride gas. Further, the HF supply path 101 has in its middle a flow rate regulating valve 112 capable of opening/closing the HF supply path 101 and adjusting a supply flow rate of the hydrogen fluoride gas.
  • the NH 3 supply path 102 is connected to a supply source 113 of the ammonia gas. Further, the NH 3 supply path 102 has in its middle a flow rate regulating valve 114 capable of opening/closing the NH 3 supply path 102 and adjusting a supply flow rate of the ammonia gas.
  • the Ar supply path 103 is connected to a supply source 115 of the argon gas.
  • the Ar supply path 103 has in its middle a flow rate regulating valve 116 capable of opening/closing the Ar supply path 103 and adjusting a supply flow rate of the argon gas.
  • the N 2 supply path 104 is connected to a supply source 117 of the nitrogen gas. Further, the N 2 supply path 104 has in its middle a flow rate regulating valve 118 capable of opening/closing the N 2 supply path 104 and adjusting a supply flow rate of the nitrogen gas.
  • the supply paths 101 , 102 , 103 , 104 are connected to the showerhead 105 provided in a ceiling portion of the process chamber 41 , and the hydrogen fluoride gas, the ammonia gas, the argon gas, and the nitrogen gas are diffusively jetted from the showerhead 105 into the process chamber 41 .
  • an exhaust mechanism 121 exhausting the gas out of the process chamber 41 is provided.
  • the exhaust mechanism 121 includes an exhaust path 125 having in its middle an opening/closing valve 122 and an exhaust pump 123 for forced exhaust.
  • the functional elements of the processing system 1 and the COR apparatuses 22 a are connected via signal lines to the control unit 4 automatically controlling the operation of the whole processing system 1 .
  • the functional elements refer to all the elements which operate for realizing predetermined process conditions, such as, for example, the first wafer carrier mechanism 11 , the gate valves 14 , 25 , 26 , and the second wafer carrier mechanism 31 which are provided in the processing system 1 , and the lifter mechanism 50 , the heater 75 , the lifter device 82 , refrigerant supply to the cooling block 80 , the gas supply mechanism 100 , the exhaust mechanism 121 , and so on which are provided in the COR apparatus 22 a .
  • the control unit 4 is typically a general-purpose computer capable of realizing an arbitrary function depending on software that it executes.
  • the control unit 4 has an arithmetic part 4 a including a CPU (central processing unit), an input/output part 4 b connected to the arithmetic part 4 a , and a storage medium 4 c storing control software and inserted in the input/output part 4 b .
  • the control software (program) recorded in the storage medium 4 c causes the processing system 1 and the COR apparatus 22 a to perform a predetermined substrate processing method to be described later when executed by the control unit 4 .
  • the control unit 4 controls the functional elements of the processing system 1 and the COR apparatus 22 a so that various process conditions (for example, pressure of the process chamber 41 and so on) defined by a predetermined process recipe are realized.
  • the storage medium 4 c may be the one fixedly provided in the control unit 4 , or may be the one removably inserted in a not-shown reader provided in the control unit 4 and readable by the reader.
  • the storage medium 4 c is a hard disk drive in which the control software has been installed by a serviceman of a maker of the processing system 1 .
  • the storage medium 4 c is a removable disk such as CD-ROM or DVD-ROM in which the control software is written. Such a removable disk is read by an optical reader (not shown) provided in the control unit 4 .
  • the storage medium 4 c may be either of a RAM (random access memory) type or a ROM (read only memory) type.
  • the storage medium 4 c may be a cassette-type ROM.
  • the control software may be stored in a management computer centrally controlling the control units 4 of the processing systems 1 .
  • each of the processing systems 1 is operated by the management computer via a communication line to execute a predetermined process.
  • FIG. 8 is a rough sectional view of the wafer W which has not yet undergone the etching process, showing part of the surface of the wafer W (device formation surface).
  • the wafer W is, for example, a thin-plate silicon wafer formed in a substantially disk shape, and on the surface of the wafer W, formed is a structure composed of the Si (silicon) layer 150 as a base material of the wafer W, an oxide layer (silicon dioxide: SiO 2 ) 151 used as an interlayer insulation layer, a Poly-Si (polycrystalline silicon) layer 152 used as a gate electrode, and, for example, TEOS (tetraethylorthosiicate: Si(OC 2 H 5 ) 4 ) layers 153 as sidewall portions made of an insulator.
  • TEOS tetraethylorthosiicate: Si(OC 2 H 5 ) 4
  • a surface (upper surface) of the Si layer 150 is substantially flat, and the oxide layer 151 is stacked to cover the surface of the Si layer 150 . Further, the oxide layer 151 is formed in, for example, a diffusion furnace through a thermal CVD reaction.
  • the Poly-Si layer 152 is formed on a surface of the oxide layer 151 and is etched along a predetermined pattern shape. Therefore, some portions of the oxide layer 151 are covered by the Poly-Si layer 152 , and other portions thereof are exposed.
  • the TEOS layers 153 are formed to cover side surfaces of the Poly-Si layer 152 .
  • the Poly-Si layer 152 has a substantially prismatic cross section and is formed in a long and thin plate shape extending in a direction from the near side toward the far side in FIG. 8 , and the TEOS layers 153 are provided on the right and left side surfaces of the Poly-Si layer 12 to extend along the direction from the near side toward the far side and to cover the Poly-Si layer 152 from its lower edge to upper edge.
  • the surface of the oxide layer 151 is exposed.
  • FIG. 9 shows a state of the wafer W having undergone the etching process.
  • the wafer W is subjected to, for example, dry etching. Consequently, as shown in FIG. 9 , on the surface of the wafer W, the exposed oxide layer 151 and the Si layer 150 covered by the oxide layer 151 are partly removed. Specifically, on the right and left sides of the Poly-Si layer 152 and the TEOS layers 153 , recessed portions 155 are formed respectively by the etching.
  • the recessed portions 155 are formed so as to sink into the Si layer 150 from the height of the surface of the oxide layer 151 , and the Si layer 150 is exposed on inner surfaces of the recessed portions 155 .
  • the natural oxide films (silicon dioxide: SiO 2 ) 156 are formed on the inner surfaces of the recessed portions 155 since the Si layer 150 is easily oxidized.
  • the wafer W thus subjected to the etching process by a dry etching apparatus (not shown) or the like and having the natural oxide films 156 formed on the inner surfaces of the recessed portions 155 as shown in FIG. 9 is housed in the carrier C to be carried to the processing system 1 .
  • the carrier C housing the plural wafers W is placed on the mounting table 13 , and one of the wafers W is taken out of the carrier C by the wafer carrier mechanism 11 to be carried into the load lock chamber 24 .
  • the load lock chamber 24 is airtightly closed and pressure-reduced. Thereafter, the load lock chamber 24 and the common carrier chamber 21 whose pressure is reduced below the atmospheric pressure are made to communicate with each other. Then, the wafer W is carried out of the load lock chamber 24 to be carried into the common carrier chamber 21 by the wafer carrier mechanism 31 .
  • the wafer W carried into the common carrier chamber 21 is first carried into the process chamber 41 of the COR apparatus 22 a .
  • the wafer W is carried into the process chamber 41 of the COR apparatus 22 a by either of the carrier arms 31 a, 31 b of the wafer carrier mechanism 31 , with its surface (device formation surface) facing upward.
  • the lifter pins 52 of the lifter mechanism 50 move up and receive the wafer W.
  • the lifter pins 52 move down to place the wafer W on the upper surface of the mounting table 45 (the upper surface of the face plate 47 ).
  • the load/unload port 42 is closed to make the inside of the process chamber 41 airtight.
  • the pressure of the process chamber 41 has been reduced to a pressure close 5 to vacuum.
  • the cooling block 80 is moved up by the operation of the lifter device 82 as shown in FIG. 2 to bring the upper surface of the cooling block 80 into close contact with the whole lower surface of the heater 75 .
  • the cold heat of the cooling block 80 cooled in advance by the refrigerant which is circulatingly supplied to the refrigerant channel 85 is transferred to the face plate 47 and the heater 75 , so that the face plate 47 and the heater 75 can be rapidly cooled since the total heat capacity of the face plate 47 and the heater 75 is smaller than the heat capacity of the cooling block 80 . Consequently, the wafer W placed on the upper surface of the face plate 47 is cooled to, for example, about 25° C. Incidentally, in the state where the cooling block 80 is thus moved up, the heat generation of the heater 75 is not required.
  • the hydrogen fluoride gas, the ammonia gas, the argon gas, and the nitrogen gas are supplied into the process chamber 41 through the respective supply paths 101 , 102 , 103 , 104 , followed by the chemical processing step for turning the natural oxide films 156 on the surface of the wafer W into the reaction products.
  • the pressure in the process chamber 41 is reduced to about 0.1 Torr (about 13.3 Pa) or lower, for instance.
  • the natural oxide films 156 existing on the surface of the wafer W chemically react with molecules of the hydrogen fluoride gas and molecules of the ammonia gas to be turned into the reaction products.
  • the PHT step heat treatment step
  • the cooling block 80 is moved down by the operation of the lifter device 82 as shown in FIG. 3 to be separated from the lower surface of the heater 75 .
  • the electricity supply to the heater 75 the face plate 47 and the heater 75 are heated to, for example, about 100° C. or higher.
  • the face plate 47 and the heater 75 can be rapidly heated to the target temperature owing to their relatively small heat capacity, which enables rapid heating of the wafer W placed on the upper surface of the face plate 47 .
  • the inside of the process chamber 41 is forcedly exhausted by the exhaust mechanism 121 along with the supply of the argon gas and the nitrogen gas into the process chamber 41 through the respective supply paths 103 , 104 , and reaction products 156 ′ produced by the above chemical processing step are heated and vaporized to be removed from the inner surfaces of the recessed portions 155 .
  • the surface of the Si layer 150 is exposed (see FIG. 10 ).
  • Such a heat treatment step following the chemical processing step makes it possible to dry-clean the wafer W and remove the natural oxide films 156 from the Si layer 150 by dry etching.
  • the supply of the argon gas and the nitrogen gas is stopped and the load/unload port 42 (gate valve 25 ) of the COR apparatus 22 a is opened. Thereafter, the wafer W is carried out of the process chamber 41 by the wafer carrier mechanism 31 to be carried into the epitaxial growth apparatus 23 .
  • the SiGe film forming processing step is then started.
  • reaction gas supplied to the epitaxial growth apparatus 23 and the Si layer 150 exposed in the recessed portions 155 of the wafer W chemically react with each other, so that SiGe layers 160 are epitaxially grown on the recessed portions 155 (see FIG. 11 ).
  • the SiGe layers 160 are suitably grown with the surface of the Si layer 150 serving as their base.
  • a portion of the Si layer 150 sandwiched by the SiGe layers 160 is given a compressive stress from both sides. That is, under the Poly-Si layer 152 and the oxide layer 151 , a strained Si layer 150 ′ having a compressive strain is formed in the portion sandwiched by the SiGe layers 160 .
  • the wafer W is carried out of the epitaxial growth apparatus 23 by the wafer carrier mechanism 31 to be carried into the load lock chamber 24 .
  • the load lock chamber 24 is airtightly closed and thereafter the load lock chamber 24 and the carrier chamber 12 are made to communicate with each other.
  • the wafer W is carried out of the load lock chamber 24 to be returned to the carrier C on the mounting table 13 by the wafer carrier mechanism 11 .
  • a series of processes in the processing system 1 is finished.
  • a COR apparatus 22 a it is possible to rapidly cool the wafer W placed on the upper surface of the face plate 47 by bringing the cooling block 80 as the first temperature adjusting member into thermal contact with the face plate 47 as the support member. Further, when the cooling block 80 is separated from the face plate 47 , the wafer W placed on the upper surface of the face plate 47 can be rapidly heated by the heat generated from the heater 75 as the second temperature adjusting member. This enables rapid heat treatment of the wafer W, which can shorten the processing time to improve a throughput. Further, since the wafer W can be COR-processed in the same process chamber 41 , the COR apparatus 22 a can be compact and a complicated transfer sequence for transferring the wafer W is not required.
  • the cooling block 80 is disposed outside the pressure-reduced process chamber 41 and comes into thermal contact with the rear surface (lower surface) side of the face plate 47 , the cooling block 80 is prevented from coming into a so-called vacuum heat insulation state and thus is capable of efficiently cooling the face plate 47 .
  • the cooling block 80 is supported via the cushion plate 90 and the coil springs 91 , the whole upper surface of the cooling block 80 can be in contact with the rear surface of the heater 75 , which makes it possible to cool the whole face plate 47 to uniformly cool the wafer W.
  • FIG. 12 and FIG. 13 are explanatory views of the COR apparatus 22 b according to the second embodiment of the present invention.
  • FIG. 12 shows a state where the wafer W is placed on a mounting table 245 (first processing position).
  • FIG. 13 shows a state where the wafer W is lifted up from the mounting table 245 (second processing position).
  • the COR apparatus 22 b includes a casing 240 , and the inside of the casing 240 is an airtight process chamber (processing space) 241 housing the wafer W.
  • the casing 240 is made of metal such as aluminum (Al) or an aluminum alloy which has been surface-treated, for instance, anodized.
  • the casing 240 has on its one side surface a load/unload port 242 through which the wafer W is loaded/unloaded to/from the process chamber 241 , and the aforesaid gate valve 25 is provided on the load/unload port 242 .
  • a mounting table 245 is provided to have the wafer W placed thereon in a substantially horizontal state.
  • the mounting table 245 functions as a first temperature adjusting member temperature-adjusting the wafer W placed on the mounting table 245 .
  • the mounting table 245 has a columnar shape substantially equal in diameter to the wafer W and is made of a material excellent in heat transfer property, for example, metal such as aluminum (Al) or an aluminum alloy.
  • a plurality of abutting pins 246 as abutting members abutting on a lower surface of the wafer W are provided so as to protrude upward.
  • the abutting pins 246 are made of the same material as that of the mounting table 245 or made of ceramics, resin, or the like.
  • the wafer W is supported substantially horizontally on the upper surface of the mounting table 245 while a plurality of points of its lower surface are set on upper end portions of the abutting pins 246 respectively.
  • the position (height) of the wafer W placed on the upper surface of the mounting table 245 as shown in FIG. 12 is defined as a “first processing position”.
  • a refrigerant channel 250 is provided in the mounting table 245 .
  • a refrigerant channel 250 is provided.
  • a refrigerant feed pipe 251 and a refrigerant drain pipe 252 By circulatingly supplying a refrigerant to the refrigerant channel 250 from the outside of the casing 240 through a refrigerant feed pipe 251 and a refrigerant drain pipe 252 , it is possible to cool the mounting table 245 to about 25° C., for instance, and to cool the wafer W placed on the mounting table 245 .
  • a refrigerant such as, for example, a fluorine-based inert chemical solution (Galden) is supplied to the refrigerant channel 250 .
  • Galden fluorine-based inert chemical solution
  • lifter pins 255 are provided which receive/deliver the wafer W from/to either of the carrier arms 31 a, 31 b of the aforesaid wafer carrier mechanism 31 when the wafer W is loaded/unloaded.
  • the lifter pins 255 move up/down by the operation of a cylinder device 256 disposed outside the casing 240 .
  • the lifter pins 255 move up so that the upper ends thereof reach the height of the load/unload port 242 as shown by the dashed line in FIG.
  • the lifter pins 255 move down, so that the wafer W is placed on the upper surface of the mounting table 245 . Further, when the wafer W is carried out of the COR apparatus 22 b , the lifter pins 255 first move up, so that the wafer W is lifted up to the height of the load/unload port 242 as shown by the dashed line in FIG. 12 . Thereafter, either of the carrier arms 31 a, 31 b of the aforesaid wafer carrier mechanism 31 receives the wafer W from the lifter pins 255 to carry the wafer W out of the COR apparatus 22 b . For convenience of the description, the position (height) of the wafer W lifted up to the height of the load/unload port 242 by the lifter pins 255 as shown by the dashed line in FIG. 12 is defined as a “load/unload position”.
  • a lifter mechanism 260 is provided to lift the wafer W placed on the upper surface of the mounting table 245 up to a position still higher than the aforesaid load/unload position.
  • the lifter mechanism 260 is structured such that a ring-shaped support member 261 surrounding an outer side of the wafer W is attached via a bracket 264 to an upper end of a piston rod 263 of the cylinder device 262 disposed outside the casing 240 .
  • a bellows 265 is attached to allow the upward/downward movement of the piston rod 263 while keeping the inside of the process chamber 241 airtight.
  • a stepped portion 261 ′ capable of housing an outer edge portion of the lower surface of the wafer W is formed, and when the piston rod 263 is extended by the operation of the cylinder device 262 , the wafer W is lifted up to the position still higher than the load/unload position while the outer edge portion of the lower surface of the wafer W is housed in the stepped portion 261 ′ of the support member 261 , as shown in FIG. 13 .
  • the position (height) of the wafer W lifted up from the upper surface of the mounting table 245 by the lifter mechanism 260 as shown in FIG. 13 is defined as a “second processing position”.
  • the stepped portion 261 ′ of the support member 261 moves down to a position slightly lower than the upper ends of the abutting pins 246 on the upper surface of the mounting table 245 , so that the wafer W comes to be supported by the abutting pins 246 on the upper surface of the mounting table 245 (first processing position).
  • a partition member 270 is disposed.
  • the partition member 270 is fixed to an inner peripheral surface of the casing 240 and is horizontally disposed so as to partition an area around the support member 261 which has been lifted up to the second processing position while the outer edge portion of the lower surface of the wafer W is housed in the stepped portion 261 ′.
  • the partition member 270 is made of a heat insulating material such as, for example, VESPEL (registered trademark).
  • the casing 240 has, on its side surface, a transparent window portion 271 .
  • a lamp heater 272 as a second temperature adjusting member is disposed on an outer side of the window portion 271 to emit infrared rays from the outside of the process chamber 241 into the process chamber 241 through the window portion 271 .
  • the infrared rays are emitted into the process chamber 241 from the lamp heater 272 through the window portion 271 , so that the wafer W at the second processing position is heated.
  • a gas supply mechanism 280 supplying predetermined gases into the process chamber 241 is provided.
  • the gas supply mechanism 280 includes an HF supply path 281 through which hydrogen fluoride gas (HF) as the process gas containing the halogen element is supplied into the process chamber 241 , an NH 3 supply path 282 through which ammonia gas (NH 3 ) as the basic gas is supplied into the process chamber 241 , an Ar supply path 283 through which argon gas (Ar) as inert gas is supplied into the process chamber 241 , an N 2 supply path 284 through which nitrogen gas (N 2 ) as inert gas is supplied into the process chamber 241 , and a showerhead 285 .
  • HF hydrogen fluoride gas
  • NH 3 ammonia gas
  • Ar argon gas
  • N 2 nitrogen gas
  • the HF supply path 281 is connected to a supply source 291 of the hydrogen fluoride gas. Further, the HF supply path 281 has in its middle a flow rate regulating valve 292 capable of opening/closing the HF supply path 281 and adjusting a supply flow rate of the hydrogen fluoride gas.
  • the NH 3 supply path 282 is connected to a supply source 293 of the ammonia gas. Further, the NH 3 supply path 282 has in its middle a flow rate regulating valve 294 capable of opening/closing the ammonia supply path 282 and adjusting a supply flow rate of the ammonia gas.
  • the Ar supply path 283 is connected to a supply source 295 of the argon gas.
  • the Ar supply path 283 has in its middle a flow rate regulating valve 296 capable of opening/closing the Ar supply path 283 and adjusting a supply flow rate of the argon gas.
  • the N 2 supply path 284 is connected to a supply source 297 of the nitrogen gas.
  • the N 2 supply path 284 has in its middle a flow rate regulating valve 298 capable of opening/closing the N 2 supply path 284 and adjusting a supply flow rate of the nitrogen gas.
  • the supply paths 281 , 282 , 283 , 284 are connected to the showerhead 285 provided in a ceiling portion of the process chamber 241 , and the hydrogen fluoride gas, the ammonia gas, the argon gas, and the nitrogen gas are difusively jetted from the showerhead 285 into the process chamber 241 .
  • a first exhaust mechanism 300 exhausting the inside of the process chamber 241 under the aforesaid partition member 270 ; and a second exhaust mechanism 301 exhausting the inside of the process chamber 241 above the partition member 270 .
  • the first exhaust mechanism 300 includes an exhaust path 304 having in its middle an opening/closing valve 302 and an exhaust pump 303 for forced exhaust. An upstream end portion of the exhaust path 304 is opened at a bottom surface of the casing 240 .
  • the second exhaust mechanism 301 includes an exhaust path 307 having in its middle an opening/closing valve 305 and an exhaust pump 306 for forced exhaust. An upstream end portion of the exhaust path 307 is opened at a side surface of the casing 240 above the partition member 270 .
  • the functional elements controlled by the control unit 4 refer to all the elements which operate for realizing predetermined process conditions, for example, the first wafer carrier mechanism 11 , the gate valves 14 , 25 , 26 , and the second wafer carrier mechanism 31 which are provided in the processing system 1 , and refrigerant supply to the mounting table 245 , the cylinder device 256 , the lifter mechanism 260 , the lamp heater 272 , the gas supply mechanism 280 , the exhaust mechanisms 300 , 301 , and so on which are provided in the COR apparatus 22 b.
  • the carrier C housing the plural wafers W is placed on the mounting table 13 , and one of the wafers W is taken out of the carrier C by the wafer carrier mechanism 11 to be carried into the load lock chamber 24 .
  • the load lock chamber 24 is airtightly closed and pressure-reduced. Thereafter, the load lock chamber 24 and the common carrier chamber 21 whose pressure is reduced below the atmospheric pressure are made to communicate with each other. Then, the wafer W is carried out of the load lock chamber 24 to be carried into the common carrier chamber 21 by the wafer carrier mechanism 31 .
  • the wafer W carried into the common carrier chamber 21 is first carried into the process chamber 241 of the COR apparatus 22 b .
  • the wafer W is carried into the process chamber 241 of the COR apparatus 22 b by either of the carrier arms 31 a, 31 b of the wafer carrier mechanism 31 , with its surface (device formation surface) facing upward.
  • the lifter pins 255 move up and receive the wafer W from the carrier arm 31 a, 31 b which has lifted up the wafer W to the load/unload position.
  • the lifter pins 255 move down to place the wafer W on the upper surface of the mounting table 245 , so that the wafer W is moved to the first processing position as shown in FIG. 12 .
  • the load/unload port 242 is closed to make the inside of the process chamber 241 airtight.
  • the support member 261 is in a lowered s state.
  • the pressure of the process chamber 241 has been reduced to a pressure close to vacuum (for example, several Torr to several tens Torr) by both of the exhaust mechanisms 300 , 301 or one of the exhaust mechanisms 300 , 301 .
  • the refrigerant is circulatingly supplied to the refrigerant channel 250 through the refrigerant feed pipe 251 and the refrigerant drain pipe 252 to cool the mounting table 245 to about 25° C., for instance.
  • the wafer W placed on the mounting table 245 is cooled to about 25° C., for instance.
  • the hydrogen fluoride gas, the ammonia gas, the argon gas, and the nitrogen gas are supplied into the process chamber 241 through the respective supply paths 281 , 282 , 283 , 284 , and the wafer W at the first processing position is subjected to the chemical processing step for turning the natural oxide films 156 on the surface of the wafer W into the reaction products.
  • the pressure in the process chamber 241 is reduced to about several tens mTorr to about several Torr, for instance.
  • the natural oxide films 156 existing on the surface of the wafer W chemically react with molecules of the hydrogen fluoride gas and molecules of the ammonia gas to be turned into the reaction products.
  • the supply of the hydrogen fluoride gas and the ammonia gas through the supply paths 281 , 282 is stopped.
  • the supply of the argon gas and the nitrogen gas through the supply paths 283 , 284 may be stopped at the same time, but the supply of the argon gas and the nitrogen gas into the process chamber 241 through the supply paths 283 , 284 may be continued even after the chemical processing step is finished.
  • the wafer W is moved from the first processing position to the second processing position.
  • the piston rod 263 is extended by the operation of the cylinder device 262 of the lifter mechanism 260 , so that the wafer W is lifted up to the second processing position while the outer edge portion of the lower surface of the wafer W is housed in the stepped portion 261 ′ of the support member 261 as shown in FIG. 13 . Consequently, the wafer W, the support member 261 , and the partition member 270 partition the inside of the process chamber 241 into a space 241 a above the wafer W and a space 241 b under the wafer W.
  • the inside of the process chamber 241 is also forcedly exhausted by both of the exhaust mechanisms 300 , 301 or one of the exhaust mechanisms 300 , 301 so that the pressure in the process chamber 241 is reduced to about several tens mTorr to about several Torr, for instance.
  • the PHT step heat treatment step
  • the infrared rays are emitted from the lamp heater 272 into the process chamber 241 through the window portion 271 to heat the wafer W at the second processing position to a temperature equal to or higher than about 100° C., for instance.
  • the wafer W can be rapidly heated to the target temperature since heat capacity of the wafer W itself is relatively small.
  • the emission of the infrared rays by the lamp heater 272 may be started before the wafer W is moved to the second processing position.
  • the upper space 241 a in the process chamber 241 is forcedly exhausted by the exhaust mechanism 301 while the argon gas and the nitrogen gas are supplied into the process chamber 241 through the supply paths 283 , 284 , and reaction products 156 ′ produced by the aforesaid chemical processing are heated and vaporized to be removed from the inner surfaces of the recessed portions 155 .
  • the pressure of the upper space 241 a is reduced to about several Torr to about several tens Torr, for instance, and the pressure of the lower space 241 b is reduced to about several hundreds mTorr to about several Torr, for instance.
  • the surface of the Si layer 150 is exposed by the heat treatment (see FIG. 10 ).
  • Such heat treatment following the chemical processing makes it possible to dry-clean the wafer W and remove the natural oxide films 156 from the Si layer 150 by dry-etching.
  • the supply of the argon gas and the nitrogen gas is stopped and the load/unload port 242 (gate valve 25 ) of the COR apparatus 22 b is opened.
  • the supply of the argon gas and the nitrogen gas into the process chamber 241 through the supply paths 283 , 284 may be continued even after the COR processing is finished.
  • the lifter pins 255 move up from the mounting table 245 , and the piston rod 263 is contracted by the operation of the cylinder device 262 of the lifter mechanism 260 , so that the wafer W is moved down from the second processing position. Then, the wafer W is delivered to the lifter pins 255 from the support member 261 on its way downward. Thus, the wafer W is moved to the load/unload position.
  • the wafer W is carried out of the process chamber 241 by the wafer carrier mechanism 31 , and then carried into the epitaxial growth apparatus 23 .
  • the supply of the argon gas and the nitrogen gas into the process chamber 241 through the supply paths 283 , 284 may be continued and the inside of the process chamber 241 may be forcedly exhausted by both of the exhaust mechanisms 300 , 301 or one of the exhaust mechanisms 300 , 301 so that the pressure in the process chamber 241 is reduced to about several Torr to about several tens Torr, for instance.
  • the SiGe film forming processing is then started.
  • reaction gas supplied to the epitaxial growth apparatus 23 and the Si layer 150 exposed in the recessed portions 155 of the wafer W chemically react with each other, so that SiGe layers 160 are epitaxially grown on the recessed portions 155 (see FIG. 11 ).
  • the SiGe layers 160 are suitably grown with the surface of the Si layer 150 serving as their base.
  • a portion of the Si layer 150 sandwiched by the SiGe layers 160 is given a compressive stress from both sides. That is, under the Poly-Si layer 152 and the oxide layer 151 , a strained Si layer 150 ′ having a compressive strain is formed in the portion sandwiched by the SiGe layers 160 .
  • the wafer W is carried out of the epitaxial growth apparatus 23 by the wafer carrier mechanism 31 to be carried into the load lock chamber 24 .
  • the load lock chamber 24 is airtightly closed and thereafter the load lock chamber 24 and the carrier chamber 12 are made to communicate with each other.
  • the wafer W is carried out of the load lock chamber 24 to be returned to the carrier C on the mounting table 13 by the wafer carrier mechanism 11 .
  • a series of processes in the processing system 1 is finished.
  • the wafer W in the process chamber 241 , can be cooled and chemically processed on the mounting table 245 when it is at the first processing position, and the wafer W can be heated by the lamp heater 272 and heat-treated when it is at the second processing position.
  • the wafer W By thus moving the wafer W to the first processing position and to the second processing position in the process chamber 241 , it is possible to rapidly heat and cool the wafer W. This enables rapid heat treatment, which can shorten the processing time to improve a throughput.
  • the COR apparatus 22 b can be compact and a complicated transfer sequence for transferring the wafer W is not required.
  • the inside of the process chamber 241 is partitioned into the space 241 a above the wafer W and the space 241 b under the wafer W, and consequently, heat by the lamp heater 272 is not easily transferred to the lower space 241 b, which can prevent a temperature increase of the mounting table 245 set in a lower area (an area under the partition member 270 ) in the process chamber 241 . Accordingly, the mounting table 245 is kept in a state where it can easily cool the wafer W placed thereon next. In this case, if the partition member 270 is made of a heat insulating material, it is possible to more effectively prevent the temperature increase of the mounting table 245 .
  • the upper space 241 a in the process chamber 241 is forcedly exhausted by the exhaust mechanism 301 during the heat treatment, vapor of the reaction products 156 ′ vaporized from the surface of the wafer W can be discharged without entering the lower space 241 b, which can prevent the reaction products 156 ′ from adhering again to a rear surface of the wafer W and the lower area in the process chamber 241 (the area under the partition member 270 ).
  • the upper area in the process chamber 241 (the area above the partition member 270 ) becomes higher in temperature than the lower area in the process chamber 241 since the upper area is heated by the lamp heater 272 , and therefore the reaction products 156 ′ are difficult to adhere to the upper area. Accordingly, the reaction products 156 ′ do not easily adhere to the entire process chamber 241 , which makes it possible to keep the inside of the process chamber 241 clean.
  • the rear surface of the face plate 47 is covered by the heater 75 so that the cold heat of the cooling block 80 is transferred to the face plate 47 via the heater 75 , but the cooling block 80 may come into direct contact with the face plate 47 .
  • the heaters 75 are held with, for example, a metallized stud of the face plate 47 or an adhesive.
  • the cooling block 80 By the cooling block 80 thus coming into direct contact with the face plate 47 , more rapid cooling is possible. Further, depending on the depth and width of the grooves, the contact area of the heaters 75 and the face plate 47 can be increased, which can realize more rapid temperature increase. Further, for improved heat transfer efficiency to the face plate 47 , the upper surface of the cooling block 80 may be coated with grease, gelatinous substance, or the like high in heat transfer property. Further, a sheet or the like with a high heat transfer property may be provided on the upper surface of the cooling block 80 . Further, for decreased thermal resistance between the heaters 75 and the face plate 47 , a filler such as an adhesive or a heat transfer material may be provided between the heaters 75 and the face plate 47 .
  • the mounting table 245 including the refrigerant channel 250 is shown as an example of the first temperature adjusting member
  • the lamp heater 272 is shown as an example of the second temperature adjusting member.
  • the second temperature adjusting mechanism may be a heating mechanism provided in the middle of the N 2 supply path 284 in order to increase the temperature of the nitrogen gas.
  • the nitrogen gas whose temperature has been increased may be jetted to the upper space 241 a of the process chamber 241 from the showerhead 285 to heat the wafer W.
  • a heating mechanism may be provided in the Ar supply path 283 .
  • the wafer W may be heated by the combination of the lamp heater 272 described in the above embodiment and the above heating mechanism.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
US12/047,691 2007-03-16 2008-03-13 Substrate processing apparatus, substrate processing method and storage medium Abandoned US20080223825A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/047,691 US20080223825A1 (en) 2007-03-16 2008-03-13 Substrate processing apparatus, substrate processing method and storage medium
US13/523,233 US20120248064A1 (en) 2007-03-16 2012-06-14 Substrate processing apparatus, substrate processing method and storage medium

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2007068179A JP4949091B2 (ja) 2007-03-16 2007-03-16 基板処理装置、基板処理方法および記録媒体
JP2007-068179 2007-03-16
US94184207P 2007-06-04 2007-06-04
US12/047,691 US20080223825A1 (en) 2007-03-16 2008-03-13 Substrate processing apparatus, substrate processing method and storage medium

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/523,233 Division US20120248064A1 (en) 2007-03-16 2012-06-14 Substrate processing apparatus, substrate processing method and storage medium

Publications (1)

Publication Number Publication Date
US20080223825A1 true US20080223825A1 (en) 2008-09-18

Family

ID=39472598

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/047,691 Abandoned US20080223825A1 (en) 2007-03-16 2008-03-13 Substrate processing apparatus, substrate processing method and storage medium
US13/523,233 Abandoned US20120248064A1 (en) 2007-03-16 2012-06-14 Substrate processing apparatus, substrate processing method and storage medium

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/523,233 Abandoned US20120248064A1 (en) 2007-03-16 2012-06-14 Substrate processing apparatus, substrate processing method and storage medium

Country Status (6)

Country Link
US (2) US20080223825A1 (ko)
EP (1) EP1970940A3 (ko)
JP (1) JP4949091B2 (ko)
KR (3) KR101002553B1 (ko)
CN (1) CN101266924B (ko)
TW (1) TW200901296A (ko)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080223400A1 (en) * 2007-03-16 2008-09-18 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US20090242129A1 (en) * 2008-03-27 2009-10-01 Tokyo Electron, Limited Thermal processing apparatus and processing system
US8956546B2 (en) 2010-08-03 2015-02-17 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20150064809A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Substrate support system
CN104851794A (zh) * 2014-02-19 2015-08-19 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
US20150361559A1 (en) * 2013-03-01 2015-12-17 Tokyo Electron Limited Hydrophobization treatment apparatus, hydrophobization treatment method, and hydrophobization treatment recording medium
CN105895503A (zh) * 2015-02-16 2016-08-24 东京毅力科创株式会社 基板处理方法和基板处理装置
US10121646B2 (en) * 2015-08-07 2018-11-06 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US20190279861A1 (en) * 2016-08-05 2019-09-12 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium with program stored therein for executing substrate processing method
US20220181171A1 (en) * 2011-07-05 2022-06-09 Kioxia Corporation Substrate processing method and substrate processing apparatus

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102456546A (zh) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 对半导体衬底凹陷区进行等离子体放电预处理的方法
JP6110848B2 (ja) * 2012-05-23 2017-04-05 東京エレクトロン株式会社 ガス処理方法
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US10366798B2 (en) 2014-09-03 2019-07-30 Lori SEXTON Garment with electromagnetic radiation shielded pocket
CN105655279A (zh) * 2014-11-14 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 承载装置及半导体加工设备
US10622205B2 (en) 2015-02-16 2020-04-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
JP6478828B2 (ja) * 2015-06-16 2019-03-06 東京エレクトロン株式会社 成膜装置、成膜方法および基板載置台
JP6552380B2 (ja) * 2015-10-28 2019-07-31 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP6602699B2 (ja) * 2016-03-14 2019-11-06 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP6692202B2 (ja) * 2016-04-08 2020-05-13 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11427920B2 (en) * 2016-10-07 2022-08-30 Tokyo Electron Limited Electrolytic processing jig and electrolytic processing method
JP6794976B2 (ja) * 2017-12-15 2020-12-02 株式会社ダイフク 移載設備、移載方法
JP7161854B2 (ja) * 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
JP7195060B2 (ja) * 2018-05-17 2022-12-23 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202310038A (zh) * 2021-05-31 2023-03-01 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US20040184792A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US20040182417A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20050218507A1 (en) * 2004-02-26 2005-10-06 Applied Materials, Inc. Lid assembly for front end of line fabrication
US20050269030A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Processing system and method for treating a substrate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0338033A (ja) * 1989-07-05 1991-02-19 Sony Corp 低温エッチング装置
JP2969918B2 (ja) * 1990-11-08 1999-11-02 ソニー株式会社 ドライエッチング装置
JP4124543B2 (ja) * 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
KR20060038925A (ko) * 2003-05-07 2006-05-04 액셀리스 테크놀러지스, 인크. 광역온도범위의 척 시스템
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP4712462B2 (ja) * 2005-07-11 2011-06-29 東京エレクトロン株式会社 基板処理監視装置、基板処理監視システム、基板処理監視プログラム及び記録媒体

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US20040184792A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US20040182417A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20050218507A1 (en) * 2004-02-26 2005-10-06 Applied Materials, Inc. Lid assembly for front end of line fabrication
US20050269030A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Processing system and method for treating a substrate

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080223400A1 (en) * 2007-03-16 2008-09-18 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US20090242129A1 (en) * 2008-03-27 2009-10-01 Tokyo Electron, Limited Thermal processing apparatus and processing system
US8956546B2 (en) 2010-08-03 2015-02-17 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20220181171A1 (en) * 2011-07-05 2022-06-09 Kioxia Corporation Substrate processing method and substrate processing apparatus
US11921428B2 (en) * 2011-07-05 2024-03-05 Kioxia Corporation Substrate processing method and substrate processing apparatus
US20150361559A1 (en) * 2013-03-01 2015-12-17 Tokyo Electron Limited Hydrophobization treatment apparatus, hydrophobization treatment method, and hydrophobization treatment recording medium
US9695513B2 (en) * 2013-03-01 2017-07-04 Tokyo Electron Limited Hydrophobization treatment apparatus, hydrophobization treatment method, and hydrophobization treatment recording medium
US20150064809A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Substrate support system
CN104851794A (zh) * 2014-02-19 2015-08-19 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
CN105895503A (zh) * 2015-02-16 2016-08-24 东京毅力科创株式会社 基板处理方法和基板处理装置
US10121646B2 (en) * 2015-08-07 2018-11-06 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US20190279861A1 (en) * 2016-08-05 2019-09-12 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium with program stored therein for executing substrate processing method

Also Published As

Publication number Publication date
CN101266924B (zh) 2011-05-18
KR20080084742A (ko) 2008-09-19
KR20100048967A (ko) 2010-05-11
US20120248064A1 (en) 2012-10-04
KR20100033391A (ko) 2010-03-29
JP4949091B2 (ja) 2012-06-06
CN101266924A (zh) 2008-09-17
JP2008235311A (ja) 2008-10-02
EP1970940A2 (en) 2008-09-17
TW200901296A (en) 2009-01-01
KR101002553B1 (ko) 2010-12-17
EP1970940A3 (en) 2012-01-04

Similar Documents

Publication Publication Date Title
US20080223825A1 (en) Substrate processing apparatus, substrate processing method and storage medium
US20080223400A1 (en) Substrate processing apparatus, substrate processing method and storage medium
US20080223399A1 (en) Substrate processing apparatus, substrate processing method and storage medium
US9490151B2 (en) Substrate processing apparatus and substrate processing method
US9589819B1 (en) Substrate processing apparatus
US20110304078A1 (en) Methods for removing byproducts from load lock chambers
US20090242129A1 (en) Thermal processing apparatus and processing system
US20170183775A1 (en) Substrate processing apparatus
US9076644B2 (en) Substrate processing apparatus, substrate supporter and method of manufacturing semiconductor device
CN106920760B (zh) 衬底处理装置及半导体器件的制造方法
KR20220156911A (ko) 뱃치 열 프로세스 챔버에서 웨이퍼 에지 온도 보정
US10115611B2 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
JP4976002B2 (ja) 基板処理装置,基板処理方法及び記録媒体
JP7438399B2 (ja) バッチ熱処理チャンバ
KR20160049477A (ko) 기상 성장 장치 및 기상 성장 방법
US11393696B2 (en) Method of controlling substrate treatment apparatus, substrate treatment apparatus, and cluster system
KR102241600B1 (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
US20220336238A1 (en) Heating/cooling device and heating/cooling method
JP2012124529A (ja) 基板処理装置,基板処理方法及び記録媒体
TW202322309A (zh) 用於減少基板冷卻時間的設備及方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ONISHI, TADASHI;REEL/FRAME:020971/0690

Effective date: 20080427

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION