US20080131689A1 - Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same - Google Patents

Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same Download PDF

Info

Publication number
US20080131689A1
US20080131689A1 US12/028,534 US2853408A US2008131689A1 US 20080131689 A1 US20080131689 A1 US 20080131689A1 US 2853408 A US2853408 A US 2853408A US 2008131689 A1 US2008131689 A1 US 2008131689A1
Authority
US
United States
Prior art keywords
corrosion
article
substrate
resistant coating
ceramic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/028,534
Inventor
Dominique Billieres
Matthew Simpson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Coorstek Inc
Original Assignee
Saint Gobain Ceramics and Plastics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Saint Gobain Ceramics and Plastics Inc filed Critical Saint Gobain Ceramics and Plastics Inc
Priority to US12/028,534 priority Critical patent/US20080131689A1/en
Publication of US20080131689A1 publication Critical patent/US20080131689A1/en
Assigned to COORSTEK, INC. reassignment COORSTEK, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SAINT-GOBAIN CERAMICS & PLASTICS, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Definitions

  • the present invention is generally directed to ceramic articles, semiconductor wafer processing apparatuses incorporating ceramic articles, semiconductor wafer processing, and methods for forming ceramic articles.
  • processing operations include implant and diffusion, photolithography, film deposition, planarization, test, and assembly (packaging).
  • processing operations such as photolithography typically utilize selected gaseous reactants that are employed to remove material from the semiconductor wafer.
  • Such processes may be utilized to remove selected portions of a deposited layer (such as in photolithography), the entirety of a deposited layer, or to generally clean a wafer or work piece.
  • a certain species of these processes include what is known as etching.
  • Etching processes typically employ fairly highly reactive gas species, many times relying upon halogen species gases.
  • An ongoing problem in the semiconductor wafer processing industry is implementation of semiconductor processing tools that have adequate chemical resistance to such species, particularly at elevated temperatures.
  • components used in certain semiconductor processing tools, such as etch chambers tend to corrode causing increases in particle counts during processing.
  • an article that includes a substrate and a corrosion-resistant coating provided on the substrate.
  • the substrate generally consists essentially of alumina, and the corrosion-resistant coating is provided so as to directly contact the substrate without the provision of intervening layers between the substrate and the corrosion-resistant coating, such as reaction products provided by high-temperature treatment processes.
  • the corrosion-resistant coating generally consists essentially of a rare earth oxide, and has an adhesion strength not less than about 15 MPa.
  • the article is a ceramic component utilized and implemented in a semiconductor processing apparatus for processing semiconductor wafers.
  • a semiconductor wafer processing apparatus includes a chamber being at least partially defined by a chamber wall, the chamber wall comprising mainly a ceramic base material. Further, a corrosion-resistant layer lines the chamber wall and directly contacts the ceramic base material, the corrosion-resistant layer consisting essentially of a rare earth oxide and having an adhesion strength of not less than about 15 MPa. Further, a support for supporting a semiconductor wafer in the chamber is provided.
  • the term “rare earth” oxide generally denotes the lanthanide series elements, as well as yttrium and scandium.
  • a method for processing semiconductor wafers includes placing a semiconductor wafer in a processing apparatus provided in accordance with the features described above, and subjecting the semiconductor wafer to a processing operation, including introducing at least one processing gas into the chamber for reaction with the wafer.
  • the processing operation may include additional steps such as dicing the semiconductor die into individual die to form semiconductor devices, followed by packaging.
  • a method for forming a ceramic article wherein a substrate is pre-heated to a temperature of not less than about 200° C., the substrate consisting essentially of alumina, and thermally spraying a rare earth oxide layer on the substrate, the rare earth oxide having an adhesion strength of not less than about 15 MPa.
  • FIG. 1 illustrates a semiconductor processing apparatus according to an embodiment of the present invention.
  • FIG. 2 illustrates a semiconductor processing apparatus according to another embodiment of the present invention.
  • FIG. 3 illustrates dicing of semiconductor die of a semiconductor wafer.
  • a semiconductor processing apparatus for processing semiconductor wafers.
  • the apparatus may be particularly configured to receive various gaseous species for reaction with a semiconductor wafer provided within a chamber of the apparatus, and the apparatus may be utilized for cleaning, etching, deposition processing, among others.
  • FIG. 1 an embodiment is illustrated, apparatus 10 including a chamber 16 formed of an upper chamber and a lower chamber 12 , 14 , respectively.
  • the chamber defines therein an internal volume in which the processing steps take place.
  • the chamber 16 is defined by chamber walls.
  • the terms “chamber walls” or “walls” are used generally, to denote the structure defining the internal volume of the processing apparatus, and may include generally vertical walls or sidewalls, and generally horizontal walls such as a lid or floor.
  • the upper chamber 12 includes a sidewall 18 , which, together with showerhead 30 forming a lid portion of the upper chamber 12 , defines an internal processing volume of the upper chamber 12 .
  • the sidewall 18 includes a layer 20 deposited thereon. Layer 20 is a corrosion-resistant layer, and is described in more detail hereinbelow.
  • a coil 26 is provided so as to generally surround the sidewall 18 , the coil 26 being connected to high-frequency power source 28 , for generation of a high-frequency electromagnetic field.
  • a cooling mechanism 24 is connected to a cooling source to aid in temperature control within the upper chamber 12 .
  • At least one gas inlet 32 is provided so as to be in gaseous communication between the chamber 16 and an outside gas source (not shown), which may include a reactant gas for semiconductor processing.
  • an outside gas source not shown
  • a plurality of gas inlets are provided through a multilayered structure referred to herein as showerhead 30 .
  • a wafer support 36 is generally provided within lower chamber wall 22 . As shown, the wafer support 36 is provided so as to support and position wafer W, which may be brought into the apparatus 10 through opening gate 34 .
  • the wafer support 36 generally has a chucking feature, and in this case, includes electrostatic chuck 46 . As is generally understood in the art, an electrostatic chuck provides an electrostatic attraction force by putting an embedded electrode at a desired potential. In this case, embedded electrode 48 is biased via DC power source 50 to provide the desired electrostatic chucking force on wafer W.
  • a wafer support 36 also generally includes a heating element 40 embedded in heating layer 41 , the heating element being connected to a power source 42 and controller 44 for maintaining the wafer W at a desired temperature, which is dependent upon the particular processing operation taking place.
  • the support base 38 includes coolant chamber 52 , which may have an annular cross-section (as viewed in the plane perpendicular to the plane of FIG. 1 ), being in fluid communication with coolant intake 54 and coolant exhaust 56 , for flow of coolant fluid through the coolant chamber 52 .
  • the layer 20 may extend so as to cover not only sidewall 18 of upper chamber 12 , but also the wafer support 36 , and the lid portion of the upper chamber 12 formed by showerhead 30 .
  • an interior barrier wall may be provided in the space between the lower chamber wall 22 and the wafer support 36 .
  • This interior barrier wall also known as a liner, may be desirably formed of a robust ceramic material, generally including a base material such as the ceramic base material utilized for sidewall 18 , and further, coated with corrosion-resistant layer 20 .
  • the semiconductor wafer is loaded through gate 34 and placed onto wafer support 36 and positioned thereon by the electrostatic chucking force provided by electrostatic chuck 46 .
  • an electromagnetic field is generated by the coil 26 , and at least one reactant gas is flowed into the chamber through at least one of the gas inlets 32 .
  • the operation may be an etching, cleaning or deposition process, any one of which may utilize desirable reactant species, some of which have generally corrosive properties.
  • exemplary etching gases are shown below in Table 1.
  • FIG. 2 illustrates another embodiment, generally similar to FIG. 1 , but having a different contour for the upper chamber 12 .
  • the components similar to those shown in FIG. 1 are labeled with the same reference numerals, and a detailed discussion is not provided.
  • the upper chamber 12 is generally defined by lid 19 , extending generally horizontally, with short vertical sidewalls.
  • This lid 19 forming a wall of the chamber, is coated with corrosion-resistant coating 20 .
  • gases are generally introduced through the gas inlets 100 , as represented by the arrow labeled G.
  • the wafer may be subjected to additional processing steps, which may include any one of the general process operations described in the background, such as deposition, planarization, further photolithographic and etching processing operations.
  • the wafer is generally diced into individual semiconductor die. This operation is illustrated in FIG. 3 , illustrating wafer W, which is diced into individual die 102 by scribing along scribe lines 100 .
  • the individual die are generally packaged such as in a flip-chip package, plastic encapsulated package, a pin-grid or a ball-grid array package, or any one of the various packages known in the art, including multi-chip modules (MCMs).
  • MCMs multi-chip modules
  • the packaged semiconductor die, forming active semiconductor components may be then incorporated into electronic devices.
  • the semiconductor devices contain at least one of logic circuitry and memory circuitry, respectively forming logic devices and memory devices.
  • the chamber of the processing apparatus is defined by a ceramic member coated with a corrosion-resistant liner.
  • the ceramic members are represented by sidewall 18 and lid 19 , respectively, each coated with corrosion-resistant layer 20 .
  • the base material of the ceramic member forming the lid 19 or sidewall 18 may be any one of various ceramic materials, including alumina, silica, and aluminum nitride.
  • the ceramic base material is formed principally of alumina, and in particular alpha-alumina (corundum).
  • the corrosion-resistant material is formed of a rare earth oxide.
  • the layer 20 consists essentially of a rare earth oxide.
  • description of “consisting essentially of” in connection with the rare earth oxide of the corrosion-resistant layer generally indicates that at least 80 wt. % of the layer is formed of the rare earth oxide, more typically, at least about 90 wt. %, and in certain embodiments, greater than 95 wt. %.
  • the term “rare earth” includes not only the lanthanide series elements, but also yttrium and scandium as well. According to a particular embodiment, a particular rare earth is yttrium (Y), thereby forming a corrosion-resistant layer consisting essentially of Y 2 O 3 .
  • the corrosion-resistant layer 20 is formed on the underlying ceramic substrate (in the case of FIGS. 1 and 2 , the ceramic sidewall 18 or lid 19 , respectively) by a thermal spraying process.
  • the substrate on which the corrosion-resistant layer is deposited is generally pre-heated to a temperature not less than about 200° C., desirably 250° C. or greater, and in some embodiments, 275° C. or even 300° C. or greater. Thermal spraying of the corrosion-resistant layer is then carried out while the substrate is heated to the above-described temperature.
  • the thermally sprayed corrosion-resistant layer demonstrates superior adhesion strength, having an adhesion of not less than about 15 MPa, typically greater than 20 MPa and in certain embodiments not less than about 25 MPa, and not less than about 30 MPa.
  • the above-described substrate/corrosion-resistant layer structure may be incorporated for generalized ceramic structures for various applications.
  • the substrate on which the corrosion resistant layer is deposited may take on various geometric configurations for various corrosion-resistant applications.
  • a rare-earth corrosion-resistant layer may be deposited with high adhesion strength, as described above. This is particularly beneficial, as it is generally difficult to deposit rare earth oxide layers such as yttria on alumina substrates.
  • the prior art has generally relied upon the use of various intralayer structures, either a graded alumina/yttria interlayer, several composite interlayers, or a thermally reacted interlayer formed by deposition of a yttria layer on alumina substrate followed by thermal treatment at an elevated temperature to form a reaction product. This reaction product forms a discernable layer between the deposited yttria layer and the underlying substrate.
  • the generally required interlayers may be eliminated without adversely affecting the adhesion strength between the deposited layer and the underlying substrate.
  • the as-deposited layer may have residual stresses and microcracks, both of which are toughening mechanisms to increase the toughness and reduce the brittleness of the deposited layer.
  • thermally-treated yttria layers such as those generally described in US Patent Application Publication 2002/0018921 A1, published Feb. 14, 2002, generally have reduced residual stresses and microcracks, as evidenced by diffraction analysis, indicating generally higher brittleness of such thermally treated yttria layers.
  • the samples were created by executing the thermal spray operation in accordance with the foregoing parameters, on multiple alpha-alumina (corundum) substrates, machined with 80-grit abrasive, to a nominal surface roughness R a of 1.7 microns.
  • Tables 3 and below summarize the fracture strength and localization of numerous samples formed in accordance with the foregoing process conditions.
  • Another comparative example was created to demonstrate the toughness of an example created according to the teachings herein, as compared to a thermally treated deposited coating to form an intervening reaction layer, in general accordance with the '921 publication.
  • An as-sprayed sample and another sample after heat treatment at 1,500° C. for three hours (to form an intervening reaction layer) were subjected to diffraction analysis.
  • Diffraction analysis was carried out by utilizing an XRG-3100 generator with a copper tube and a graphite monochromator coupled to an APD-3720 diffraction system by Phillips of Eindhoven, Holland. The data were analyzed using Phillips PC-APD software Version 3.6j utilizing a Marquardt non-linear least squares fitting routine.
  • the as-sprayed sample had a 0.220 width of (440) ⁇ 1 peak in degrees, while the heat-treated sample had a 0.155 width of (440) ⁇ 1 peak.
  • the increased width of the 440 ⁇ 1 peak for the as-sprayed sample indicates that the sample generally contains a higher degree of inhomogeneous residual stress, increasing tolerance to damage and increasing general toughness through residual stresses and microcracking.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Chemical Vapour Deposition (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

An article is provided that includes a substrate and a corrosion-resistant coating provided on the substrate. The substrate generally consists essentially of alumina, and the corrosion-resistant coating is provided so as to directly contact the substrate without the provision of intervening layers between the substrate and the corrosion-resistant coating, such as reaction products provided by high-temperature treatment processes. The corrosion-resistant coating generally consists essentially of a rare earth oxide, and has an adhesion strength not less than about 15 MPa. According to particular embodiments, the article is a ceramic component utilized and implemented in a semiconductor processing apparatus for processing semiconductor wafers.

Description

    BACKGROUND
  • 1. Field of the Invention
  • The present invention is generally directed to ceramic articles, semiconductor wafer processing apparatuses incorporating ceramic articles, semiconductor wafer processing, and methods for forming ceramic articles.
  • 2. Description of the Related Art
  • In many industries, it is generally desirable to provide components having certain requisite thermal, mechanical, electrical, and chemical properties. Particularly in the area of semiconductor processing, certain properties can be of marked importance in the successful processing of semiconductor wafers to form active semiconductor devices with high yield rates. In connection with semiconductor processing, it is well understood that various processes take place to form active semiconductor components, such as logic devices and memory devices contained within individual ceramic die of a processed semiconductor wafer. Such processing operations include implant and diffusion, photolithography, film deposition, planarization, test, and assembly (packaging). In connection with the foregoing general processing operations in the semiconductor industry, processing operations such as photolithography typically utilize selected gaseous reactants that are employed to remove material from the semiconductor wafer. Such processes may be utilized to remove selected portions of a deposited layer (such as in photolithography), the entirety of a deposited layer, or to generally clean a wafer or work piece. A certain species of these processes include what is known as etching.
  • Etching processes typically employ fairly highly reactive gas species, many times relying upon halogen species gases. An ongoing problem in the semiconductor wafer processing industry is implementation of semiconductor processing tools that have adequate chemical resistance to such species, particularly at elevated temperatures. In this regard, it has been found that components used in certain semiconductor processing tools, such as etch chambers, tend to corrode causing increases in particle counts during processing. As is well understood in the art, it is typically desirable to minimize generation of particles in such controlled environments, as particles negatively impact semiconductor yield.
  • Accordingly, in view of the foregoing, it is generally desirable to provide improved ceramic components having corrosion resistance, which may find particular use in the semiconductor industry, as well as improved semiconductor processing apparatuses, methods for processing wafers, and methods for processing ceramic components.
  • SUMMARY
  • According to a first aspect of the invention, an article is provided that includes a substrate and a corrosion-resistant coating provided on the substrate. The substrate generally consists essentially of alumina, and the corrosion-resistant coating is provided so as to directly contact the substrate without the provision of intervening layers between the substrate and the corrosion-resistant coating, such as reaction products provided by high-temperature treatment processes. The corrosion-resistant coating generally consists essentially of a rare earth oxide, and has an adhesion strength not less than about 15 MPa. According to particular embodiments, the article is a ceramic component utilized and implemented in a semiconductor processing apparatus for processing semiconductor wafers.
  • According to another aspect of the present invention, a semiconductor wafer processing apparatus is provided that includes a chamber being at least partially defined by a chamber wall, the chamber wall comprising mainly a ceramic base material. Further, a corrosion-resistant layer lines the chamber wall and directly contacts the ceramic base material, the corrosion-resistant layer consisting essentially of a rare earth oxide and having an adhesion strength of not less than about 15 MPa. Further, a support for supporting a semiconductor wafer in the chamber is provided. Unless otherwise noted herein, the term “rare earth” oxide generally denotes the lanthanide series elements, as well as yttrium and scandium.
  • According to another aspect of the present invention, a method for processing semiconductor wafers is provided, which includes placing a semiconductor wafer in a processing apparatus provided in accordance with the features described above, and subjecting the semiconductor wafer to a processing operation, including introducing at least one processing gas into the chamber for reaction with the wafer. The processing operation may include additional steps such as dicing the semiconductor die into individual die to form semiconductor devices, followed by packaging.
  • According to another aspect of the present invention, a method for forming a ceramic article is provided, wherein a substrate is pre-heated to a temperature of not less than about 200° C., the substrate consisting essentially of alumina, and thermally spraying a rare earth oxide layer on the substrate, the rare earth oxide having an adhesion strength of not less than about 15 MPa.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a semiconductor processing apparatus according to an embodiment of the present invention.
  • FIG. 2 illustrates a semiconductor processing apparatus according to another embodiment of the present invention.
  • FIG. 3 illustrates dicing of semiconductor die of a semiconductor wafer.
  • DETAILED DESCRIPTION
  • According to a first aspect of the present invention, a semiconductor processing apparatus for processing semiconductor wafers is provided. The apparatus may be particularly configured to receive various gaseous species for reaction with a semiconductor wafer provided within a chamber of the apparatus, and the apparatus may be utilized for cleaning, etching, deposition processing, among others. Turning to FIG. 1, an embodiment is illustrated, apparatus 10 including a chamber 16 formed of an upper chamber and a lower chamber 12, 14, respectively. The chamber defines therein an internal volume in which the processing steps take place. Generally speaking, the chamber 16 is defined by chamber walls. As used herein, the terms “chamber walls” or “walls” are used generally, to denote the structure defining the internal volume of the processing apparatus, and may include generally vertical walls or sidewalls, and generally horizontal walls such as a lid or floor. The upper chamber 12 includes a sidewall 18, which, together with showerhead 30 forming a lid portion of the upper chamber 12, defines an internal processing volume of the upper chamber 12. According to a particular feature of this embodiment, the sidewall 18 includes a layer 20 deposited thereon. Layer 20 is a corrosion-resistant layer, and is described in more detail hereinbelow.
  • Depending upon the particular processing operations to be carried out, a coil 26 is provided so as to generally surround the sidewall 18, the coil 26 being connected to high-frequency power source 28, for generation of a high-frequency electromagnetic field. Further, optionally, a cooling mechanism 24 is connected to a cooling source to aid in temperature control within the upper chamber 12.
  • According to another particular feature of the embodiment, at least one gas inlet 32 is provided so as to be in gaseous communication between the chamber 16 and an outside gas source (not shown), which may include a reactant gas for semiconductor processing. In the particular embodiment shown on FIG. 1, a plurality of gas inlets are provided through a multilayered structure referred to herein as showerhead 30.
  • Turning to the lower chamber 14, a wafer support 36 is generally provided within lower chamber wall 22. As shown, the wafer support 36 is provided so as to support and position wafer W, which may be brought into the apparatus 10 through opening gate 34. The wafer support 36 generally has a chucking feature, and in this case, includes electrostatic chuck 46. As is generally understood in the art, an electrostatic chuck provides an electrostatic attraction force by putting an embedded electrode at a desired potential. In this case, embedded electrode 48 is biased via DC power source 50 to provide the desired electrostatic chucking force on wafer W. Further, a wafer support 36 also generally includes a heating element 40 embedded in heating layer 41, the heating element being connected to a power source 42 and controller 44 for maintaining the wafer W at a desired temperature, which is dependent upon the particular processing operation taking place. Further, the support base 38 includes coolant chamber 52, which may have an annular cross-section (as viewed in the plane perpendicular to the plane of FIG. 1), being in fluid communication with coolant intake 54 and coolant exhaust 56, for flow of coolant fluid through the coolant chamber 52.
  • According to a particular feature shown in FIG. 1, the layer 20 may extend so as to cover not only sidewall 18 of upper chamber 12, but also the wafer support 36, and the lid portion of the upper chamber 12 formed by showerhead 30. Although not shown in the drawing, an interior barrier wall may be provided in the space between the lower chamber wall 22 and the wafer support 36. This interior barrier wall, also known as a liner, may be desirably formed of a robust ceramic material, generally including a base material such as the ceramic base material utilized for sidewall 18, and further, coated with corrosion-resistant layer 20.
  • In operation, typically the semiconductor wafer is loaded through gate 34 and placed onto wafer support 36 and positioned thereon by the electrostatic chucking force provided by electrostatic chuck 46. In operation, oftentimes an electromagnetic field is generated by the coil 26, and at least one reactant gas is flowed into the chamber through at least one of the gas inlets 32.
  • As to the particulars of the processing operation, as noted above, the operation may be an etching, cleaning or deposition process, any one of which may utilize desirable reactant species, some of which have generally corrosive properties. In this regard, exemplary etching gases are shown below in Table 1.
  • TABLE 1
    Material Being Etched Chemistry I Chemistry II
    PolySi
    Figure US20080131689A1-20080605-C00001
    SiCl4/Cl2BCI3/Cl2HBr/Cl2/O2HBr/O2Br2/SF6SF6CF4
    Al Cl2 SiCl4/Cl2
    BCl3 + sidewall passivating gases BCI3/CI2
    SiCl4 HBr/Cl2
    Al—Si(1%)-Cu(0.5%) Same as Al BCI3/Cl2 + N2
    AI—Cu (2%) BCIa/Cla/CHFa BCI3/CI2 + N2 + AI
    W SF6/Cl2/CCl4 SF6 only
    NF3/CI2
    TiW SF6/Cl2/O2 SF6 only
    WSi2, TiSi2, CoSi2 CCI2F2 CCI2F2/NF3CF4/CI2
    Single crystal Si Cl2 or BCl3 + sidewall passivating gases CF3Br
    HBr/NF3
    SiO2 (BPSG) CCl2F2 CCI2F2
    CF4 CHF3/CF4
    C2F6 CHF3/O2
    C3F8 CH3/CHF2
    Si3N4 CCl2F2 CF4/O2
    CHF3 CF4/H2
    CHF3
    CH3CHF2
    GaAs CCl2F2 SiCl4/SF6/NF3/CF4
    InP None CH4/H2
    Hl
  • As generally shown in Table 1, various gaseous chemistries may be utilized for etching of different materials that are commonly employed in semiconductor processing, many of which have corrosive properties, including the halogen-containing gases such as the chlorine- or fluorine-based gases. The column entitled Chemistry I generally denotes conventionally used chemistries, while Chemistry II represents newer generation chemistries more commonly found in modern semiconductor processing. It is also noted that introduction of new materials in the semiconductor fabrication process such as low-K dielectrics, high-K dielectrics, and copper may also require use of new and/or additional chemistries.
  • FIG. 2 illustrates another embodiment, generally similar to FIG. 1, but having a different contour for the upper chamber 12. In this regard, the components similar to those shown in FIG. 1 are labeled with the same reference numerals, and a detailed discussion is not provided. However, in the apparatus shown in FIG. 2, the upper chamber 12 is generally defined by lid 19, extending generally horizontally, with short vertical sidewalls. This lid 19, forming a wall of the chamber, is coated with corrosion-resistant coating 20. In addition, gases are generally introduced through the gas inlets 100, as represented by the arrow labeled G.
  • Following processing of the semiconductor wafer in the processing apparatus described herein, the wafer may be subjected to additional processing steps, which may include any one of the general process operations described in the background, such as deposition, planarization, further photolithographic and etching processing operations. Upon completion of wafer processing, the wafer is generally diced into individual semiconductor die. This operation is illustrated in FIG. 3, illustrating wafer W, which is diced into individual die 102 by scribing along scribe lines 100. Following the dicing operation, the individual die are generally packaged such as in a flip-chip package, plastic encapsulated package, a pin-grid or a ball-grid array package, or any one of the various packages known in the art, including multi-chip modules (MCMs). The packaged semiconductor die, forming active semiconductor components, may be then incorporated into electronic devices. Generally speaking, the semiconductor devices contain at least one of logic circuitry and memory circuitry, respectively forming logic devices and memory devices.
  • As noted above, according to a particular feature of an embodiment of the present invention, at least some portion of the chamber of the processing apparatus is defined by a ceramic member coated with a corrosion-resistant liner. In the case of FIGS. 1 and 2, the ceramic members are represented by sidewall 18 and lid 19, respectively, each coated with corrosion-resistant layer 20. The base material of the ceramic member forming the lid 19 or sidewall 18 may be any one of various ceramic materials, including alumina, silica, and aluminum nitride. However, according to a particular embodiment, the ceramic base material is formed principally of alumina, and in particular alpha-alumina (corundum).
  • In the case of the layer 20, an appropriate corrosion-resistant material is utilized. Typically, the corrosion-resistant material is formed of a rare earth oxide. In one embodiment, the layer 20 consists essentially of a rare earth oxide. As used herein, description of “consisting essentially of” in connection with the rare earth oxide of the corrosion-resistant layer generally indicates that at least 80 wt. % of the layer is formed of the rare earth oxide, more typically, at least about 90 wt. %, and in certain embodiments, greater than 95 wt. %. Further, as used herein, the term “rare earth” includes not only the lanthanide series elements, but also yttrium and scandium as well. According to a particular embodiment, a particular rare earth is yttrium (Y), thereby forming a corrosion-resistant layer consisting essentially of Y2O3.
  • According to another aspect of the present invention, the corrosion-resistant layer 20 is formed on the underlying ceramic substrate (in the case of FIGS. 1 and 2, the ceramic sidewall 18 or lid 19, respectively) by a thermal spraying process. In this regard, the substrate on which the corrosion-resistant layer is deposited, is generally pre-heated to a temperature not less than about 200° C., desirably 250° C. or greater, and in some embodiments, 275° C. or even 300° C. or greater. Thermal spraying of the corrosion-resistant layer is then carried out while the substrate is heated to the above-described temperature. It was found that the thermally sprayed corrosion-resistant layer demonstrates superior adhesion strength, having an adhesion of not less than about 15 MPa, typically greater than 20 MPa and in certain embodiments not less than about 25 MPa, and not less than about 30 MPa.
  • It is noted that while much of the foregoing has focused on varying configurations of ceramic members at least partially defining a processing apparatus for semiconductor processing, the above-described substrate/corrosion-resistant layer structure may be incorporated for generalized ceramic structures for various applications. In this regard, the substrate on which the corrosion resistant layer is deposited may take on various geometric configurations for various corrosion-resistant applications.
  • Further, it was found that in the particular case of a substrate consisting essentially of alumina, a rare-earth corrosion-resistant layer may be deposited with high adhesion strength, as described above. This is particularly beneficial, as it is generally difficult to deposit rare earth oxide layers such as yttria on alumina substrates. In this regard, the prior art has generally relied upon the use of various intralayer structures, either a graded alumina/yttria interlayer, several composite interlayers, or a thermally reacted interlayer formed by deposition of a yttria layer on alumina substrate followed by thermal treatment at an elevated temperature to form a reaction product. This reaction product forms a discernable layer between the deposited yttria layer and the underlying substrate.
  • According to embodiments of the present invention, the generally required interlayers may be eliminated without adversely affecting the adhesion strength between the deposited layer and the underlying substrate. Furthermore, it has been found that the as-deposited layer may have residual stresses and microcracks, both of which are toughening mechanisms to increase the toughness and reduce the brittleness of the deposited layer. In contrast, thermally-treated yttria layers, such as those generally described in US Patent Application Publication 2002/0018921 A1, published Feb. 14, 2002, generally have reduced residual stresses and microcracks, as evidenced by diffraction analysis, indicating generally higher brittleness of such thermally treated yttria layers.
  • Additional features will be made clearer in connection with the discussion of particular examples described below.
  • EXAMPLES
  • Multiple examples were manufactured in accordance with the thermal spray parameters described in Table 2 below.
  • TABLE 2
    Yttria Spray
    Designation Parameters 2C
    Chemical compo. UHP Y2O3
    Size range μ −63 + 10
    Powder morphology Spray dried
    Argon (l/min) 43
    Hydrogen (l/min)  9
    Intensity (A) 590 A
    Voltage (V) 68-72
    Spraying dist. (mm) 105 mm
    Gun/Anode TS7 - 16052313 W lined
    Ø feeding injector   1.5
    Feeding angle. 90°
    Feed inj distance 5 mm
    Powder carrier gas 11 ± 1 l/min
    (l/min)
    Powder feeding (g/min) 25
    Gun linear speed 400 mm/s
    Step increment (mm/tr) 0.8 mm for 100 μm thickness
    (adjusted for other thicknesses)
  • The samples were created by executing the thermal spray operation in accordance with the foregoing parameters, on multiple alpha-alumina (corundum) substrates, machined with 80-grit abrasive, to a nominal surface roughness Ra of 1.7 microns.
  • Tables 3 and below summarize the fracture strength and localization of numerous samples formed in accordance with the foregoing process conditions.
  • TABLE 3
    FRACTURE
    COATING STRENGTH FRACTURE
    SAMPLES THICKNESS (MPa) LOCALIZATION
    No preheat
    1 150 μm 2 100% interface
    2 150 μm 2 100% interface
    3 150 μm 5 100% interface
    4 150 μm 14 10% inside coating,
    90% interface
    Mean value 5.75
    100 C. preheat
    5 150 μm 4 100% interface
    6 150 μm 4 100% interface
    7 150 μm 4 100% interface
    8 150 μm 5 100% interface
    Mean Value 4.25
    200 C. preheat
    9 150 μm 20 100% interface
    10 150 μm 25 100% interface
    11 150 μm 7 10% inside coating,
    90% interface
    Mean value 17.3 1 weak sample
    (25.7 without it)
  • TABLE 4
    FRACTURE
    COATING STRENGTH FRACTURE
    SAMPLES THICKNESS (MPa) LOCALIZATION
    200 C. preheat
    12  90-100 μm 13 90% interface substrate/
    coating - 10% glue
    13  90-100 μm 9 80% interface substrate/
    coating - 20% glue
    14  90-100 μm 17 85% interface substrate/
    coating - 15% glue
    15  90-100 μm 9 80% interface substrate/
    coating - 20% glue
    16  90-100 μm 25 30% interface substrate/
    coating - 70% glue
    Mean value 14.6
    300 C. preheat
    17 100-105 μm 34 40% interface subst/
    coating - 60% in the glue
    18 100-105 μm 18 80% interface subst/
    coating - 20% in the glue
    19 100-105 μm 46 45% interf. subst/coating -
    15% glue - 40% in coatg
    20 100-105 μm 33 45% interf. subst/coating -
    10% glue - 45% in coatg
    21 100-105 μm 24 50% interface subst/
    coating - 50% in the
    coating
    Mean value 31
  • As can be seen clearly from the foregoing data, working examples pre-heated were found to provide enhanced adhesion of the thermally sprayed yttria film.
  • Further, another comparative example was created to demonstrate the toughness of an example created according to the teachings herein, as compared to a thermally treated deposited coating to form an intervening reaction layer, in general accordance with the '921 publication. An as-sprayed sample and another sample after heat treatment at 1,500° C. for three hours (to form an intervening reaction layer) were subjected to diffraction analysis. Diffraction analysis was carried out by utilizing an XRG-3100 generator with a copper tube and a graphite monochromator coupled to an APD-3720 diffraction system by Phillips of Eindhoven, Holland. The data were analyzed using Phillips PC-APD software Version 3.6j utilizing a Marquardt non-linear least squares fitting routine. The as-sprayed sample had a 0.220 width of (440) α1 peak in degrees, while the heat-treated sample had a 0.155 width of (440) α1 peak. The increased width of the 440 α1 peak for the as-sprayed sample indicates that the sample generally contains a higher degree of inhomogeneous residual stress, increasing tolerance to damage and increasing general toughness through residual stresses and microcracking.
  • Further examples were created by grit blasting alpha-alumina cylinders with 46-grit to a surface roughness of about 2 microns Ra. Pre-heating was carried out at 400° and thermal spraying was carried out in accordance with the foregoing spray parameters. Adhesion strengths were generally within a range of about 37-75 MPa.
  • While embodiments of the present invention have been described above with particularity, it is well understood in the art that one of ordinary skill may make modifications and still be within the scope of the present claims.

Claims (14)

1-40. (canceled)
41. A ceramic article, comprising:
a substrate consisting essentially of alumina; and
a corrosion-resistant coating provided on the substrate and comprising at least 80 wt. % of a rare earth oxide, the corrosion-resistant coating directly contacting the substrate such that the ceramic article is free of intervening layers, including thermally reacted interlayers, between the substrate and the corrosion-resistant coating, the corrosion-resistant coating having an adhesion strength not less than 20 MPa.
42. The article of claim 41, wherein the ceramic article comprises a component of a semiconductor processing apparatus.
43. The article of claim 42, wherein the component is a chamber wall.
44. The article of claim 43, wherein the component is a chamber lid.
45. The article of claim 43, wherein the component is a chamber sidewall.
46. The article of claim 41, wherein the corrosion resistant layer has an adhesion strength of not less than 25 MPa.
47. The article of claim 41, wherein the corrosion resistant layer has an adhesion strength of not less than 30 MPa.
48. The article of claim 41, wherein the corrosion resistant layer is deposited on the ceramic base material by thermal spraying.
49. The article of claim 41, wherein the corrosion resistant coating has an average grain size not greater than about 0.5 microns.
50. The article of claim 41, wherein the substrate consists essentially of α-alumina.
51. The article of claim 49, wherein the average grain size is not greater than about 0.3 microns.
52. The ceramic article or claim 1, wherein the corrosion-resistant coating comprises at least 90 wt. % of the rare earth oxide.
53. The ceramic article of claim 52, wherein the corrosion-resistant coating comprises at least 95 wt. % of the rare earth oxide.
US12/028,534 2003-08-22 2008-02-08 Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same Abandoned US20080131689A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/028,534 US20080131689A1 (en) 2003-08-22 2008-02-08 Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/646,235 US7329467B2 (en) 2003-08-22 2003-08-22 Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US12/028,534 US20080131689A1 (en) 2003-08-22 2008-02-08 Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/646,235 Continuation US7329467B2 (en) 2003-08-22 2003-08-22 Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same

Publications (1)

Publication Number Publication Date
US20080131689A1 true US20080131689A1 (en) 2008-06-05

Family

ID=34194482

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/646,235 Active 2024-10-25 US7329467B2 (en) 2003-08-22 2003-08-22 Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US12/028,534 Abandoned US20080131689A1 (en) 2003-08-22 2008-02-08 Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/646,235 Active 2024-10-25 US7329467B2 (en) 2003-08-22 2003-08-22 Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same

Country Status (6)

Country Link
US (2) US7329467B2 (en)
JP (1) JP4532489B2 (en)
KR (1) KR100825334B1 (en)
CN (1) CN1839216B (en)
SG (1) SG147461A1 (en)
WO (1) WO2005021830A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7666319B1 (en) * 2005-11-01 2010-02-23 Miradia Inc. Semiconductor etching process to release single crystal silicon mirrors
US10544500B2 (en) * 2014-04-25 2020-01-28 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4467453B2 (en) * 2004-09-30 2010-05-26 日本碍子株式会社 Ceramic member and manufacturing method thereof
JP2007217748A (en) * 2006-02-16 2007-08-30 Taiheiyo Cement Corp Method for depositing spray deposit film on machinable ceramic substrate
JP4591709B2 (en) * 2006-07-14 2010-12-01 信越化学工業株式会社 Capacitors
JP5154141B2 (en) * 2007-05-21 2013-02-27 信越化学工業株式会社 Rare earth oxide-containing thermal spray substrate and laminate
FR2998561B1 (en) 2012-11-29 2014-11-21 Saint Gobain Ct Recherches HIGH PURITY POWDER FOR THERMAL PROJECTION
CN108463345B (en) 2015-11-16 2021-04-09 阔斯泰公司 Corrosion resistant assembly and method of manufacture
US11551905B2 (en) * 2018-03-19 2023-01-10 Intel Corporation Resonant process monitor
CN113260732A (en) 2018-12-05 2021-08-13 京瓷株式会社 Member for plasma processing apparatus and plasma processing apparatus provided with same
KR102612290B1 (en) 2019-04-26 2023-12-11 교세라 가부시키가이샤 Components for plasma processing devices and plasma processing devices

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3968303A (en) * 1974-06-17 1976-07-06 The Bendix Corporation Electrical discharge device comprising an insulator body having an electrically semi-conducting coating formed thereon
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US6066584A (en) * 1994-04-19 2000-05-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Sintered Al2 O3 material, process for its production and use of the material
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20020018921A1 (en) * 2000-04-18 2002-02-14 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US20030059653A1 (en) * 2001-07-19 2003-03-27 Ngk Insulators, Ltd. Film of yttria-alumina complex oxide, a method of producing the same, a sprayed film, a corrosion resistant member, and a member effective for reducing particle generation
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6939607B2 (en) * 2000-09-07 2005-09-06 Ngk Spark Plug Co., Ltd. Cutting tool

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0280270A (en) 1988-09-16 1990-03-20 Toshiba Corp Document preparation device
TW224537B (en) 1992-12-21 1994-06-01 Gen Electric Fused quartz diffusion tubes for semiconductor manufacture
US5422322A (en) * 1993-02-10 1995-06-06 The Stackpole Corporation Dense, self-sintered silicon carbide/carbon-graphite composite and process for producing same
JPH09235685A (en) 1995-12-28 1997-09-09 Kyocera Corp Corrosion resistant member
JP3659435B2 (en) 1996-02-29 2005-06-15 京セラ株式会社 Corrosion resistant member, plasma processing apparatus, semiconductor manufacturing apparatus, liquid crystal manufacturing apparatus, and discharge vessel.
JPH104083A (en) 1996-06-17 1998-01-06 Kyocera Corp Anticorrosive material for semiconductor fabrication
JP3619330B2 (en) 1996-07-31 2005-02-09 京セラ株式会社 Components for plasma process equipment
JP3261044B2 (en) 1996-07-31 2002-02-25 京セラ株式会社 Components for plasma processing equipment
JP3623054B2 (en) 1996-08-28 2005-02-23 京セラ株式会社 Components for plasma process equipment
JPH10236871A (en) 1997-02-26 1998-09-08 Kyocera Corp Plasma resistant member
JP3488373B2 (en) 1997-11-28 2004-01-19 京セラ株式会社 Corrosion resistant materials
JP3559426B2 (en) 1997-05-30 2004-09-02 京セラ株式会社 Corrosion resistant materials
JPH11214194A (en) 1998-01-30 1999-08-06 Kyocera Corp Window member for plazma processing unit
JP3500278B2 (en) 1997-09-29 2004-02-23 京セラ株式会社 Corrosion resistant materials for semiconductor manufacturing
JPH11251093A (en) 1998-02-27 1999-09-17 Kyocera Corp Plasma generating electrode
JPH11278944A (en) 1998-03-26 1999-10-12 Kyocera Corp Silicon nitride corrosion resistant member and its production
JPH11279761A (en) 1998-03-31 1999-10-12 Kyocera Corp Corrosion resistant member
JP2000001362A (en) 1998-06-10 2000-01-07 Nippon Seratekku:Kk Corrosion resistant ceramic material
JP2000103689A (en) 1998-09-28 2000-04-11 Kyocera Corp Alumina sintered compact, its production and plasma- resistant member
JP4571250B2 (en) * 1999-02-15 2010-10-27 トーカロ株式会社 Roll for molten metal plating bath and method for producing the same
JP2001031484A (en) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd Corrosion-resistant composite member
JP3994253B2 (en) * 2000-07-24 2007-10-17 宇部興産株式会社 Ceramic composite material
US6379789B1 (en) * 2000-10-04 2002-04-30 Creare Inc. Thermally-sprayed composite selective emitter
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (en) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd Plasma proof member
JP4051210B2 (en) * 2002-02-05 2008-02-20 トーカロ株式会社 Method for forming sprayed coating on sintered and composite materials

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3968303A (en) * 1974-06-17 1976-07-06 The Bendix Corporation Electrical discharge device comprising an insulator body having an electrically semi-conducting coating formed thereon
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US6066584A (en) * 1994-04-19 2000-05-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Sintered Al2 O3 material, process for its production and use of the material
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20020018921A1 (en) * 2000-04-18 2002-02-14 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6939607B2 (en) * 2000-09-07 2005-09-06 Ngk Spark Plug Co., Ltd. Cutting tool
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US20030059653A1 (en) * 2001-07-19 2003-03-27 Ngk Insulators, Ltd. Film of yttria-alumina complex oxide, a method of producing the same, a sprayed film, a corrosion resistant member, and a member effective for reducing particle generation
US20040067392A1 (en) * 2001-07-19 2004-04-08 Ngk Insulators, Ltd. Film of yttria-alumina complex oxide, a method of producing the same, a sprayed film, a corrosion resistant member, and a member effective for reducing particle generation
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7666319B1 (en) * 2005-11-01 2010-02-23 Miradia Inc. Semiconductor etching process to release single crystal silicon mirrors
US10544500B2 (en) * 2014-04-25 2020-01-28 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10563297B2 (en) 2014-04-25 2020-02-18 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide

Also Published As

Publication number Publication date
JP2007503374A (en) 2007-02-22
US20050042483A1 (en) 2005-02-24
WO2005021830A2 (en) 2005-03-10
US7329467B2 (en) 2008-02-12
WO2005021830A3 (en) 2005-07-14
CN1839216B (en) 2012-08-29
CN1839216A (en) 2006-09-27
KR100825334B1 (en) 2008-04-28
SG147461A1 (en) 2008-11-28
JP4532489B2 (en) 2010-08-25
KR20060056381A (en) 2006-05-24

Similar Documents

Publication Publication Date Title
US20080131689A1 (en) Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US8293335B2 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20110091700A1 (en) Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
US9358702B2 (en) Temperature management of aluminium nitride electrostatic chuck
CN1230868C (en) Semiconductor processing equipment having improved particle performance
KR100853972B1 (en) Diamond coatings on reactor wall and method of manufacturing thereof
KR100934048B1 (en) Gas discharge member and plasma processing apparatus
KR100916952B1 (en) Fullerene coated component of semiconductor processing equipment
CN1682345A (en) Method and apparatus for an improved bellows shield in a plasma processing system
WO2009140153A2 (en) Apparatus for etching semiconductor wafers
WO2017052905A1 (en) Apparatus and method for selective deposition
US8337956B2 (en) Wafer
JP4038599B2 (en) Cleaning method
CN213845216U (en) Semiconductor component and plasma processing apparatus
CN112908822B (en) Method for forming plasma resistant coating, component and plasma processing apparatus
US7816013B2 (en) Wafer
CN118156113A (en) Substrate processing apparatus cleaning method, substrate processing apparatus, and substrate processing method
TW202238658A (en) Semiconductor component, method of forming same, and plasma processing apparatus
JP2000082699A (en) Etching processing apparatus
JP2009124129A (en) Wafer

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: COORSTEK, INC., COLORADO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SAINT-GOBAIN CERAMICS & PLASTICS, INC.;REEL/FRAME:036747/0173

Effective date: 20140612