US20060040066A1 - Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device - Google Patents

Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device Download PDF

Info

Publication number
US20060040066A1
US20060040066A1 US10/657,207 US65720703A US2006040066A1 US 20060040066 A1 US20060040066 A1 US 20060040066A1 US 65720703 A US65720703 A US 65720703A US 2006040066 A1 US2006040066 A1 US 2006040066A1
Authority
US
United States
Prior art keywords
substrate
cleaning
gas
reaction chamber
supporting electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/657,207
Inventor
Hiroomi Tsutae
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lapis Semiconductor Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Assigned to OKI ELECTRIC INDUSTRY CO., LTD. reassignment OKI ELECTRIC INDUSTRY CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TSUTAE, HIROOMI
Publication of US20060040066A1 publication Critical patent/US20060040066A1/en
Assigned to OKI SEMICONDUCTOR CO., LTD. reassignment OKI SEMICONDUCTOR CO., LTD. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: OKI ELECTRIC INDUSTRY CO., LTD.
Priority to US12/385,135 priority Critical patent/US7816272B2/en
Assigned to OKI ELECTRIC INDUSTRY CO., LTD. reassignment OKI ELECTRIC INDUSTRY CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TSUTAE, HIROOMI
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Definitions

  • the present invention generally relates to a process of cleaning a semiconductor manufacturing system such as a plasma-enhanced chemical vapor deposition (or plasma CVD) system. More specifically, the present invention relates to a process of cleaning a manufacturing system adapted to form a fluorine-containing silicon oxide film (referred to as “FSG film”) such as an interlayer dielectric film of a semiconductor device. The present invention also relates to a method of manufacturing a semiconductor device. FSG stands for Fluorinated Silicate Glass.
  • a method of manufacturing a semiconductor device includes a plasma CVD process to form a semiconductor film on a substrate.
  • Such film-formation process includes the steps of: placing a substrate on an electrostatic chuck provided in a reaction chamber; supplying a reactive gas into the reaction chamber; and applying high-frequency electric power between a pair of electrodes to generate a plasma and decompose the reactive gas molecules with the plasma, so that a semiconductor film is formed on the top surface of the substrate.
  • the film-formation process (deposition process) is repeated to manufacture a number of semiconductor devices. As the film-formation process is repeated, semiconductor films also accumulate on an inner wall of the reaction chamber and surfaces of the electrodes in the plasma enhanced CVD system. The semiconductor films deposited on the reaction chamber wall and electrodes may exfoliate and adhere to the substrate during the film-formation process. These semiconductor films contaminate the substrate.
  • a cleaning process is carried out to clean the inside of the reaction chamber of a plasma enhanced CVD system.
  • the cleaning process uses a fluoride-based cleaning gas.
  • a plasma is applied to the cleaning gas to generate fluorine atoms so as to clean (remove) films deposited on the inner wall of the reaction chamber and the surfaces of the electrodes.
  • This cleaning process entails a problem that the fluorine atoms generated in the cleaning process are adsorbed on the inner wall of the reaction chamber and the surfaces of the electrodes, and remain there. Therefore, a fluorine-reducing gas is supplied into the reaction chamber to reduce and remove the residual fluorine atoms in the reaction chamber.
  • a specific example of a cleaning process is disclosed in Japanese Patent Kokai (Laid-Open Publication) No. 7-201738.
  • active species e.g., radicals or ions of nitride compounds
  • fluorine-reducing gas are supplied into the reaction chamber to cause the active species to act on the residual fluorine components, thereby reducing and removing the residual fluorine components.
  • This cleaning process uses NF 3 . CF 4 , C 3 F 8 , C 2 F 6 , or ClF 3 as a fluoride-based cleaning gas, and uses at least one kind of gas selected from the group consisting of O 2 , H 2 and an inert gas as a fluorine-reducing cleaning gas.
  • Japanese Patent Kokai No. 10-147877 discloses another cleaning process in which an inert gas (or a mixture of the inert gas and nitrogen gas) is supplied into the reaction chamber as a fluorine-reducing gas to reduce and remove residual fluorine components after or during the cleaning by use of a fluorine-based cleaning gas.
  • a ceramic cover having the same shape as that of a substrate or wafer is usually positioned on an electrostatic chuck provided inside the reaction chamber of a plasma enhanced CVD system in order to protect the surface of the electrostatic chuck.
  • Silicon oxide films inside the reaction chamber are removed by supplying a fluoride-based cleaning gas into the reaction chamber and generating a plasma.
  • a fluorine-reducing gas is supplied into the reaction chamber to generate the plasma, with the ceramic cover being left on the electrostatic chuck, thereby reducing and removing residual fluorine atoms in the reaction chamber.
  • the ceramic cover is placed on the electrostatic chuck to protect the surface of the electrostatic chuck.
  • the residual fluorine components may separate from the surface of the electrostatic chuck (the phenomenon is referred to as “F degasification”) and decrease the film-forming (deposition) temperature in the vicinity of the substrate or wafer.
  • F degasification the phenomenon is referred to as “F degasification”
  • One object of the present invention is to provide a process of cleaning a semiconductor manufacturing system that does have the above described problem(s).
  • Another object of the present invention is to provide a method of fabricating a semiconductor device that does have the above described problem(s).
  • an improved process of cleaning a semiconductor manufacturing system has a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber.
  • a substrate is located on the substrate-supporting electrode when forming a semiconductor film on the substrate.
  • the cleaning process includes positioning an insulating cover on the substrate-supporting electrode in close or tight contact with the substrate-supporting electrode, supplying a fluoride-based cleaning gas into the reaction chamber, and generating a plasma in the reaction chamber.
  • the semiconductor manufacturing system has a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber.
  • a substrate is placed on the substrate-supporting electrode when forming a semiconductor film on the substrate.
  • the cleaning process includes positioning an insulating cover on the substrate-supporting electrode, supplying a fluoride-based cleaning gas into the reaction chamber, supplying an inert gas and/or a fluorine-reducing gas into the reaction chamber, and generating a plasma in the reaction chamber.
  • the inert gas and/or the fluorine-reducing gas is supplied from an approximate center of the substrate-supporting electrode through a gap between the insulating cover and the substrate-supporting electrode. A pressure in the gap is maintained to be higher than a pressure in the reaction chamber.
  • the semiconductor system has a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber.
  • a substrate is placed on the substrate-supporting electrode when forming a semiconductor film on the substrate.
  • the cleaning process includes positioning an insulating cover on the substrate-supporting electrode, supplying a fluoride-based cleaning gas into the reaction chamber and then generating a plasma in the reaction chamber.
  • the process also includes removing the insulating cover from the substrate-supporting electrode to expose a surface of the substrate-supporting electrode, supplying a fluorine-reducing gas into the reaction chamber, and generating a plasma.
  • the semiconductor system has a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber.
  • a substrate is placed on the substrate-supporting electrode when forming a semiconductor film on the substrate.
  • the cleaning process includes positioning an insulating cover on the substrate-supporting electrode, supplying a fluoride-based cleaning gas into the reaction chamber, generating a plasma in the reaction chamber, supplying a fluorine-reducing gas into the reaction chamber, generating a plasma, removing the insulating cover from the substrate-supporting electrode to expose a surface of the substrate-supporting electrode, and forming a silicon oxide film containing an excessive amount of silicon therein on the surface of the substrate-supporting electrode.
  • a fifth aspect of the present invention there is provided another process of cleaning a semiconductor manufacturing system having a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber.
  • a substrate is placed on the substrate-supporting electrode when forming a semiconductor film on the substrate.
  • the cleaning process includes supplying hydrogen gas and an inert gas into the reaction chamber and generating a plasma when the semiconductor manufacturing system is in a standby condition before loading the substrate into the reaction chamber.
  • a method of manufacturing a semiconductor device includes carrying out any one of the above described cleaning processes, setting the substrate on the substrate-supporting electrode in the reaction chamber, supplying a raw material gas into the reaction chamber, and generating a plasma to form a semiconductor film on the substrate.
  • Each of the above described processes for cleaning the semiconductor manufacturing system can prevent F degasification from the substrate-supporting electrode (e.g., an electrostatic chuck) during the semiconductor film formation process (deposition process).
  • the substrate-supporting electrode e.g., an electrostatic chuck
  • the semiconductor film formation process deposition process
  • FIG. 1 illustrates a schematic diagram of a semiconductor manufacturing system in connection with a first embodiment of the present invention
  • FIG. 2 illustrates a schematic diagram of a semiconductor manufacturing system in connection with a second embodiment of the present invention.
  • a semiconductor manufacturing system 120 is illustrated.
  • the semiconductor manufacturing system 120 is a plasma enhanced CVD system.
  • the plasma enhanced CVD system 120 includes a main body 100 , a belljar (ceramic dome) 102 , and a chamber (reaction chamber) 104 .
  • the belljar 102 is an upper electrode.
  • the chamber 104 is sealed with the main body 100 and belljar 102 .
  • the semiconductor manufacturing system 120 also includes injectors 106 and an electrostatic chuck (substrate-supporting electrode) 108 , both are positioned inside the chamber 104 .
  • the electrostatic chuck 108 is a lower electrode.
  • the main body 100 has an outlet (not shown), and the chamber 104 is evacuated through the main body outlet.
  • a raw material gas is supplied into the vacuum chamber 104 from the injectors 106 , a plasma is generated at a frequency of, for example, 400 kHz from the belljar 102 and a plasma is generated at a frequency of, for example, 13.56 kHz from the electrostatic chuck 108 , to perform a deposition process.
  • the semiconductor manufacturing system 120 also includes another injector 107 for supplying a fluoride-based cleaning gas into the chamber 104 .
  • a ceramic cover (insulating cover) 110 is placed on the electrostatic chuck 108 in the chamber 104 to protect the surface of the electrostatic chuck 108 .
  • a voltage of, for example, about 600 volts is applied to the electrostatic chuck 108 to firmly secure the ceramic cover 110 with the surface of the electrostatic chuck 108 .
  • the firm contact between the ceramic cover 110 and the electrostatic chuck 108 may be established by a mechanical element (e.g., a clamp ring; not shown), instead of applying the voltage of about 600 volts to the electrostatic chuck 108 .
  • the pressure in the chamber 104 is maintained, for example, at about 0.1333-1.333 Pa (1-10 mTorr) while supplying NF 3 gas (i.e., a fluoride-based cleaning gas) from the injector 107 , for example, at 100-1000 sccm.
  • NF 3 gas i.e., a fluoride-based cleaning gas
  • a plasma for example, having a frequency of 13.56 MHz is generated from the belljar 102 at an output power of 1000-1500 W to excite the NF 3 gas into plasma and create F radicals.
  • the radicals react with deposits such as a silicon oxide film deposited on the inner wall of the chamber 104 to create volatile compounds such as SiF 4 .
  • the volatile compounds are discharged from the chamber 104 and therefore the deposits are removed.
  • the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) and H 2 gas (fluorine-reducing gas) is supplied from the injector into the chamber 104 , for example, at 200-1000 sccm.
  • H 2 gas fluorine-reducing gas
  • a plasma for example, having a frequency of 13.56 MHz is generated from the belljar 102 at an output power of 500-1500 W to excite the H 2 gas into plasma.
  • the resulting hydrogen radicals react with residual fluorine components deposited on the inner wall of the chamber 104 to reduce and remove the residual fluorine components.
  • the process of cleaning the CVD system 120 is thus completed. Thereafter, precoating is performed, and the cover 110 is removed. Then, a wafer is loaded into the chamber 104 and set on the electrostatic chuck 108 to start a process of forming semiconductor films such as FSG films (deposition process).
  • the ceramic cover 110 is positioned on the electrostatic chuck 108 such that the ceramic cover 110 has a close or tight contact with the electrostatic chuck 108 .
  • the ceramic cover 110 is located on the electrostatic chuck 108 with no clearance between the ceramic cover 110 and the surface of the electrostatic chuck 108 .
  • This prevents NF 3 from entering between the ceramic cover 110 and the surface of the electrostatic chuck 108 when NF 3 is supplied into the chamber 104 . Therefore, it is possible to prohibit the adsorption of fluorine components on (in) the surface of the electrostatic chuck 108 , and thus prevent occurrence of F degasification from the electrostatic chuck 108 during the semiconductor film formation process (deposition process).
  • FIG. 2 is a schematic diagram showing a semiconductor manufacturing system 122 in connection with the second embodiment of the invention.
  • the semiconductor manufacturing system 122 has a similar structure to the semiconductor manufacturing system 120 shown in FIG. 1 , but the system 122 has a supply pipe 112 extending downwardly from the center (or the approximate center) of the electrostatic chuck 108 .
  • a process of cleaning the semiconductor manufacturing system 122 will be described.
  • the ceramic cover 110 is placed on the electrostatic chuck 108 in the chamber 104 to protect the surface of the electrostatic chuck 108 .
  • the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) while supplying NF 3 gas into the chamber 104 from the injector 107 for example at 100-1000 sccm and helium (He) gas toward the center (or approximate center) of the ceramic cover 110 from the supply pipe 112 for example at 60-120 sccm.
  • the NF 3 gas is one example of a fluoride-based cleaning gas
  • the He gas is one example of an inert gas.
  • the He gas supplied from the supply pipe 112 first reaches the center (or approximate center) of the electrostatic chuck 108 , and flows in the clearance between the ceramic cover 110 and the electrostatic chuck 108 .
  • the He gas then flows radially outwards towards the periphery of the ceramic cover 110 , and enters the chamber 104 .
  • the He gas is supplied into the chamber 104 such that the pressure in the gap between the ceramic cover 110 and the electrostatic chuck 108 is higher than the pressure in the chamber 104 .
  • a plasma for example, having a frequency of 13.56 MHz is generated with the belljar 102 or the upper electrode at an output power of 1000-5000 W to excite the NF 3 gas into plasma and create F radicals.
  • the radicals react with deposits such as a silicon oxide film deposited on the inner wall of the chamber 104 to create volatile compounds such as SiF 4 .
  • the volatile compounds are discharged from the chamber 104 and therefore the unnecessary deposits are removed.
  • the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) while supplying H 2 gas for example at 200-1000 sccm into the chamber 104 .
  • the H 2 gas is an example of the fluorine-reducing gas.
  • a plasma for example, having a frequency of 13.56 MHz is generated with the belljar 102 at an output power of 500-1500 W to excite the H 2 gas into plasma.
  • the resultant hydrogen radicals react with residual fluorine components adsorbed on the inner wall of the chamber 104 to reduce and remove the residual fluorine components.
  • the process of cleaning the CVD system 122 is thus completed. Thereafter, precoating is performed, and the cover 110 is removed. Then, a wafer is loaded into the chamber 104 and set on the electrostatic chuck 108 to start a process of forming a semiconductor film such as FSG film.
  • the NF 3 gas is supplied into the chamber 104 and the He gas is also supplied into the chamber 104 .
  • the He gas flows through the clearance between the ceramic cover 110 and the electrostatic chuck 108 , and the pressure in the clearance between the ceramic cover 110 and the electrostatic chuck 108 is maintained to be higher than the pressure in the chamber 104 .
  • the He gas flows radially outwards in the cover-chuck clearance from the center of the electrostatic chuck 108 . Consequently, the NF 3 gas in the chamber 104 does not flow into the higher-pressure clearance between the ceramic cover 110 and the electrostatic chuck 108 . Therefore, it is possible to prevent fluorine components from being adsorbed on the surface of the electrostatic chuck 108 and to avoid F degasification from the electrostatic chuck 108 during a process of forming a semiconductor film.
  • the second embodiment it is possible to prevent the NF 3 gas from flowing into the cover-chuck clearance even if there is a clearance between the electrostatic chuck 108 and the cover 110 . Therefore, it is possible to prohibit the adsorption of fluorine components on (in) the surface of the electrostatic chuck 108 .
  • the third embodiment is a modification to the second embodiment. He gas is supplied into the chamber 104 from the supply pipe 112 in the second embodiment, but He gas diluted with H 2 gas (He/H 2 gas) is supplied into the chamber 104 from the supply pipe 112 in the third embodiment.
  • He gas diluted with H 2 gas He/H 2 gas
  • the third embodiment makes it possible to prevent NF 3 gas, which is supplied into the chamber 104 from the injector 107 , from flowing into the clearance between the ceramic cover 110 and the electrostatic chuck 108 , as in the second embodiment.
  • the He/H 2 gas flows into the chamber 104 from the periphery of the ceramic cover 110 (the ceramic cover periphery is the end of the clearance between the ceramic cover 110 and the electrostatic chuck 108 ) so that H 2 is excited into plasma in the vicinity of the ceramic cover periphery.
  • This makes it possible to reduce and remove the fluorine components in the periphery of the electrostatic chuck 108 . Therefore, the adsorption of fluorine components on the surface of the electrostatic chuck 108 can be prevented more effectively.
  • the fourth embodiment is a modification to the first embodiment.
  • a process of cleaning the semiconductor manufacturing system 120 ( FIG. 1 ) is carried out as follows. Firstly, the ceramic cover 110 is put on the electrostatic chuck 108 in the chamber 104 to protect the surface of the electrostatic chuck 108 .
  • the pressure in the chamber 104 is maintained, for example, at about 0.1333-1.333 Pa (1-10 mTorr) while supplying NF 3 gas (fluoride-based cleaning gas) through the injector 107 , for example, at 100-1000 sccm.
  • NF 3 gas fluoride-based cleaning gas
  • the ceramic cover 110 is removed from the electrostatic chuck 108 and taken out from the chamber 104 by a vacuum transportation unit (not shown) to expose the surface of the electrostatic chuck 108 .
  • the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) and H 2 gas (fluorine-reducing gas) is supplied into the chamber 104 for example at 200-1000 sccm.
  • a plasma for example, having a frequency of 13.56 MHz is generated with the belljar 102 at an output power of 500-1500 W to excite the H 2 gas into plasma.
  • the resultant hydrogen radicals react with residual fluorine components adsorbed on the inner wall of the chamber 104 to reduce and remove the residual fluorine components.
  • a wafer is loaded into the chamber 104 and placed on the electrostatic chuck 108 to start a process of forming a semiconductor film such as an FSG film.
  • the fluorine-reducing treatment is performed with the ceramic cover 110 being dismounted from the electrostatic chuck 108 and the surface of the electrostatic chuck 108 being exposed. This reduces and removes residual fluorine components adsorbed on the surface of the electrostatic chuck 108 because the fluorine-reducing treatment is performed when the surface of the electrostatic chuck 108 is exposed. It should be recalled that conventionally the fluorine-reducing treatment is carried out to reduce and remove residual fluorine components deposited on the inner wall of the chamber 104 and the wall of belljar 102 . Therefore, it becomes possible to avoid F degasification from the electrostatic chuck 108 during a subsequent process of forming a semiconductor film.
  • the fifth embodiment is a modification to the first embodiment.
  • cleaning by use of the NF 3 gas and the fluorine-reducing treatment using the H 2 gas are carried out as in the first embodiment, but the ceramic cover 110 is not in close or tight contact with the electrostatic chuck 108 .
  • the ceramic cover 110 is detached from the electrostatic chuck 108 and taken out of the chamber 104 by a vacuum transportation unit (not shown) to expose the surface of the electrostatic chuck 108 .
  • the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) while supplying SiH 4 gas, O 2 gas, and argon (Ar) gas into the chamber 104 from the injectors 106 .
  • the SiH 4 gas, O 2 gas, and Ar gas are reactive gases.
  • a plasma for example, having a frequency of 450 kHz is generated with the belljar 102 at an output power of 3000-4000 W to excite the reactive gases into plasma, thereby forming a silicon oxide film containing an excessive amount of silicon to a thickness of about 30-100 nm on the surface of the electrostatic chuck 108 .
  • the cleaning process of the CVD system 120 is thus completed. Thereafter, a wafer is loaded into the chamber 104 and set on the electrostatic chuck 108 to start a process of forming a semiconductor film such as FSG film. It should be noted that the silicon oxide film formed on the surface of the electrostatic chuck 108 is removed in the NF 3 gas cleaning process after a semiconductor film forming process is repeated for a certain number of times.
  • a silicon oxide film containing excess silicon is formed on the electrostatic chuck 108 . This prevents the F degasification in a subsequent process of forming a semiconductor film because fluorine atoms resulting from the residual fluorine components adsorbed on the surface of the electrostatic chuck are trapped by dangling bonds of silicon contained in the silicon oxide film formed on the surface of the electrostatic chuck.
  • the sixth embodiment is a modification to the first embodiment.
  • a process of cleaning the semiconductor manufacturing system 120 ( FIG. 1 ) is carried out as follows. Firstly, no cover is placed on the electrostatic chuck 108 , i.e., the surface of the electrostatic chuck 108 is exposed. Secondly, when the system 120 operates in an idling condition (or stand-by condition), the pressure in the chamber is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) and H 2 gas diluted with Ar gas (Ar/H 2 gas) is supplied into the chamber 104 from the injectors 106 for example at 100-1000 sccm. The substrate is not put into the chamber 104 in the idling/stand-by condition of the system 120 .
  • the Ar gas is one example of the inert gas, and it may be replaced with any other suitable gas such as He gas.
  • a plasma for example, having a frequency of 450 kHz is generated with the belljar 102 at an output power of 1000-5000 W to excite the H 2 gas into plasma.
  • the resultant hydrogen radicals react with residual fluorine components adsorbed on the inner wall of the chamber 104 and the exposed surface of the electrostatic chuck 108 to reduce and remove the residual fluorine components.
  • a wafer is loaded into the chamber 104 and set on the electrostatic chuck 108 to carry out a process of forming a semiconductor film such as FSG film.
  • O 2 gas diluted with Ar gas (Ar/O 2 gas) is constantly supplied into the chamber 104 from the injectors 106 to generate a plasma with the belljar 102 in order to keep a temperature in the chamber 104 when the semiconductor manufacturing system is operating in the idling condition.
  • Ar/O 2 gas Ar/O 2 gas
  • a mixture of H 2 gas and O 2 gas (H 2 /O 2 gas) is sometimes used instead of Ar/O 2 gas in anticipation of the fluorine-reducing effect (see Japanese Patent Kokai No. 9-249976, for example).
  • the plasma excitation may produce H 2 O in the chamber 104 , and the residual H 2 O may be trapped in a film during a film formation process (deposition process). Therefore, in the sixth embodiment of the present invention, a mixture of Ar gas and H 2 gas is supplied into the chamber 104 to generate a plasma during the idling operation of the system 120 . Like the O 2 gas, the Ar gas can provide a stable plasma. Therefore, it is possible to reduce and remove fluorine components adsorbed on the inner wall of the chamber 104 and the exposed surface of the electrostatic chuck 108 without producing H 2 O. Accordingly, it is feasible to prevent F degasification from the electrostatic chuck 108 during a subsequent process of forming the semiconductor film.
  • the seventh embodiment is a modification to the fifth embodiment.
  • the seventh embodiment provides for an additional cleaning process to be performed after the cleaning process of the fifth embodiment.
  • a plasma for example, having a frequency of 13.56 MHz is generated with the electrostatic chuck 108 at an output power of 100-200 W immediately before a substrate is loaded into the chamber 104 (30-60 seconds in advance of the wafer loading, for example).
  • the plasma is generated from the electrostatic chuck 108 immediately before loading the substrate into the chamber 104 , H + and Ar + created in the preceding treatment due to decomposition collide upon the electrostatic chuck 108 .
  • the collision energy causes fluorine adsorbed on the surface of the electrostatic chuck 108 to separate from the electrostatic chuck 108 , whereby the residual fluorine components can be removed more efficiently.
  • F degasification from the electrostatic chuck 108 during the subsequent process of forming the semiconductor film.
  • the wafer or substrate is placed on the electrostatic chuck 108 in the process of forming a semiconductor film.
  • the output power of a plasma generated with the electrostatic chuck 108 immediately before loading the substrate into the chamber 104 has a very small value (about 100-200 W)
  • the surface of the electrostatic chuck 108 is not damaged when the fluorine is separated from the electrostatic chuck 108 .
  • the present invention is not limited to the above described embodiments.
  • the NF 3 gas is used as a fluoride-based cleaning gas in the first to fifth embodiments
  • CF 4 , C 3 F 8 , C 2 F 6 , and ClF 3 may be used instead of NF 3 .
  • the H 2 gas is used as a fluorine-reducing gas in the embodiments
  • a gas containing hydrogen such as NH 3 gas may be used instead of the H 2 gas.

Abstract

A process of cleaning a semiconductor manufacturing system, and a method of manufacturing a semiconductor device. The cleaning process includes, for example, positioning a ceramic cover on the electrostatic chuck in tight contact with the chuck, and feeding a fluoride-based cleaning gas into a chamber. After the cleaning process, a process of forming a semiconductor film (deposition process) is performed. It is possible to prevent fluorine degasification from a substrate-supporting electrode (electrostatic chuck) during the deposition process. A semiconductor film can be formed without causing a temperature drop near the substrate. This prevents irregular film thickness, defective etching, film flaking, etc.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to a process of cleaning a semiconductor manufacturing system such as a plasma-enhanced chemical vapor deposition (or plasma CVD) system. More specifically, the present invention relates to a process of cleaning a manufacturing system adapted to form a fluorine-containing silicon oxide film (referred to as “FSG film”) such as an interlayer dielectric film of a semiconductor device. The present invention also relates to a method of manufacturing a semiconductor device. FSG stands for Fluorinated Silicate Glass.
  • 2. Description of the Related Art
  • In general, a method of manufacturing a semiconductor device includes a plasma CVD process to form a semiconductor film on a substrate. Such film-formation process includes the steps of: placing a substrate on an electrostatic chuck provided in a reaction chamber; supplying a reactive gas into the reaction chamber; and applying high-frequency electric power between a pair of electrodes to generate a plasma and decompose the reactive gas molecules with the plasma, so that a semiconductor film is formed on the top surface of the substrate.
  • The film-formation process (deposition process) is repeated to manufacture a number of semiconductor devices. As the film-formation process is repeated, semiconductor films also accumulate on an inner wall of the reaction chamber and surfaces of the electrodes in the plasma enhanced CVD system. The semiconductor films deposited on the reaction chamber wall and electrodes may exfoliate and adhere to the substrate during the film-formation process. These semiconductor films contaminate the substrate.
  • Recently, therefore, a cleaning process is carried out to clean the inside of the reaction chamber of a plasma enhanced CVD system. The cleaning process uses a fluoride-based cleaning gas. In the cleaning process, a plasma is applied to the cleaning gas to generate fluorine atoms so as to clean (remove) films deposited on the inner wall of the reaction chamber and the surfaces of the electrodes. This cleaning process entails a problem that the fluorine atoms generated in the cleaning process are adsorbed on the inner wall of the reaction chamber and the surfaces of the electrodes, and remain there. Therefore, a fluorine-reducing gas is supplied into the reaction chamber to reduce and remove the residual fluorine atoms in the reaction chamber.
  • A specific example of a cleaning process is disclosed in Japanese Patent Kokai (Laid-Open Publication) No. 7-201738. In this cleaning process, active species (e.g., radicals or ions of nitride compounds) and a fluorine-reducing gas are supplied into the reaction chamber to cause the active species to act on the residual fluorine components, thereby reducing and removing the residual fluorine components.
  • Another cleaning process is disclosed in Japanese Patent Kokai No. 9-249976. This cleaning process uses NF3. CF4, C3F8, C2F6, or ClF3 as a fluoride-based cleaning gas, and uses at least one kind of gas selected from the group consisting of O2, H2 and an inert gas as a fluorine-reducing cleaning gas.
  • Japanese Patent Kokai No. 10-147877 discloses another cleaning process in which an inert gas (or a mixture of the inert gas and nitrogen gas) is supplied into the reaction chamber as a fluorine-reducing gas to reduce and remove residual fluorine components after or during the cleaning by use of a fluorine-based cleaning gas.
  • In the cleaning processes disclosed above, a ceramic cover having the same shape as that of a substrate or wafer is usually positioned on an electrostatic chuck provided inside the reaction chamber of a plasma enhanced CVD system in order to protect the surface of the electrostatic chuck. Silicon oxide films inside the reaction chamber are removed by supplying a fluoride-based cleaning gas into the reaction chamber and generating a plasma. After the cleaning, a fluorine-reducing gas is supplied into the reaction chamber to generate the plasma, with the ceramic cover being left on the electrostatic chuck, thereby reducing and removing residual fluorine atoms in the reaction chamber.
  • During the cleaning of the plasma enhanced CVD system, the ceramic cover is placed on the electrostatic chuck to protect the surface of the electrostatic chuck.
  • However, there is a problem in the conventional cleaning process. Warp of the ceramic cover, etc. produce a clearance between the ceramic cover and the electrostatic chuck and thus a fluoride-based cleaning gas enters the clearance to cause fluorine components to be adsorbed on the surface of the electrostatic chuck. The residual fluorine components, which have been adsorbed on the surface of the electrostatic chuck, cannot be sufficiently reduced and removed even when the radial components are subjected to the treatment using a fluorine-reducing gas. As a result, when forming a semiconductor film after the cleaning process, the residual fluorine components may separate from the surface of the electrostatic chuck (the phenomenon is referred to as “F degasification”) and decrease the film-forming (deposition) temperature in the vicinity of the substrate or wafer. This leads to problems including irregular film thickness, defective etching, and film flaking. These drawbacks are serious when forming an FSG film because significant F degasification occurs during the FSG film formation.
  • SUMMARY OF THE INVENTION
  • One object of the present invention is to provide a process of cleaning a semiconductor manufacturing system that does have the above described problem(s).
  • Another object of the present invention is to provide a method of fabricating a semiconductor device that does have the above described problem(s).
  • According to one aspect of the present invention, there is provided an improved process of cleaning a semiconductor manufacturing system. The semiconductor manufacturing system has a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber. A substrate is located on the substrate-supporting electrode when forming a semiconductor film on the substrate. The cleaning process includes positioning an insulating cover on the substrate-supporting electrode in close or tight contact with the substrate-supporting electrode, supplying a fluoride-based cleaning gas into the reaction chamber, and generating a plasma in the reaction chamber.
  • According to a second aspect of the present invention, there is provided another improved method of cleaning a semiconductor manufacturing system. The semiconductor manufacturing system has a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber. A substrate is placed on the substrate-supporting electrode when forming a semiconductor film on the substrate. The cleaning process includes positioning an insulating cover on the substrate-supporting electrode, supplying a fluoride-based cleaning gas into the reaction chamber, supplying an inert gas and/or a fluorine-reducing gas into the reaction chamber, and generating a plasma in the reaction chamber. The inert gas and/or the fluorine-reducing gas is supplied from an approximate center of the substrate-supporting electrode through a gap between the insulating cover and the substrate-supporting electrode. A pressure in the gap is maintained to be higher than a pressure in the reaction chamber.
  • According to a third aspect of the present invention, there is provided another process of cleaning a semiconductor manufacturing system. The semiconductor system has a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber. A substrate is placed on the substrate-supporting electrode when forming a semiconductor film on the substrate. The cleaning process includes positioning an insulating cover on the substrate-supporting electrode, supplying a fluoride-based cleaning gas into the reaction chamber and then generating a plasma in the reaction chamber. The process also includes removing the insulating cover from the substrate-supporting electrode to expose a surface of the substrate-supporting electrode, supplying a fluorine-reducing gas into the reaction chamber, and generating a plasma.
  • According to a fourth aspect of the present invention, there is provided another process of cleaning a semiconductor manufacturing system. The semiconductor system has a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber. A substrate is placed on the substrate-supporting electrode when forming a semiconductor film on the substrate. The cleaning process includes positioning an insulating cover on the substrate-supporting electrode, supplying a fluoride-based cleaning gas into the reaction chamber, generating a plasma in the reaction chamber, supplying a fluorine-reducing gas into the reaction chamber, generating a plasma, removing the insulating cover from the substrate-supporting electrode to expose a surface of the substrate-supporting electrode, and forming a silicon oxide film containing an excessive amount of silicon therein on the surface of the substrate-supporting electrode.
  • According to a fifth aspect of the present invention, there is provided another process of cleaning a semiconductor manufacturing system having a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber. A substrate is placed on the substrate-supporting electrode when forming a semiconductor film on the substrate. The cleaning process includes supplying hydrogen gas and an inert gas into the reaction chamber and generating a plasma when the semiconductor manufacturing system is in a standby condition before loading the substrate into the reaction chamber.
  • According to a sixth aspect of the present invention, there is provided a method of manufacturing a semiconductor device. The manufacturing method includes carrying out any one of the above described cleaning processes, setting the substrate on the substrate-supporting electrode in the reaction chamber, supplying a raw material gas into the reaction chamber, and generating a plasma to form a semiconductor film on the substrate.
  • Each of the above described processes for cleaning the semiconductor manufacturing system can prevent F degasification from the substrate-supporting electrode (e.g., an electrostatic chuck) during the semiconductor film formation process (deposition process). Thus, it is possible to form the semiconductor film without decreasing the film-forming temperature in the vicinity of the substrate or wafer and avoid troubles including irregular film thickness, defective etching, and film flaking.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a schematic diagram of a semiconductor manufacturing system in connection with a first embodiment of the present invention; and
  • FIG. 2 illustrates a schematic diagram of a semiconductor manufacturing system in connection with a second embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments of the present invention will be described with reference to the accompanying drawings. It should be noted that similar reference numerals and symbols are used to designate similar elements in different drawings and embodiments, and redundant description for the similar elements may be omitted.
  • First Embodiment
  • Referring to FIG. 1, a semiconductor manufacturing system 120 is illustrated.
  • The semiconductor manufacturing system 120 is a plasma enhanced CVD system. The plasma enhanced CVD system 120 includes a main body 100, a belljar (ceramic dome) 102, and a chamber (reaction chamber) 104. The belljar 102 is an upper electrode. The chamber 104 is sealed with the main body 100 and belljar 102. The semiconductor manufacturing system 120 also includes injectors 106 and an electrostatic chuck (substrate-supporting electrode) 108, both are positioned inside the chamber 104. The electrostatic chuck 108 is a lower electrode. The main body 100 has an outlet (not shown), and the chamber 104 is evacuated through the main body outlet. A raw material gas is supplied into the vacuum chamber 104 from the injectors 106, a plasma is generated at a frequency of, for example, 400 kHz from the belljar 102 and a plasma is generated at a frequency of, for example, 13.56 kHz from the electrostatic chuck 108, to perform a deposition process. The semiconductor manufacturing system 120 also includes another injector 107 for supplying a fluoride-based cleaning gas into the chamber 104.
  • A process of cleaning the semiconductor manufacturing system 120 will be described. Firstly, a ceramic cover (insulating cover) 110 is placed on the electrostatic chuck 108 in the chamber 104 to protect the surface of the electrostatic chuck 108. Secondly, a voltage of, for example, about 600 volts is applied to the electrostatic chuck 108 to firmly secure the ceramic cover 110 with the surface of the electrostatic chuck 108. It should be noted that the firm contact between the ceramic cover 110 and the electrostatic chuck 108 may be established by a mechanical element (e.g., a clamp ring; not shown), instead of applying the voltage of about 600 volts to the electrostatic chuck 108.
  • Thereafter, the pressure in the chamber 104 is maintained, for example, at about 0.1333-1.333 Pa (1-10 mTorr) while supplying NF3 gas (i.e., a fluoride-based cleaning gas) from the injector 107, for example, at 100-1000 sccm.
  • Further, a plasma, for example, having a frequency of 13.56 MHz is generated from the belljar 102 at an output power of 1000-1500 W to excite the NF3 gas into plasma and create F radicals. The radicals react with deposits such as a silicon oxide film deposited on the inner wall of the chamber 104 to create volatile compounds such as SiF4. The volatile compounds are discharged from the chamber 104 and therefore the deposits are removed.
  • Then, the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) and H2 gas (fluorine-reducing gas) is supplied from the injector into the chamber 104, for example, at 200-1000 sccm.
  • A plasma, for example, having a frequency of 13.56 MHz is generated from the belljar 102 at an output power of 500-1500 W to excite the H2 gas into plasma. The resulting hydrogen radicals react with residual fluorine components deposited on the inner wall of the chamber 104 to reduce and remove the residual fluorine components.
  • The process of cleaning the CVD system 120 is thus completed. Thereafter, precoating is performed, and the cover 110 is removed. Then, a wafer is loaded into the chamber 104 and set on the electrostatic chuck 108 to start a process of forming semiconductor films such as FSG films (deposition process).
  • In this embodiment, the ceramic cover 110 is positioned on the electrostatic chuck 108 such that the ceramic cover 110 has a close or tight contact with the electrostatic chuck 108. In other words, the ceramic cover 110 is located on the electrostatic chuck 108 with no clearance between the ceramic cover 110 and the surface of the electrostatic chuck 108. This prevents NF3 from entering between the ceramic cover 110 and the surface of the electrostatic chuck 108 when NF3 is supplied into the chamber 104. Therefore, it is possible to prohibit the adsorption of fluorine components on (in) the surface of the electrostatic chuck 108, and thus prevent occurrence of F degasification from the electrostatic chuck 108 during the semiconductor film formation process (deposition process).
  • Second Embodiment
  • FIG. 2 is a schematic diagram showing a semiconductor manufacturing system 122 in connection with the second embodiment of the invention.
  • The semiconductor manufacturing system 122 has a similar structure to the semiconductor manufacturing system 120 shown in FIG. 1, but the system 122 has a supply pipe 112 extending downwardly from the center (or the approximate center) of the electrostatic chuck 108.
  • A process of cleaning the semiconductor manufacturing system 122 will be described. In this embodiment, firstly, the ceramic cover 110 is placed on the electrostatic chuck 108 in the chamber 104 to protect the surface of the electrostatic chuck 108.
  • Secondly, the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) while supplying NF3 gas into the chamber 104 from the injector 107 for example at 100-1000 sccm and helium (He) gas toward the center (or approximate center) of the ceramic cover 110 from the supply pipe 112 for example at 60-120 sccm. The NF3 gas is one example of a fluoride-based cleaning gas, and the He gas is one example of an inert gas. The He gas supplied from the supply pipe 112 first reaches the center (or approximate center) of the electrostatic chuck 108, and flows in the clearance between the ceramic cover 110 and the electrostatic chuck 108. The He gas then flows radially outwards towards the periphery of the ceramic cover 110, and enters the chamber 104. The He gas is supplied into the chamber 104 such that the pressure in the gap between the ceramic cover 110 and the electrostatic chuck 108 is higher than the pressure in the chamber 104.
  • Then, a plasma, for example, having a frequency of 13.56 MHz is generated with the belljar 102 or the upper electrode at an output power of 1000-5000 W to excite the NF3 gas into plasma and create F radicals. The radicals react with deposits such as a silicon oxide film deposited on the inner wall of the chamber 104 to create volatile compounds such as SiF4. The volatile compounds are discharged from the chamber 104 and therefore the unnecessary deposits are removed.
  • Next, the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) while supplying H2 gas for example at 200-1000 sccm into the chamber 104. The H2 gas is an example of the fluorine-reducing gas.
  • A plasma, for example, having a frequency of 13.56 MHz is generated with the belljar 102 at an output power of 500-1500 W to excite the H2 gas into plasma. The resultant hydrogen radicals react with residual fluorine components adsorbed on the inner wall of the chamber 104 to reduce and remove the residual fluorine components.
  • The process of cleaning the CVD system 122 is thus completed. Thereafter, precoating is performed, and the cover 110 is removed. Then, a wafer is loaded into the chamber 104 and set on the electrostatic chuck 108 to start a process of forming a semiconductor film such as FSG film.
  • In this embodiment, the NF3 gas is supplied into the chamber 104 and the He gas is also supplied into the chamber 104. The He gas flows through the clearance between the ceramic cover 110 and the electrostatic chuck 108, and the pressure in the clearance between the ceramic cover 110 and the electrostatic chuck 108 is maintained to be higher than the pressure in the chamber 104. Further, the He gas flows radially outwards in the cover-chuck clearance from the center of the electrostatic chuck 108. Consequently, the NF3 gas in the chamber 104 does not flow into the higher-pressure clearance between the ceramic cover 110 and the electrostatic chuck 108. Therefore, it is possible to prevent fluorine components from being adsorbed on the surface of the electrostatic chuck 108 and to avoid F degasification from the electrostatic chuck 108 during a process of forming a semiconductor film.
  • According to the second embodiment, it is possible to prevent the NF3 gas from flowing into the cover-chuck clearance even if there is a clearance between the electrostatic chuck 108 and the cover 110. Therefore, it is possible to prohibit the adsorption of fluorine components on (in) the surface of the electrostatic chuck 108.
  • Third Embodiment
  • The third embodiment is a modification to the second embodiment. He gas is supplied into the chamber 104 from the supply pipe 112 in the second embodiment, but He gas diluted with H2 gas (He/H2 gas) is supplied into the chamber 104 from the supply pipe 112 in the third embodiment.
  • The third embodiment makes it possible to prevent NF3 gas, which is supplied into the chamber 104 from the injector 107, from flowing into the clearance between the ceramic cover 110 and the electrostatic chuck 108, as in the second embodiment. The He/H2 gas flows into the chamber 104 from the periphery of the ceramic cover 110 (the ceramic cover periphery is the end of the clearance between the ceramic cover 110 and the electrostatic chuck 108) so that H2 is excited into plasma in the vicinity of the ceramic cover periphery. This makes it possible to reduce and remove the fluorine components in the periphery of the electrostatic chuck 108. Therefore, the adsorption of fluorine components on the surface of the electrostatic chuck 108 can be prevented more effectively.
  • Fourth Embodiment
  • The fourth embodiment is a modification to the first embodiment. In the fourth embodiment, a process of cleaning the semiconductor manufacturing system 120 (FIG. 1) is carried out as follows. Firstly, the ceramic cover 110 is put on the electrostatic chuck 108 in the chamber 104 to protect the surface of the electrostatic chuck 108.
  • Secondly, the pressure in the chamber 104 is maintained, for example, at about 0.1333-1.333 Pa (1-10 mTorr) while supplying NF3 gas (fluoride-based cleaning gas) through the injector 107, for example, at 100-1000 sccm.
  • Thirdly, a plasma, for example, having a frequency of 13.56 MHz is generated with the belljar 102 at an output power of 1000-5000 W to excite the NF3 gas into plasma and create F radicals. The radicals react with deposits such as a silicon oxide film deposited on the inner wall of the chamber 104 to create volatile compounds such as SiF4. The volatile compounds are exhausted from the chamber 104 and therefore the unnecessary deposits are removed.
  • Then, the ceramic cover 110 is removed from the electrostatic chuck 108 and taken out from the chamber 104 by a vacuum transportation unit (not shown) to expose the surface of the electrostatic chuck 108.
  • When the surface of the electrostatic chuck 108 is exposed, the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) and H2 gas (fluorine-reducing gas) is supplied into the chamber 104 for example at 200-1000 sccm.
  • A plasma, for example, having a frequency of 13.56 MHz is generated with the belljar 102 at an output power of 500-1500 W to excite the H2 gas into plasma. The resultant hydrogen radicals react with residual fluorine components adsorbed on the inner wall of the chamber 104 to reduce and remove the residual fluorine components.
  • The process of cleaning the CVD system 120 is thus complete. Thereafter, a wafer is loaded into the chamber 104 and placed on the electrostatic chuck 108 to start a process of forming a semiconductor film such as an FSG film.
  • In this embodiment, after the cleaning is carried out using the NF3 gas, the fluorine-reducing treatment is performed with the ceramic cover 110 being dismounted from the electrostatic chuck 108 and the surface of the electrostatic chuck 108 being exposed. This reduces and removes residual fluorine components adsorbed on the surface of the electrostatic chuck 108 because the fluorine-reducing treatment is performed when the surface of the electrostatic chuck 108 is exposed. It should be recalled that conventionally the fluorine-reducing treatment is carried out to reduce and remove residual fluorine components deposited on the inner wall of the chamber 104 and the wall of belljar 102. Therefore, it becomes possible to avoid F degasification from the electrostatic chuck 108 during a subsequent process of forming a semiconductor film.
  • Fifth Embodiment
  • The fifth embodiment is a modification to the first embodiment. In the fifth embodiment, cleaning by use of the NF3 gas and the fluorine-reducing treatment using the H2 gas are carried out as in the first embodiment, but the ceramic cover 110 is not in close or tight contact with the electrostatic chuck 108.
  • After the fluorine-reducing treatment using the H2 gas, the ceramic cover 110 is detached from the electrostatic chuck 108 and taken out of the chamber 104 by a vacuum transportation unit (not shown) to expose the surface of the electrostatic chuck 108.
  • When the surface of the electrostatic chuck 108 is exposed, the pressure in the chamber 104 is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) while supplying SiH4 gas, O2 gas, and argon (Ar) gas into the chamber 104 from the injectors 106. The SiH4 gas, O2 gas, and Ar gas are reactive gases. The flow rates of the reactive gases are, for example, as follows: SiH4 gas=180 sccm, O2 gas=340 sccm, and Ar gas=240 sccm, and the ratio SiH4 gas/O2 gas is equal to or greater than 1.5 so that a silicon oxide film to be formed contains an excessive amount of silicon.
  • Then, a plasma, for example, having a frequency of 450 kHz is generated with the belljar 102 at an output power of 3000-4000 W to excite the reactive gases into plasma, thereby forming a silicon oxide film containing an excessive amount of silicon to a thickness of about 30-100 nm on the surface of the electrostatic chuck 108.
  • The cleaning process of the CVD system 120 is thus completed. Thereafter, a wafer is loaded into the chamber 104 and set on the electrostatic chuck 108 to start a process of forming a semiconductor film such as FSG film. It should be noted that the silicon oxide film formed on the surface of the electrostatic chuck 108 is removed in the NF3 gas cleaning process after a semiconductor film forming process is repeated for a certain number of times.
  • In this embodiment, a silicon oxide film containing excess silicon is formed on the electrostatic chuck 108. This prevents the F degasification in a subsequent process of forming a semiconductor film because fluorine atoms resulting from the residual fluorine components adsorbed on the surface of the electrostatic chuck are trapped by dangling bonds of silicon contained in the silicon oxide film formed on the surface of the electrostatic chuck.
  • Sixth Embodiment
  • The sixth embodiment is a modification to the first embodiment. In the sixth embodiment, a process of cleaning the semiconductor manufacturing system 120 (FIG. 1) is carried out as follows. Firstly, no cover is placed on the electrostatic chuck 108, i.e., the surface of the electrostatic chuck 108 is exposed. Secondly, when the system 120 operates in an idling condition (or stand-by condition), the pressure in the chamber is maintained for example at about 0.1333-1.333 Pa (1-10 mTorr) and H2 gas diluted with Ar gas (Ar/H2 gas) is supplied into the chamber 104 from the injectors 106 for example at 100-1000 sccm. The substrate is not put into the chamber 104 in the idling/stand-by condition of the system 120. It should be noted that the Ar gas is one example of the inert gas, and it may be replaced with any other suitable gas such as He gas.
  • Thirdly, a plasma, for example, having a frequency of 450 kHz is generated with the belljar 102 at an output power of 1000-5000 W to excite the H2 gas into plasma. The resultant hydrogen radicals react with residual fluorine components adsorbed on the inner wall of the chamber 104 and the exposed surface of the electrostatic chuck 108 to reduce and remove the residual fluorine components.
  • Thereafter, a wafer is loaded into the chamber 104 and set on the electrostatic chuck 108 to carry out a process of forming a semiconductor film such as FSG film.
  • Here, a conventional approach should be described. In the conventional approach, O2 gas diluted with Ar gas (Ar/O2 gas) is constantly supplied into the chamber 104 from the injectors 106 to generate a plasma with the belljar 102 in order to keep a temperature in the chamber 104 when the semiconductor manufacturing system is operating in the idling condition. During the idling condition, none of a semiconductor film formation process, a cleaning process with a fluoride-based cleaning gas, and a fluorine-reducing treatment is performed. A mixture of H2 gas and O2 gas (H2/O2 gas) is sometimes used instead of Ar/O2 gas in anticipation of the fluorine-reducing effect (see Japanese Patent Kokai No. 9-249976, for example). However, when H2/O2 gas is used, the plasma excitation may produce H2O in the chamber 104, and the residual H2O may be trapped in a film during a film formation process (deposition process). Therefore, in the sixth embodiment of the present invention, a mixture of Ar gas and H2 gas is supplied into the chamber 104 to generate a plasma during the idling operation of the system 120. Like the O2 gas, the Ar gas can provide a stable plasma. Therefore, it is possible to reduce and remove fluorine components adsorbed on the inner wall of the chamber 104 and the exposed surface of the electrostatic chuck 108 without producing H2O. Accordingly, it is feasible to prevent F degasification from the electrostatic chuck 108 during a subsequent process of forming the semiconductor film.
  • Seventh Embodiment
  • The seventh embodiment is a modification to the fifth embodiment. The seventh embodiment provides for an additional cleaning process to be performed after the cleaning process of the fifth embodiment.
  • When the cleaning process of the fifth embodiment is complete, then a plasma, for example, having a frequency of 13.56 MHz is generated with the electrostatic chuck 108 at an output power of 100-200 W immediately before a substrate is loaded into the chamber 104 (30-60 seconds in advance of the wafer loading, for example).
  • Since the plasma is generated from the electrostatic chuck 108 immediately before loading the substrate into the chamber 104, H+ and Ar+ created in the preceding treatment due to decomposition collide upon the electrostatic chuck 108. The collision energy causes fluorine adsorbed on the surface of the electrostatic chuck 108 to separate from the electrostatic chuck 108, whereby the residual fluorine components can be removed more efficiently. Thus, it is possible to prevent F degasification from the electrostatic chuck 108 during the subsequent process of forming the semiconductor film. The wafer or substrate is placed on the electrostatic chuck 108 in the process of forming a semiconductor film.
  • In this embodiment, because the output power of a plasma generated with the electrostatic chuck 108 immediately before loading the substrate into the chamber 104 has a very small value (about 100-200 W), the surface of the electrostatic chuck 108 is not damaged when the fluorine is separated from the electrostatic chuck 108.
  • The present invention is not limited to the above described embodiments. For instance, although the NF3 gas is used as a fluoride-based cleaning gas in the first to fifth embodiments, CF4, C3F8, C2F6, and ClF3 may be used instead of NF3. Further, although the H2 gas is used as a fluorine-reducing gas in the embodiments, a gas containing hydrogen such as NH3 gas may be used instead of the H2 gas.
  • It should be noted that any of the embodiments do not have a limiting meaning with respect to the scope of the present invention, and various changes and modifications may be made without departing from the scope of the present invention.

Claims (19)

1. A process of cleaning a semiconductor manufacturing system having a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber, a substrate being located on the substrate-supporting electrode when forming a semiconductor film on the substrate, the process comprising:
positioning an insulating cover on the substrate-supporting electrode in tight contact with the substrate-supporting electrode; and
supplying a fluoride-based cleaning gas into the reaction chamber and generating a plasma in the reaction chamber.
2. The process of cleaning as set forth in claim 1, wherein the fluoride-based cleaning gas is one of NF3, CF4, C3F8, C2F6, and ClF3.
3. The process of cleaning as set forth in claim 1, wherein the positioning of the insulating cover in tight contact with the substrate-supporting electrode comprises placing the insulating cover on the substrate-supporting electrode, and applying a voltage to the substrate-supporting electrode.
4. A process of cleaning a semiconductor manufacturing system having a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber, with a substrate being placed on the substrate-supporting electrode when forming a semiconductor film on the substrate, the process comprising:
positioning an insulating cover on the substrate-supporting electrode;
supplying a fluoride-based cleaning gas into the reaction chamber, and supplying at least one of an inert gas and a fluorine-reducing gas into the reaction chamber from an approximate center of the substrate-supporting electrode through a gap between the insulating cover and the substrate-supporting electrode, a pressure in the gap being maintained to be higher than a pressure in the reaction chamber; and
generating a plasma in the reaction chamber.
5. The process of cleaning as set forth in claim 4, wherein the fluoride-based cleaning gas is one of NF3, CF4, C3F8, C2F6, and ClF3.
6. The process of cleaning as set forth in claim 4, wherein the fluorine-reducing gas is H2 or NH3.
7. The process of cleaning as set forth in claim 4, wherein the inert gas is He gas.
8. A process of cleaning a semiconductor manufacturing system having a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber, with a substrate being placed on the substrate-supporting electrode when forming a semiconductor film on the substrate, the process comprising:
positioning an insulating cover on the substrate-supporting electrode;
supplying a fluoride-based cleaning gas into the reaction chamber and then generating a plasma in the reaction chamber;
removing the insulating cover from the substrate-supporting electrode to expose a surface of the substrate-supporting electrode; and
supplying a fluorine-reducing gas into the reaction chamber and generating a plasma.
9. The process of cleaning as set forth in claim 8, wherein the fluoride-based cleaning gas is one of NF3, CF4, C3F8, C2F6, and ClF3.
10. The process of cleaning as set forth in claim 8, wherein the fluorine-reducing gas is H2 or NH3.
11. A process of cleaning a semiconductor manufacturing system having a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber, with a substrate being placed on the substrate-supporting electrode when forming a semiconductor film on the substrate, the process comprising:
positioning an insulating cover on the substrate-supporting electrode;
supplying a fluoride-based cleaning gas into the reaction chamber and generating a plasma in the reaction chamber;
supplying a fluorine-reducing gas into the reaction chamber and then generating a plasma;
removing the insulating cover from the substrate-supporting electrode to expose a surface of the substrate-supporting electrode; and
forming a silicon oxide film containing an excessive amount of silicon on the surface of the substrate-supporting electrode.
12. The process of cleaning as set forth in claim 11, wherein the fluoride-based cleaning gas is one of NF3, CF4, C3F8, C2F6, and ClF3.
13. The process of cleaning as set forth in claim 11, wherein the fluorine-reducing gas is H2 or NH3.
14. A process of cleaning a semiconductor manufacturing system having a reaction chamber and a substrate-supporting electrode provided inside the reaction chamber, with a substrate being placed on the substrate-supporting electrode when forming a semiconductor film on the substrate, the process comprising:
supplying hydrogen gas and an inert gas into the reaction chamber and generating a plasma when the semiconductor manufacturing system is in a standby condition before loading the substrate into the reaction chamber.
15. The process of cleaning as set forth in claim 14, wherein the inert gas is Ar gas or He gas.
16. The process of cleaning as set forth in claim 14, further comprising generating a plasma with the substrate-supporting electrode immediately before loading the substrate into the reaction chamber.
17. The process of cleaning as set forth in claim 16, wherein the plasma is generated with the substrate-supporting electrode at an output power of 100-200 W.
18. A method of manufacturing a semiconductor device comprising:
carrying out a cleaning process according to claim 1;
setting the substrate on the substrate-supporting electrode in the reaction chamber;
supplying a raw material gas into the reaction chamber; and
generating a plasma to form a semiconductor film on the substrate.
19. The process of cleaning as set forth in claim 1, wherein the positioning of the insulating cover in tight contact with the substrate-supporting electrode comprises placing the insulating cover on the substrate-supporting electrode, and clamping the insulating cover to the substrate-supporting electrode by a mechanical element.
US10/657,207 2003-01-16 2003-09-09 Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device Abandoned US20060040066A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/385,135 US7816272B2 (en) 2003-01-16 2009-03-31 Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003008305A JP3657942B2 (en) 2003-01-16 2003-01-16 Method for cleaning semiconductor manufacturing apparatus and method for manufacturing semiconductor device
JP2003-008305 2003-01-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/385,135 Division US7816272B2 (en) 2003-01-16 2009-03-31 Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
US20060040066A1 true US20060040066A1 (en) 2006-02-23

Family

ID=32898149

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/657,207 Abandoned US20060040066A1 (en) 2003-01-16 2003-09-09 Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device
US12/385,135 Expired - Fee Related US7816272B2 (en) 2003-01-16 2009-03-31 Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/385,135 Expired - Fee Related US7816272B2 (en) 2003-01-16 2009-03-31 Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device

Country Status (2)

Country Link
US (2) US20060040066A1 (en)
JP (1) JP3657942B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070246062A1 (en) * 2006-04-19 2007-10-25 Chien-Hsin Lai Method of cleaning deposition chamber
US20090308840A1 (en) * 2006-09-19 2009-12-17 Tokyo Electron Limited Plasma cleaning method and plasma cvd method
US20130252434A1 (en) * 2012-03-22 2013-09-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
US20150114930A1 (en) * 2013-10-31 2015-04-30 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20150275356A1 (en) * 2014-03-28 2015-10-01 Tokyo Electron Limited Cleaning method of apparatus for forming amorphous silicon film, and method and apparatus for forming amorphous silicon film
CN104979190A (en) * 2015-06-29 2015-10-14 上海华力微电子有限公司 Method for improving distribution uniformity of phosphorus in phosphorus silicon glass
CN112272861A (en) * 2018-06-25 2021-01-26 玛特森技术公司 Post-etch defluorination process
US11211232B2 (en) * 2018-10-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for cleaning semiconductor device manufacturing apparatus
CN114032523A (en) * 2021-10-22 2022-02-11 华虹半导体(无锡)有限公司 Method for preparing metal layer

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4558431B2 (en) * 2004-09-30 2010-10-06 株式会社日立ハイテクノロジーズ Cleaning method for semiconductor manufacturing equipment
KR101064873B1 (en) * 2009-10-23 2011-09-16 주성엔지니어링(주) Substrate processing equipment and method
JP6071514B2 (en) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 Electrostatic chuck reforming method and plasma processing apparatus
US9887121B2 (en) * 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9293303B2 (en) * 2013-08-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Low contamination chamber for surface activation
US10612135B2 (en) 2016-07-19 2020-04-07 Applied Materials, Inc. Method and system for high temperature clean
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900161A (en) * 1995-01-13 1999-05-04 Anelva Corporation Apparatus and method for detecting end point of post treatment
US5911833A (en) * 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6261977B1 (en) * 1999-09-08 2001-07-17 United Microelectronics Corp. Method for preventing an electrostatic chuck from being corroded during a cleaning process
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US20030119328A1 (en) * 2001-12-26 2003-06-26 Tokyo Electron Limited Plasma processing apparatus, and cleaning method therefor
US20040221869A1 (en) * 2001-09-28 2004-11-11 Smith Bradley C. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6852243B2 (en) * 1999-01-11 2005-02-08 Lsi Logic Corporation Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0793276B2 (en) 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド Thin film forming pretreatment method and thin film forming method
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
JPH10147877A (en) 1996-11-19 1998-06-02 Kokusai Electric Co Ltd Gas cleaning method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900161A (en) * 1995-01-13 1999-05-04 Anelva Corporation Apparatus and method for detecting end point of post treatment
US5911833A (en) * 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6852243B2 (en) * 1999-01-11 2005-02-08 Lsi Logic Corporation Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6261977B1 (en) * 1999-09-08 2001-07-17 United Microelectronics Corp. Method for preventing an electrostatic chuck from being corroded during a cleaning process
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US20040221869A1 (en) * 2001-09-28 2004-11-11 Smith Bradley C. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US20030119328A1 (en) * 2001-12-26 2003-06-26 Tokyo Electron Limited Plasma processing apparatus, and cleaning method therefor

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070246062A1 (en) * 2006-04-19 2007-10-25 Chien-Hsin Lai Method of cleaning deposition chamber
US7569111B2 (en) 2006-04-19 2009-08-04 United Microelectronics Corp. Method of cleaning deposition chamber
US20090308840A1 (en) * 2006-09-19 2009-12-17 Tokyo Electron Limited Plasma cleaning method and plasma cvd method
US8366953B2 (en) * 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
US9685317B2 (en) * 2012-03-22 2017-06-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
US20130252434A1 (en) * 2012-03-22 2013-09-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
US20150114930A1 (en) * 2013-10-31 2015-04-30 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9330891B2 (en) * 2013-10-31 2016-05-03 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20150275356A1 (en) * 2014-03-28 2015-10-01 Tokyo Electron Limited Cleaning method of apparatus for forming amorphous silicon film, and method and apparatus for forming amorphous silicon film
CN104979190A (en) * 2015-06-29 2015-10-14 上海华力微电子有限公司 Method for improving distribution uniformity of phosphorus in phosphorus silicon glass
CN112272861A (en) * 2018-06-25 2021-01-26 玛特森技术公司 Post-etch defluorination process
US11211232B2 (en) * 2018-10-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for cleaning semiconductor device manufacturing apparatus
CN114032523A (en) * 2021-10-22 2022-02-11 华虹半导体(无锡)有限公司 Method for preparing metal layer

Also Published As

Publication number Publication date
US20090188526A1 (en) 2009-07-30
JP2004221397A (en) 2004-08-05
US7816272B2 (en) 2010-10-19
JP3657942B2 (en) 2005-06-08

Similar Documents

Publication Publication Date Title
US7816272B2 (en) Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10002745B2 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US10595477B2 (en) Oxide with higher utilization and lower cost
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
JP6325057B2 (en) Manufacturing method of semiconductor device
US20050026434A1 (en) Method of improving the wafer-to-wafer thickness uniformity of silicon nitride layers
KR100653217B1 (en) Cleaning method of apparatus for depositing metal containing film
EP0418592B1 (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
US6479098B1 (en) Method to solve particle performance of FSG layer by using UFU season film for FSG process
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
US20110114114A1 (en) Cleaning method of apparatus for depositing carbon containing film
JP2837087B2 (en) Thin film formation method
US20200255940A1 (en) Method for cleaning process chamber
KR20200005506A (en) Protective layer for chucks during plasma processing to reduce particle formation
KR101198243B1 (en) Cleaning method of apparatus for depositing carbon containing film
JPH07201738A (en) Pretreatment method for thin-film formation, and formation method for thin film
US20040222188A1 (en) Method of cleaning a deposition chamber and apparatus for depositing a metal on a substrate
JP4059792B2 (en) Semiconductor manufacturing method
JP3820212B2 (en) Method for conditioning a CVD chamber after CVD chamber cleaning
US20060054183A1 (en) Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US6329294B1 (en) Method for removing photoresist mask used for etching of metal layer and other etching by-products
JPH09172004A (en) Etching method
JP2005142596A (en) Method of cleaning semiconductor manufacturing apparatus and method of manufacturing semiconductor device
JP2002064067A (en) Conditioned chamber for improving chemical vapor deposition

Legal Events

Date Code Title Description
AS Assignment

Owner name: OKI ELECTRIC INDUSTRY CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TSUTAE, HIROOMI;REEL/FRAME:014485/0246

Effective date: 20030621

AS Assignment

Owner name: OKI SEMICONDUCTOR CO., LTD., JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:OKI ELECTRIC INDUSTRY CO., LTD.;REEL/FRAME:022052/0797

Effective date: 20081001

Owner name: OKI SEMICONDUCTOR CO., LTD.,JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:OKI ELECTRIC INDUSTRY CO., LTD.;REEL/FRAME:022052/0797

Effective date: 20081001

AS Assignment

Owner name: OKI ELECTRIC INDUSTRY CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TSUTAE, HIROOMI;REEL/FRAME:022502/0511

Effective date: 20030621

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION