JP2005142596A - Method of cleaning semiconductor manufacturing apparatus and method of manufacturing semiconductor device - Google Patents

Method of cleaning semiconductor manufacturing apparatus and method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2005142596A
JP2005142596A JP2005036588A JP2005036588A JP2005142596A JP 2005142596 A JP2005142596 A JP 2005142596A JP 2005036588 A JP2005036588 A JP 2005036588A JP 2005036588 A JP2005036588 A JP 2005036588A JP 2005142596 A JP2005142596 A JP 2005142596A
Authority
JP
Japan
Prior art keywords
substrate
gas
cleaning
manufacturing apparatus
electrostatic chuck
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005036588A
Other languages
Japanese (ja)
Inventor
Hiroomi Den
博臣 傳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP2005036588A priority Critical patent/JP2005142596A/en
Publication of JP2005142596A publication Critical patent/JP2005142596A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of cleaning a semiconductor manufacturing apparatus, where in forming a semiconductor thin film, a fluorine gas is prevented from leaking out of a substrate support electrode (electrostatic chuck) on which a substrate is placed; the semiconductor thin film can be formed without reducing a deposition temperature around the substrate (wafer); defects such as the abnormal thickness of a film, a failure of etching and film peeling are prevented, and also to provide a method of manufacturing the semiconductor device. <P>SOLUTION: At a waiting time for forming a semiconductor thin film before a wafer (substrate) is introduced into a chamber 104, a fluorine reduction processing is performed in the chamber using hydrogen gas and inert gas. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、プラズマCVD(Chemical Vaper Deposition)装置などの半導体製造装置の洗浄方法に係り、詳しくは、例えば、半導体装置の層間絶縁膜等のフッ素(F)を含有したシリコン酸化膜(以下、「FSG膜」ということがある)を形成するための半導体製造装置の洗浄方法、及び半導体装置の製造方法に関する。   The present invention relates to a cleaning method of a semiconductor manufacturing apparatus such as a plasma CVD (Chemical Vapor Deposition) apparatus, and more specifically, for example, a silicon oxide film containing fluorine (F) such as an interlayer insulating film of a semiconductor device (hereinafter referred to as “ The present invention relates to a method for cleaning a semiconductor manufacturing apparatus and a method for manufacturing a semiconductor device.

半導体装置の製造工程には、基板上に半導体薄膜を形成を行なう、プラズマCVD工程がある。この成膜工程では、反応炉内に設けられた静電チャック上に基板を配置して、反応炉内に反応ガスを供給し、一対の電極間に高周波電力を印加してプラズマを発生させ、反応ガス分子をプラズマにより分解して基板表面に半導体薄膜を形成するものである。   The semiconductor device manufacturing process includes a plasma CVD process in which a semiconductor thin film is formed on a substrate. In this film forming process, a substrate is placed on an electrostatic chuck provided in the reaction furnace, a reaction gas is supplied into the reaction furnace, high frequency power is applied between a pair of electrodes to generate plasma, The reaction gas molecules are decomposed by plasma to form a semiconductor thin film on the substrate surface.

このような成膜工程の繰り返しにより、プラズマCVD装置の反応炉や電極の表面にも半導体薄膜が付着・堆積されるため、成膜処理中にこれら反応炉や電極に付着・堆積した膜が剥離して、成膜処理中に基板上に付着して汚染してしまう。   By repeating such a film formation process, the semiconductor thin film adheres and deposits also on the surface of the reactor and electrodes of the plasma CVD apparatus, so that the film attached and deposited on these reactors and electrodes peels off during the film formation process. As a result, the film adheres to the substrate during the film forming process and is contaminated.

このため、近年、プラズマCVD装置の反応炉内の洗浄方法として、成膜と同様に、フッ化物系洗浄ガスを用い、プラズマを印加して、F原子を発生させて、反応炉内壁や電極に付着・堆積した膜を洗浄する方法が行なわれている。また、このフッ化物系洗浄ガスを用いた洗浄の際に発生するフッ素原子が、反応炉内壁や電極に吸着・残留するため、これを還元するために、フッ素還元ガスを用いてF原子を還元して、炉内の残留フッ素原子を還元させて除去することも行なわれている。   Therefore, in recent years, as a cleaning method in the reactor of the plasma CVD apparatus, as in the film formation, a fluoride-based cleaning gas is used, and plasma is applied to generate F atoms, which are applied to the reactor inner wall and electrodes. A method of cleaning the deposited / deposited film has been performed. In addition, fluorine atoms generated during cleaning using this fluoride-based cleaning gas are adsorbed and remain on the inner wall and electrode of the reactor, and in order to reduce this, F atoms are reduced using fluorine reducing gas. Then, residual fluorine atoms in the furnace are reduced and removed.

具体的には、例えば、特開平7−201738号公報には、反応炉内にフッ素還元ガスを供給すると共に、窒化化合物のラジカル又はイオンなどの活性種を供給し、当該活性種を残留フッ素成分に作用させることで、残留フッ素成分を還元・除去する洗浄方法が開示されている。   Specifically, for example, in JP-A-7-201738, a fluorine reducing gas is supplied into a reaction furnace and an active species such as a radical or ion of a nitride compound is supplied. A cleaning method is disclosed in which residual fluorine components are reduced and removed by acting on the liquid.

また、特開平9−249976号公報には、フッ化物系洗浄ガスとして、NF3、CF4、C38、C26、ClF3を用い、フッ素還元洗浄ガスとしてO2、H2、及び不活性ガスから選択する少なくとも1種を用いた洗浄方法が開示されている。 JP-A-9-249976 discloses that NF 3 , CF 4 , C 3 F 8 , C 2 F 6 , and ClF 3 are used as the fluoride-based cleaning gas, and O 2 , H 2 as the fluorine reducing cleaning gas. And a cleaning method using at least one selected from an inert gas is disclosed.

また、特開平10−147877号公報には、フッ素系洗浄ガスを用いてクリーニング後或いは途中に、反応炉内にフッ素還元ガスとして不活性ガス(必要に応じて窒素ガス)を供給して、残留フッ素成分を還元・除去する洗浄方法が開示されている。
特開平7−201738号公報 特開平9−249976号公報 特開平10−147877号公報
Further, in JP-A-10-147877, an inert gas (nitrogen gas as required) is supplied into the reaction furnace as a fluorine reducing gas after or during cleaning using a fluorine-based cleaning gas, A cleaning method for reducing and removing the fluorine component is disclosed.
JP-A-7-201738 Japanese Patent Laid-Open No. 9-249976 Japanese Patent Laid-Open No. 10-147877

上記提案のような洗浄方法においては、通常、プラズマCVD装置の反応炉内に設けられた静電チャック表面の保護のために、静電チャック上に基板(ウエハ)と同じ形状のセラミックカバーを配置する。そして、反応炉内にフッ化物系洗浄ガスを供給すると共にプラズマを発生させて、反応炉内のSiO膜を除去し、この洗浄終了後に、洗浄チャック上にセラミックカバーを置いたままで、反応炉内にフッ素還元ガスを供給すると共にプラズマを発生させ、反応炉内の残留フッ素原子を還元させて除去している。   In the cleaning method as proposed above, a ceramic cover having the same shape as the substrate (wafer) is usually placed on the electrostatic chuck to protect the surface of the electrostatic chuck provided in the reactor of the plasma CVD apparatus. To do. Then, a fluoride-based cleaning gas is supplied into the reaction furnace and plasma is generated to remove the SiO film in the reaction furnace. After this cleaning is completed, the ceramic cover is left on the cleaning chuck, A fluorine reducing gas is supplied to the plasma and plasma is generated to reduce and remove residual fluorine atoms in the reaction furnace.

このように、プラズマCVD装置のクリーニング中には、静電チャック上にセラミックカバーが置かれており、静電チャック表面を保護している。   Thus, during the cleaning of the plasma CVD apparatus, the ceramic cover is placed on the electrostatic chuck to protect the surface of the electrostatic chuck.

しかしながら、従来のクリーニング条件では、セラミックカバーの反り返りなどにより、セラミックカバーと静電チャックとの隙間が生じ、当該隙間にフッ化物系洗浄ガスが入りこみ、静電チャック表面にフッ素成分が吸着してしまうといった問題がある。静電チャック表面に吸着した残留フッ素成分は、フッ素還元ガスによる処理でも十分に還元・除去されないため、洗浄後の半導体薄膜形成時に、残留フッ素成分が静電チャック表面から離脱(以下F脱ガスという)し、基板(ウエハ)周辺の成膜温度を低下させ、膜厚異常、エッチング加工不良、膜剥れなどの不具合が生じるといった問題があり、改善が望まれている。特にこの現象は、FSG膜を成膜する際、顕著に生じるため重要な問題である。   However, under the conventional cleaning conditions, a gap between the ceramic cover and the electrostatic chuck is generated due to warping of the ceramic cover, and a fluoride-based cleaning gas enters the gap and the fluorine component is adsorbed on the surface of the electrostatic chuck. There is a problem. The residual fluorine component adsorbed on the surface of the electrostatic chuck is not sufficiently reduced and removed even by the treatment with the fluorine reducing gas. Therefore, the residual fluorine component is detached from the surface of the electrostatic chuck (hereinafter referred to as F degassing) when the semiconductor thin film is formed after cleaning. However, there is a problem that the film forming temperature around the substrate (wafer) is lowered and defects such as an abnormal film thickness, defective etching, and film peeling occur, and improvement is desired. In particular, this phenomenon is an important problem because it occurs remarkably when an FSG film is formed.

従って、本発明は、前記従来における諸問題を解決し、以下の目的を達成することを課題とする。即ち、本発明の目的は、半導体薄膜形成時において、基板を配置する基板支持電極(静電チャック)からのF脱ガスを防止し、基板(ウエハ)周辺の成膜温度低下なく半導体薄膜を形成可能であり、膜厚異常、エッチング加工不良、膜剥れなどの不具合を防止する半導体製造装置の洗浄方法、及び半導体装置の製造方法を提供することである。   Accordingly, an object of the present invention is to solve the conventional problems and achieve the following object. That is, the object of the present invention is to prevent F degassing from the substrate support electrode (electrostatic chuck) on which the substrate is disposed during the formation of the semiconductor thin film, and to form the semiconductor thin film without lowering the film forming temperature around the substrate (wafer). It is possible to provide a method for cleaning a semiconductor manufacturing apparatus and a method for manufacturing a semiconductor device that can prevent defects such as an abnormal film thickness, defective etching, and film peeling.

上記課題は、以下の手段により解決される。即ち、
本発明の半導体製造装置の洗浄方法は、反応炉内に設けられた基板支持電極上に基板を配置し、当該基板上に半導体薄膜を形成する半導体製造装置の洗浄方法であって、
前記基板の反応炉内搬入前の半導体薄膜形成待機時において、
前記反応炉内に水素ガス及び不活性ガスを供給した後、プラズマを発生させる洗浄工程を有することを特徴とする。
The above problem is solved by the following means. That is,
A cleaning method for a semiconductor manufacturing apparatus of the present invention is a cleaning method for a semiconductor manufacturing apparatus in which a substrate is disposed on a substrate support electrode provided in a reaction furnace, and a semiconductor thin film is formed on the substrate,
When waiting for semiconductor thin film formation before carrying the substrate into the reactor,
A cleaning process for generating plasma after supplying hydrogen gas and inert gas into the reaction furnace is provided.

また、本発明の半導体装置の製造方法は、上記本発明の半導体製造装置の洗浄方法により洗浄を施した後、反応炉内に設けられた基板支持電極上に基板を配置する共に、反応炉内に原料ガスを供給した後、プラズマを発生させ、基板上に半導体薄膜を形成する工程を行なうことを特徴とする。   In addition, the semiconductor device manufacturing method of the present invention includes a substrate placed on a substrate support electrode provided in the reaction furnace after being cleaned by the semiconductor manufacturing apparatus cleaning method of the present invention. After the source gas is supplied to the substrate, plasma is generated to perform a step of forming a semiconductor thin film on the substrate.

以上説明したように本発明によれば、半導体薄膜形成時において、基板を配置する基板支持電極(静電チャック)からのF脱ガスを防止し、基板(ウエハ)周辺の成膜温度低下なく半導体薄膜を形成可能であり、膜厚異常、エッチング加工不良、膜剥れなどの不具合を防止する半導体製造装置の洗浄方法、及び半導体装置の製造方法を提供することができる。   As described above, according to the present invention, during the formation of a semiconductor thin film, F degassing from the substrate support electrode (electrostatic chuck) on which the substrate is disposed can be prevented, and the semiconductor film can be formed without lowering the film formation temperature around the substrate (wafer). A thin film can be formed, and a method for cleaning a semiconductor manufacturing apparatus and a method for manufacturing a semiconductor device that can prevent defects such as abnormal film thickness, defective etching, and film peeling can be provided.

以下、本発明の実施の形態の一例を図面を参照して説明する。なお、実質的に同様の機能を有するものには、全図面通して同じ符号を付して説明し、場合によってはその説明を省略することがある。   Hereinafter, an example of an embodiment of the present invention will be described with reference to the drawings. In addition, what has the substantially same function is attached | subjected and demonstrated through the whole figure, and the description may be abbreviate | omitted depending on the case.

(参考例)
図1は、参考例に係る半導体製造装置を示す概略構成図である。
(Reference example)
FIG. 1 is a schematic configuration diagram illustrating a semiconductor manufacturing apparatus according to a reference example.

図1に示す半導体製造装置は、装置本体100と上部電極であるぺルジャー102(セラミックドーム)とで密封されたチャンバ104(反応炉)内に、インジェクタ106と下部電極である静電チャック108(基板支持電極)とが配置された構成のプラズマCVD装置であり、装置本体100に配置された排気口(図示せず)から真空引きすることで真空保持されたチャンバ104内にインジェクタ106から原料ガスを供給しぺルジャー102から例えば周波数400kHzのプラズマを、静電チャックから周波数13.56kHzのプラズマを発生させて成膜するものである。また、図1に示す半導体製造装置には、フッ化物系洗浄ガスをチャンバ104内に供給するインジェクタ107を備えている。   A semiconductor manufacturing apparatus shown in FIG. 1 includes an injector 106 and an electrostatic chuck 108 (lower electrode) in a chamber 104 (reactor) sealed by a main body 100 and a pelger 102 (ceramic dome) which is an upper electrode. The substrate support electrode) is disposed in the plasma CVD apparatus, and the source gas is supplied from the injector 106 into the chamber 104 held in vacuum by evacuation from an exhaust port (not shown) disposed in the apparatus main body 100. For example, a plasma having a frequency of 400 kHz is generated from the pelger 102 and a plasma having a frequency of 13.56 kHz is generated from the electrostatic chuck to form a film. In addition, the semiconductor manufacturing apparatus shown in FIG. 1 includes an injector 107 that supplies a fluoride-based cleaning gas into the chamber 104.

本参考例は、図1に示す半導体製造装置の洗浄方法として、まず、チャンバ104内に配置されている静電チャック108上に、静電チャック108表面を保護するためのセラミックカバー110(絶縁カバー)を配置した後、静電チャック108に例えば600V程度の電圧を印加することで、セラミックカバー110を静電チャック108表面に密着させる。この静電チャック108にセラミックカバー110を密着させて配置する方法としては、静電チャックに600V程度の電圧を印加する方法のほかに、クランプリングでクランプする方法がある。   In this reference example, as a cleaning method of the semiconductor manufacturing apparatus shown in FIG. 1, first, a ceramic cover 110 (insulating cover) for protecting the surface of the electrostatic chuck 108 on the electrostatic chuck 108 disposed in the chamber 104. ) Is applied, the ceramic cover 110 is brought into close contact with the surface of the electrostatic chuck 108 by applying a voltage of, for example, about 600 V to the electrostatic chuck 108. As a method of placing the ceramic cover 110 in close contact with the electrostatic chuck 108, there is a method of clamping with a clamp ring in addition to a method of applying a voltage of about 600 V to the electrostatic chuck.

次に、チャンバ104内に配置されているインジェクタ107からフッ化物系洗浄ガスとしてNF3ガスを、例えば100〜1000sccm供給しながら、チャンバ104内の圧力を例えば、0.1333〜1.333Pa(1〜10mTorr)程度の圧力を保持する。 Next, the pressure in the chamber 104 is set to, for example, 0.1333 to 1.333 Pa (1) while supplying NF 3 gas as a fluoride-based cleaning gas, for example, 100 to 1000 sccm from the injector 107 disposed in the chamber 104. A pressure of about 10 mTorr) is maintained.

そして、上部電極であるベルジャー102から例えば13.56MHzの周波数のプラズマを出力1000〜1500Wで発生させNF3ガスをプラズマ励起してFラジカルを生成し、これをチャンバ104内壁に付着・堆積したSiO膜などの堆積物と反応させ、例えばSiF4などの揮発性化合物を生成させて、これをチャンバ104内から排出することで、堆積物を除去する。 Then, plasma with a frequency of, for example, 13.56 MHz is generated from the bell jar 102 which is the upper electrode at an output of 1000 to 1500 W, and NF 3 gas is plasma-excited to generate F radicals, which are deposited and deposited on the inner wall of the chamber 104. The deposit is removed by reacting with a deposit such as a film to generate a volatile compound such as SiF 4 and exhausting it from the chamber 104.

次に、チャンバ104内に、フッ素還元ガスとしてH2ガスを、例えば200〜1000sccm供給しながら、チャンバ104内の圧力を例えば、0.1333〜1.333Pa(1〜10mTorr)程度の圧力を保持する。 Next, while supplying H 2 gas, for example, 200 to 1000 sccm as a fluorine reducing gas into the chamber 104, the pressure in the chamber 104 is maintained at a pressure of about 0.1333 to 1.333 Pa (1 to 10 mTorr), for example. To do.

そして、上部電極であるベルジャー102から例えば13.56MHzの周波数のプラズマを出力500〜1500Wで発生させH2ガスをプラズマ励起し、チャンバ104内壁に付着した残留フッ素成分と反応させ、残留フッ素成分を還元・除去する。 Then, plasma with a frequency of, for example, 13.56 MHz is generated from the bell jar 102, which is the upper electrode, with an output of 500-1500 W to excite H 2 gas to react with the residual fluorine component adhering to the inner wall of the chamber 104, and the residual fluorine component Reduce and remove.

このようにして、CVD装置の洗浄が終了する。その後、チャンバ104内にウエハを搬入すると共に静電チャック108上に配置し、例えば、FSG膜などの半導体薄膜形成工程が行なわれる。   In this way, the cleaning of the CVD apparatus is completed. Thereafter, the wafer is loaded into the chamber 104 and placed on the electrostatic chuck 108, and a semiconductor thin film forming process such as an FSG film is performed.

本参考例では、セラミックカバー110を静電チャック上に密着させて配置させるため、セラミックカバー110は静電チャック108表面と隙間を有することなく配置されている。このため、チャンバ104に供給されたNF3がセラミックカバー110と静電チャック108表面との間に入り込むのを抑制し、静電チャック108表面に対するフッ素成分の吸着を防止し、その後の半導体薄膜形成工程においてウエハ(基板)を配置する静電チャック108からのF脱ガスを防止することができる。 In this reference example, since the ceramic cover 110 is disposed in close contact with the electrostatic chuck, the ceramic cover 110 is disposed without a gap from the surface of the electrostatic chuck 108. Therefore, the NF 3 supplied to the chamber 104 is prevented from entering between the ceramic cover 110 and the surface of the electrostatic chuck 108, and the adsorption of the fluorine component to the surface of the electrostatic chuck 108 is prevented. In the process, F degassing from the electrostatic chuck 108 on which the wafer (substrate) is arranged can be prevented.

(第1の実施の形態)
本実施の形態は、図1に示す半導体製造装置の洗浄方法として、基板をチャンバ104内に搬入前の装置アイドル時(半導体薄膜形成待機時)に、静電チャック108表面を露出した状態で、チャンバ104内に、インジェクタ106から不活性ガスとしてArガスに希釈したH2ガス(Ar/H2ガス)を、例えば100〜1000sccm供給しながら、チャンバ104内の圧力を例えば、0.1333〜1.333Pa(1〜10mTorr)程度の圧力を保持する。ここで、不活性ガスとしてはArガスに限られず、Heガスを使用してもよい。
(First embodiment)
In the present embodiment, as a method for cleaning the semiconductor manufacturing apparatus shown in FIG. 1, the surface of the electrostatic chuck 108 is exposed when the apparatus is idle (when waiting for semiconductor thin film formation) before the substrate is carried into the chamber 104. While supplying, for example, 100 to 1000 sccm of H 2 gas (Ar / H 2 gas) diluted into Ar gas as an inert gas from the injector 106 into the chamber 104, the pressure in the chamber 104 is set to, for example, 0.1333 to 1 The pressure of about 333 Pa (1 to 10 mTorr) is maintained. Here, the inert gas is not limited to Ar gas, and He gas may be used.

そして、上部電極であるベルジャー102から例えば450kHzの周波数のプラズマを出力1000〜5000Wで発生させH2ガスをプラズマ励起し、チャンバ104内壁や露出した静電チャック108表面に吸着した残留フッ素成分と反応させ、残留フッ素成分を還元・除去する。 Then, plasma with a frequency of 450 kHz, for example, is generated from the bell jar 102 as the upper electrode at an output of 1000 to 5000 W to excite H 2 gas and react with residual fluorine components adsorbed on the inner wall of the chamber 104 and the exposed surface of the electrostatic chuck 108. The residual fluorine component is reduced and removed.

その後、チャンバ104内にウエハを搬入すると共に静電チャック108上に配置し、例えば、FSG膜などの半導体薄膜形成工程が行なわれる。   Thereafter, the wafer is loaded into the chamber 104 and placed on the electrostatic chuck 108, and a semiconductor thin film forming process such as an FSG film is performed.

従来、半導体薄膜の静膜、フッ化物系洗浄ガスクリーニング処理、フッ素還元処理以外の装置アイドル時には、チャンバ104内温度を保持するため、常時インジェクタ106からArガスに希釈したO2ガス(Ar/O2ガス)を供給し、ベルジャー102からプラズマを発生させているが、近年、フッ素還元効果を狙ってAr/O2ガスの代わりにH2ガス及びO2ガスの混合ガス(H2/O2ガス)の使用が一部奨励されている(例えば、特開平9−249976号公報参照)。しかしながら、H2/O2ガスを使用すると、プラズマによる励起によりチャンバ104内にH2Oが発生してしまい、残留H2Oが成膜時に膜中に取り込まれてしまうといった問題が生じる。 Conventionally, O 2 gas (Ar / O) always diluted from the injector 106 to Ar gas in order to maintain the temperature in the chamber 104 when the apparatus is idle other than the semiconductor thin film, fluoride-based cleaning gas cleaning process, and fluorine reduction process. 2 ), and plasma is generated from the bell jar 102. Recently, a mixed gas (H 2 / O 2) of H 2 gas and O 2 gas is used instead of Ar / O 2 gas for the purpose of reducing the fluorine. Gas) is partly encouraged (see, for example, JP-A-9-249976). However, when H 2 / O 2 gas is used, H 2 O is generated in the chamber 104 due to excitation by plasma, and there is a problem that residual H 2 O is taken into the film during film formation.

そこで、本実施形態では、装置アイドル時に、O2と同等のプラズマ安定性が得られるArガスと、H2ガスとの混合ガスをチャンバ104内に供給し、プラズマを発生させることで、H2Oを発生させることなく、チャンバ104内壁や露出した静電チャック108表面に吸着したフッ素成分を還元・除去することが可能となり、その後の半導体薄膜形成工程においてウエハ(基板)を配置する静電チャック108からのF脱ガスを防止することができる。 Therefore, in the present embodiment, when the apparatus is idle, a mixed gas of Ar gas and H 2 gas that can obtain plasma stability equivalent to O 2 is supplied into the chamber 104 to generate plasma, thereby generating H 2. It is possible to reduce and remove the fluorine component adsorbed on the inner wall of the chamber 104 and the exposed surface of the electrostatic chuck 108 without generating O, and the electrostatic chuck for disposing the wafer (substrate) in the subsequent semiconductor thin film formation process. F degassing from 108 can be prevented.

(第2の実施の形態)
本実施の形態は、上記第1の実施形態を行なった後、さらに、チャンバ104内に内に基板を搬入する直前(例えば30〜60秒前)に、静電チャック108から例えば13.56MHzの周波数のプラズマを出力100〜200Wで発生させる。
(Second Embodiment)
In the present embodiment, after the first embodiment is performed, for example, 13.56 MHz from the electrostatic chuck 108 immediately before the substrate is loaded into the chamber 104 (for example, 30 to 60 seconds before). A plasma having a frequency is generated at an output of 100 to 200 W.

本実施形態では、チャンバ104内に内に基板を搬入する直前に静電チャック108からプラズマを発生させることで、前処理で供給・分解されたH+、Ar+が静電チャック108に衝突し、そのエネルギーによって静電チャック108表面に吸着したFが離脱させ、より効果的に残留フッ素成分を除去することが可能となり、その後の半導体薄膜形成工程においてウエハ(基板)を配置する静電チャック108からのF脱ガスを防止することができる。 In the present embodiment, plasma is generated from the electrostatic chuck 108 immediately before the substrate is loaded into the chamber 104, so that H + and Ar + supplied and decomposed in the pretreatment collide with the electrostatic chuck 108. Then, F adsorbed on the surface of the electrostatic chuck 108 is separated by the energy, and the residual fluorine component can be removed more effectively. The electrostatic chuck 108 for disposing the wafer (substrate) in the subsequent semiconductor thin film forming process. F can be prevented from degassing.

また、本実施形態では、チャンバ104内に内に基板を搬入する直前に静電チャック108から発生させるプラズマの出力を100〜200W程度と微弱にしているので、静電チャック108表面へのダメージもなく、Fを離脱させている。   In the present embodiment, the plasma output generated from the electrostatic chuck 108 just before loading the substrate into the chamber 104 is weakened to about 100 to 200 W, so that the surface of the electrostatic chuck 108 is also damaged. There is no F.

なお、上記1〜2の実施形態では、フッ化物系洗浄ガスとしてNF3ガスを用いた形態を説明したが、これに限られず、CF4、C38、C26、ClF3を使用することもできる。また、フッ素還元ガスとしてH2ガスを用いた形態を説明したが、これに限られず、NH3ガスなど、水素を含んだガスを使用することができる。 In the first and second embodiments, the embodiment using the NF 3 gas as the fluoride-based cleaning gas has been described. However, the present invention is not limited to this, and CF 4 , C 3 F 8 , C 2 F 6 , and ClF 3 are used. It can also be used. Also it has been described the form of using H 2 gas as the fluorine reducing gas is not limited thereto, and NH 3 gas may be used a gas containing hydrogen.

なお、上記何れの実施形態においても、限定的に解釈されるものではなく、本発明の要件を満足する範囲内で実現可能であることは、言うまでもない。   In any of the above-described embodiments, it is needless to say that the present invention is not construed in a limited manner and can be realized within the range satisfying the requirements of the present invention.

参考例に係る半導体製造装置を示す概略構成図である。It is a schematic block diagram which shows the semiconductor manufacturing apparatus concerning a reference example.

符号の説明Explanation of symbols

100 装置本体
102 ベルジャー(反応炉)
102 ルジャー
104 チャンバ
106 インジェクタ
107 インジェクタ
108 静電チャック(基板支持電極)
110 セラミックカバー(絶縁カバー)
112 供給パイプ
100 apparatus main body 102 bell jar (reactor)
102 Luger 104 Chamber 106 Injector 107 Injector 108 Electrostatic chuck (substrate support electrode)
110 Ceramic cover (insulation cover)
112 Supply pipe

Claims (5)

反応炉内に設けられた基板支持電極上に基板を配置し、当該基板上に半導体薄膜を形成する半導体製造装置の洗浄方法であって、
前記基板の反応炉内搬入前の半導体薄膜形成待機時において、
前記反応炉内に水素ガス及び不活性ガスを供給した後、プラズマを発生させる洗浄工程を有することを特徴とする半導体製造装置の洗浄方法。
A method for cleaning a semiconductor manufacturing apparatus, in which a substrate is disposed on a substrate support electrode provided in a reaction furnace, and a semiconductor thin film is formed on the substrate,
When waiting for semiconductor thin film formation before carrying the substrate into the reactor,
A cleaning method of a semiconductor manufacturing apparatus, comprising: a cleaning step of generating plasma after supplying hydrogen gas and inert gas into the reaction furnace.
前記不活性ガスが、Arガス、Heガスから選択される少なくとも1種であることを特徴とする請求項1に記載の半導体製造装置の洗浄方法。   The method for cleaning a semiconductor manufacturing apparatus according to claim 1, wherein the inert gas is at least one selected from Ar gas and He gas. さらに、反応炉内に前記基板を搬入する直前に、基板支持電極からプラズマを発生させる工程を有することを特徴とする請求項1に記載の半導体製造装置の洗浄方法。   The method for cleaning a semiconductor manufacturing apparatus according to claim 1, further comprising a step of generating plasma from the substrate support electrode immediately before the substrate is carried into the reaction furnace. 前記基板支持電極から発生させるプラズマの出力が、100〜200Wであることを特徴とする請求項3に記載の半導体製造装置の洗浄方法。   4. The method of cleaning a semiconductor manufacturing apparatus according to claim 3, wherein an output of plasma generated from the substrate support electrode is 100 to 200 W. 請求項1〜4のいずれかに記載の半導体製造装置の洗浄方法により洗浄を施した後、反応炉内に設けられた基板支持電極上に基板を配置する共に、反応炉内に原料ガスを供給した後、プラズマを発生させ、基板上に半導体薄膜を形成する工程を行なうことを特徴とする半導体装置の製造方法。   After performing cleaning by the semiconductor manufacturing apparatus cleaning method according to any one of claims 1 to 4, a substrate is disposed on a substrate support electrode provided in the reaction furnace, and a source gas is supplied into the reaction furnace. And a step of generating plasma and forming a semiconductor thin film on the substrate.
JP2005036588A 2005-02-14 2005-02-14 Method of cleaning semiconductor manufacturing apparatus and method of manufacturing semiconductor device Pending JP2005142596A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005036588A JP2005142596A (en) 2005-02-14 2005-02-14 Method of cleaning semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005036588A JP2005142596A (en) 2005-02-14 2005-02-14 Method of cleaning semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003008305A Division JP3657942B2 (en) 2003-01-16 2003-01-16 Method for cleaning semiconductor manufacturing apparatus and method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2005142596A true JP2005142596A (en) 2005-06-02

Family

ID=34698268

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005036588A Pending JP2005142596A (en) 2005-02-14 2005-02-14 Method of cleaning semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2005142596A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101423935B (en) * 2007-10-29 2013-07-03 东京毅力科创株式会社 Substrate processing apparatus and method of controlling substrate processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101423935B (en) * 2007-10-29 2013-07-03 东京毅力科创株式会社 Substrate processing apparatus and method of controlling substrate processing apparatus

Similar Documents

Publication Publication Date Title
US7816272B2 (en) Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device
TWI674617B (en) Method for performing plasma treatment process after plasma cleaning process
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
KR100855597B1 (en) Sulfur hexafluoride remote plasma source clean
JP2017098543A (en) Method of manufacturing semiconductor device
KR20180044214A (en) Technique to prevent aluminum fluoride build up on the heater
CN101764044B (en) Method for pretreating technical cavity of plasma device
US20110114114A1 (en) Cleaning method of apparatus for depositing carbon containing film
US6545245B2 (en) Method for dry cleaning metal etching chamber
KR101198243B1 (en) Cleaning method of apparatus for depositing carbon containing film
JP2005142596A (en) Method of cleaning semiconductor manufacturing apparatus and method of manufacturing semiconductor device
KR20230158606A (en) Method and apparatus for cleaning and maintaining high temperature chambers and chamber components
KR101096133B1 (en) Method for treating substrates
JP3820212B2 (en) Method for conditioning a CVD chamber after CVD chamber cleaning
JP5896419B2 (en) Plasma processing apparatus and cleaning method thereof
JP2007262551A (en) Method of forming silicon type thin film
TWI843088B (en) High-temperature chamber and chamber component cleaning and maintenance method and apparatus
JP2002064067A (en) Conditioned chamber for improving chemical vapor deposition
KR20040006481A (en) Method for improving reliablity of etching and depositing device
JPH09275100A (en) Film forming device of thin film and method of forming thin film
TW202313218A (en) Wafer cleaning device and method for using the same which can remove pollutants on the wafer by selecting the remote plasma source and the excitation power supply to be turned on or off
JPH06163484A (en) Semiconductor manufacturing device
KR20030042494A (en) Method for cleaning of semiconductor process chamber
TWI462162B (en) Cleaning method of apparatus for depositing carbon containing film
JP2015032780A (en) Plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
A977 Report on retrieval

Effective date: 20070502

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Effective date: 20070710

Free format text: JAPANESE INTERMEDIATE CODE: A131

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071106