US20060001093A1 - Silicon-on insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same - Google Patents

Silicon-on insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same Download PDF

Info

Publication number
US20060001093A1
US20060001093A1 US11/214,140 US21414005A US2006001093A1 US 20060001093 A1 US20060001093 A1 US 20060001093A1 US 21414005 A US21414005 A US 21414005A US 2006001093 A1 US2006001093 A1 US 2006001093A1
Authority
US
United States
Prior art keywords
silicon
substrate
layer
soi
buried oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/214,140
Inventor
Tenko Yamashita
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/214,140 priority Critical patent/US20060001093A1/en
Publication of US20060001093A1 publication Critical patent/US20060001093A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes

Definitions

  • the present invention relates generally to a silicon-on-insulator (SOI) substrate on which a semiconductor device such as a MOSFET can be fabricated, and more particularly to a silicon-on-insulator (SOI) substrate having portions with different surface crystallographic orientations on which a P-MOSFET and an N-MOSFET can be fabricated.
  • SOI silicon-on-insulator
  • the substrate of integrated devices is typically wafers of monocrystalline silicon.
  • SOI Silicon-on-Insulator
  • SIMOX separation by implanted oxygen
  • BOX buried oxide layer
  • MOSFET One particular device formed on an SOI is a MOSFET.
  • SOI-MOSFETs In order to meet an increasing demand for high-performance portable equipment, demand for SOI-MOSFETs offering the above-mentioned advantages is also expected to increase.
  • SOI-MOSFETs continue to be reduced in size, one problem that arises concerns the need to maintain high electron/hole mobility in their channels.
  • MOSFET scaling can degrade mobility in very short channels because of the high impurity levels that are employed to suppress short channel effects and because the parasitic resistance becomes more sensitive. Additionally, mobility saturates at very short channel lengths.
  • MOSFETs may be classified as P-type, in which the channel is doped P-type, or N-type, in which the channel is doped N-type.
  • P-type in which the channel is doped P-type
  • N-type in which the channel is doped N-type.
  • RF analog circuits such as a low noise amplifier using both types of MOSFETS can be fabricated with enhanced performance characteristics such as higher gain and lower current.
  • the hole mobility for a P-MOSFET is much higher when it is formed on a silicon substrate with a top surface having a (110) crystal orientation (an “Si(110) surface or layer”) than when it is formed on a silicon substrate with a top surface having a (100) crystal orientation (an “Si(100) surface or layer”).
  • the electron mobility for an N-MOSFET is degraded when it is formed on a Si(110) surface of a substrate in comparison to when it is formed on a Si(100) surface of a substrate. Because of this opposite behavior of electron and hole mobility, it is difficult to integrate an N-MOSFET and a P-MOSFET on the same SOI substrate while maintaining satisfactory performance from both devices.
  • a method is provided of forming an SOI substrate having at least two exposed surface crystal orientations.
  • the method begins by providing an SOI substrate having a first silicon layer with a surface having a first crystal orientation located on a first buried oxide layer.
  • the buried oxide layer is located on a silicon substrate having a surface with a second crystal orientation.
  • the first silicon layer and the first buried oxide layer are selectively removed from a first portion of the SOI substrate to expose a first surface portion of the silicon substrate.
  • a second silicon layer is epitaxially grown over the first surface portion of the silicon substrate.
  • the second silicon layer has a surface with a second crystal orientation.
  • a second buried oxide layer is formed in the second silicon layer.
  • the first silicon layer and the first buried oxide layer are removed by providing a hard mask over the first silicon layer, providing a photoresist pattern on the hard mask, and etching portions of the first silicon layer and the buried oxide layer that are not covered by the photoresist. Finally, the photoresist is removed
  • the hard mask comprises Si 3 N 4 .
  • the step of forming the second buried oxide layer includes the steps of implanting oxygen ions into the second silicon layer and annealing the SOI substrate.
  • the first crystal orientation is a (110) orientation and the second crystal orientation is a (100) orientation.
  • the first crystal orientation is a (100) orientation and the second crystal orientation is a (110) orientation.
  • an SOI substrate in accordance with another aspect of the invention, includes a silicon substrate having a surface with a first crystal orientation and first and second buried oxide layers each extending over and in contact with different portions of the silicon substrate surface.
  • First and second silicon layers are located over the first and second buried oxide layers, respectively.
  • the first and second silicon layers have surfaces with different crystal orientations, one which is the first crystal orientation.
  • FIGS. 1-5 show a process flow for fabricating a dual plane SOI substrate in accordance with the present invention.
  • FIG. 6 shows one alternative embodiment of the initial SOI substrate that may be employed in the process flow depicted in FIGS. 1-5 .
  • FIG. 7 shows an exemplary P-MOSFET that may be formed on the Si(110) surface portion of the dual plane SOI substrate constructed in accordance with the principles of the present invention.
  • FIGS. 1-5 show a process flow for fabricating a dual plane SOI substrate in accordance with the present invention.
  • the process begins in FIG. 1 with a conventional, commercially available SOI substrate 100 .
  • the SOI substrate 100 includes a Si(100) layer 102 having a thickness, for instance, of between about 20-70 angstroms.
  • the Si(110) layer 102 is formed on a buried oxide (“BOX”) layer 104 , the thickness of which is generally about 150 nm. Box layers are generally employed as isolation structures to electrically isolate semiconductor devices from one another.
  • BOX layer 104 is formed on the (100) surface of a silicon support substrate or wafer 106 .
  • a photomasking and lithographic process is used to define the two regions of the SOI substrate 100 surface on which the N and P MOSFETs will be respectively formed.
  • a hard mask 112 of etchable material such as silicon nitride (Si 3 N 4 ) is applied to the Si(110) layer 102 .
  • a layer of photoresist 114 is deposited on the hard mask 112 and then patterned for protecting selected areas of the mask. After exposing the photoresist to radiation (typically ultraviolet radiation) to pattern the hard mask, the portion of hard mask 112 unprotected by the photoresist layer 114 is etched to remove the hard mask 112 , Si(110) layer 102 , and BOX layer 104 .
  • the etching step preferably may be performed by a dry etching process such as reactive ion etching (RIE).
  • RIE reactive ion etching
  • an epitaxial layer 116 of silicon is grown on the Si(100) substrate 106 .
  • the newly deposited silicon will continue to grow with a (100) surface orientation.
  • epitaxial layer 116 will have a (100) surface orientation.
  • Epitaxial layer 116 will preferably be sufficiently thick so that its upper surface is coplanar with the upper surface of Si(110) layer 102 .
  • Hard mask 112 prevents the silicon from being deposited on the Si(110) layer 102 .
  • oxygen ions are implanted through the Si(100) layer 116 .
  • Ion implantation refers to a process whereby a selected dose of oxygen ions is deposited at a particular depth by utilizing one or more of a number of different techniques. Such techniques can include, but are not limited to, exposing the substrate to a beam of ions, plasma immersion techniques, etc.
  • the ion beam has an energy selected to be in a range of about 100 keV to about 150 keV.
  • the dose of the oxygen ions implanted in the wafer is selected to be in a range of approximately 1e16 ions/cm 2 .
  • An annealing step follows the oxygen implantation step.
  • the annealing step can be performed at a temperature in a range between approximately 1100 C.
  • the annealing step redistributes the implanted oxygen ions and chemically bonds them to silicon to form a continuous buried layer 118 of silicon dioxide (SiO 2 ), i.e., BOX region, thereby separating an upper silicon layer 116 , on the surface of which semiconductor devices are to be manufactured, from the remaining bulk silicon region 106 below.
  • the BOX region has a thickness in a range of approximately 100 to 150 nm. As FIG. 5 shows, BOX layers 104 and 118 will preferably be about equal in thickness and located at the same depth with the structure.
  • hard mask 112 is removed to expose the Si(110) surface on which the P-MOSFET device is fabricated.
  • the resulting dual plane SOI substrate has two exposed silicon surfaces, one with a (110) surface orientation and the other with a (100) surface orientation.
  • the exposed silicon surfaces 102 and 116 are formed on respective BOX layers 104 and 118 that are located on the Si(100) support substrate 106 .
  • the SOI substrate 100 may be replaced with SOI substrate 600 shown in FIG. 6 , which substrate 600 is also commercially available.
  • the SOI substrate 600 includes a Si(100) layer 602 having a thickness, for instance, of between about 20-70 angstroms.
  • the Si(100) layer 602 is formed on a buried oxide (“BOX”) layer 604 .
  • BOX layer 604 is formed on a Si(110) silicon substrate 606 . That is, the location and roles and the Si(110) and the Si(100) layers are reversed in substrate 600 relative to substrate 100 .
  • the epitaxial silicon layer that is subsequently grown i.e., layer 116 in FIG. 3
  • FIG. 7 shows an exemplary P-MOSFET that may be formed on the Si(110) surface portion of the inventive dual plane SOI substrate.
  • N-type source/drain regions 710 are formed in a top silicon layer 703 of a SOI substrate 704 which is composed of a silicon substrate 701 , the BOX layer 702 and the top silicon layer 703 .
  • a gate electrode 708 is formed on the top silicon layer 703 between the source/drain regions 710 with intervention of a gate insulating film 707 . Under the gate electrode 708 , there is formed a p-type channel region 712 .
  • the N-type MOSFET that is formed on the Si(110) surface portion of the inventive dual plane substrate may be similar to that depicted in FIG. 7 , but with the impurity conductivities reversed.
  • the N— and P-MOSFETS may be fabricated on the inventive dual plane SOI substrate by conventional processing techniques well known to those of ordinary skill in the art.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A method is provided of forming a silicon-on-insulator (SOI) substrate having at least two exposed surface crystal orientations. The method begins by providing an SOI substrate having a first silicon layer with a surface having a first crystal orientation located on a first buried oxide layer. The buried oxide layer is located on a silicon substrate having a surface with a second crystal orientation. The first silicon layer and the first buried oxide layer are selectively removed from a first portion of the SOI substrate to expose a first surface portion of the silicon substrate. A second silicon layer is epitaxially grown over the first surface portion of the silicon substrate. The second silicon layer has a surface with a second crystal orientation. A second buried oxide layer is formed in the second silicon layer. Subsequent to the fabrication of the SOI substrate, N and P type MOSFETS may be formed on the surfaces with different crystal orientations.

Description

    STATEMENT OF RELATED APPLICATION
  • This application is a divisional and claims the benefit of priority of co-pending U.S. patent application Ser. No. 10/800,348, filed Mar. 12, 2004, entitled “Silicon-On Insulator (SOI) Substrate Having Dual Surface Crystallographic Orientations And Method Of Forming Same,” which is incorporated herein by reference in its entirety.
  • FIELD OF THE INVENTION
  • The present invention relates generally to a silicon-on-insulator (SOI) substrate on which a semiconductor device such as a MOSFET can be fabricated, and more particularly to a silicon-on-insulator (SOI) substrate having portions with different surface crystallographic orientations on which a P-MOSFET and an N-MOSFET can be fabricated.
  • BACKGROUND OF THE INVENTION
  • According to current processes known in the microelectronics industry, the substrate of integrated devices is typically wafers of monocrystalline silicon. In the last few years, as an alternative to wafers consisting of silicon alone, composite wafers, so-called “SOI” (Silicon-on-Insulator) wafers have been proposed, comprising two silicon layers, one of which is thinner than the other, separated by a silicon oxide layer. SOI structures are becoming widely utilized for construction of electronic devices. For example, such structures can be employed to produce semiconductor devices, such as VLSI devices, micro-electro-mechanical systems (MEMS), and optical devices. One method of producing an SOI structure, known by the acronym SIMOX (separation by implanted oxygen) forms a buried oxide layer (BOX) in a semiconductor substrate by implanting oxygen ions into the substrate followed by a high temperature annealing step. The insulating layer provides electrical isolation of devices that are built in the superficial silicon layer.
  • Considerable attention has recently been paid to SOI wafers, since integrated circuits having a substrate formed from wafers of this type have considerable advantages compared with similar circuits formed on conventional substrates, formed by monocrystalline silicon alone. These advantages include, faster switching speed, greater immunity to noise, smaller loss currents, elimination of parasitic component activation phenomena, reduction of parasitic capacitance, greater resistance to radiation effects, and greater component packing density.
  • One particular device formed on an SOI is a MOSFET. In order to meet an increasing demand for high-performance portable equipment, demand for SOI-MOSFETs offering the above-mentioned advantages is also expected to increase. As SOI-MOSFETs continue to be reduced in size, one problem that arises concerns the need to maintain high electron/hole mobility in their channels. Unfortunately, increased MOSFET scaling can degrade mobility in very short channels because of the high impurity levels that are employed to suppress short channel effects and because the parasitic resistance becomes more sensitive. Additionally, mobility saturates at very short channel lengths.
  • MOSFETs may be classified as P-type, in which the channel is doped P-type, or N-type, in which the channel is doped N-type. For a variety of reasons it is often desirable to incorporate both N-MOSFETs and P-MOSFETs in the same circuit. For example, RF analog circuits such as a low noise amplifier using both types of MOSFETS can be fabricated with enhanced performance characteristics such as higher gain and lower current. It is well known that the hole mobility for a P-MOSFET is much higher when it is formed on a silicon substrate with a top surface having a (110) crystal orientation (an “Si(110) surface or layer”) than when it is formed on a silicon substrate with a top surface having a (100) crystal orientation (an “Si(100) surface or layer”). On the other hand, it is also well known that the electron mobility for an N-MOSFET is degraded when it is formed on a Si(110) surface of a substrate in comparison to when it is formed on a Si(100) surface of a substrate. Because of this opposite behavior of electron and hole mobility, it is difficult to integrate an N-MOSFET and a P-MOSFET on the same SOI substrate while maintaining satisfactory performance from both devices.
  • SUMMARY OF THE INVENTION
  • In accordance with the present invention, a method is provided of forming an SOI substrate having at least two exposed surface crystal orientations. The method begins by providing an SOI substrate having a first silicon layer with a surface having a first crystal orientation located on a first buried oxide layer. The buried oxide layer is located on a silicon substrate having a surface with a second crystal orientation. The first silicon layer and the first buried oxide layer are selectively removed from a first portion of the SOI substrate to expose a first surface portion of the silicon substrate. A second silicon layer is epitaxially grown over the first surface portion of the silicon substrate. The second silicon layer has a surface with a second crystal orientation. A second buried oxide layer is formed in the second silicon layer.
  • In accordance with one aspect of the invention, the first silicon layer and the first buried oxide layer are removed by providing a hard mask over the first silicon layer, providing a photoresist pattern on the hard mask, and etching portions of the first silicon layer and the buried oxide layer that are not covered by the photoresist. Finally, the photoresist is removed
  • In accordance with another aspect of the invention, the hard mask comprises Si3N4.
  • In accordance with another aspect of the invention, the step of forming the second buried oxide layer includes the steps of implanting oxygen ions into the second silicon layer and annealing the SOI substrate.
  • In accordance with another aspect of the invention, the first crystal orientation is a (110) orientation and the second crystal orientation is a (100) orientation.
  • In accordance with another aspect of the invention, the first crystal orientation is a (100) orientation and the second crystal orientation is a (110) orientation.
  • In accordance with another aspect of the invention, an SOI substrate is provided. The SOI substrate includes a silicon substrate having a surface with a first crystal orientation and first and second buried oxide layers each extending over and in contact with different portions of the silicon substrate surface. First and second silicon layers are located over the first and second buried oxide layers, respectively. The first and second silicon layers have surfaces with different crystal orientations, one which is the first crystal orientation.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-5 show a process flow for fabricating a dual plane SOI substrate in accordance with the present invention.
  • FIG. 6 shows one alternative embodiment of the initial SOI substrate that may be employed in the process flow depicted in FIGS. 1-5.
  • FIG. 7 shows an exemplary P-MOSFET that may be formed on the Si(110) surface portion of the dual plane SOI substrate constructed in accordance with the principles of the present invention.
  • DETAILED DESCRIPTION
  • FIGS. 1-5 show a process flow for fabricating a dual plane SOI substrate in accordance with the present invention. The process begins in FIG. 1 with a conventional, commercially available SOI substrate 100. The SOI substrate 100 includes a Si(100) layer 102 having a thickness, for instance, of between about 20-70 angstroms. The Si(110) layer 102 is formed on a buried oxide (“BOX”) layer 104, the thickness of which is generally about 150 nm. Box layers are generally employed as isolation structures to electrically isolate semiconductor devices from one another. BOX layer 104 is formed on the (100) surface of a silicon support substrate or wafer 106.
  • As shown in FIG. 2, a photomasking and lithographic process is used to define the two regions of the SOI substrate 100 surface on which the N and P MOSFETs will be respectively formed. In particular, a hard mask 112 of etchable material such as silicon nitride (Si3N4) is applied to the Si(110) layer 102. A layer of photoresist 114 is deposited on the hard mask 112 and then patterned for protecting selected areas of the mask. After exposing the photoresist to radiation (typically ultraviolet radiation) to pattern the hard mask, the portion of hard mask 112 unprotected by the photoresist layer 114 is etched to remove the hard mask 112, Si(110) layer 102, and BOX layer 104. The etching step preferably may be performed by a dry etching process such as reactive ion etching (RIE). At the completion of the etch process in FIG. 2, the surface of the Si(100) substrate 106 is exposed over that portion of dual plane SOI substrate on which the N-MOSFET will be formed.
  • Next, in FIG. 3 an epitaxial layer 116 of silicon is grown on the Si(100) substrate 106. As is well known to those of ordinary skill in the art, when silicon is deposited on an Si(100) surface in an epitaxial manner by any of a variety of growth techniques, the newly deposited silicon will continue to grow with a (100) surface orientation. Accordingly, as indicated in FIG. 3, epitaxial layer 116 will have a (100) surface orientation. Epitaxial layer 116 will preferably be sufficiently thick so that its upper surface is coplanar with the upper surface of Si(110) layer 102. Hard mask 112 prevents the silicon from being deposited on the Si(110) layer 102.
  • Next, as shown in FIG. 4, oxygen ions are implanted through the Si(100) layer 116. Ion implantation, as used herein, refers to a process whereby a selected dose of oxygen ions is deposited at a particular depth by utilizing one or more of a number of different techniques. Such techniques can include, but are not limited to, exposing the substrate to a beam of ions, plasma immersion techniques, etc. The ion beam has an energy selected to be in a range of about 100 keV to about 150 keV. Further, the dose of the oxygen ions implanted in the wafer is selected to be in a range of approximately 1e16 ions/cm2.
  • An annealing step follows the oxygen implantation step. The annealing step can be performed at a temperature in a range between approximately 1100 C. The annealing step redistributes the implanted oxygen ions and chemically bonds them to silicon to form a continuous buried layer 118 of silicon dioxide (SiO2), i.e., BOX region, thereby separating an upper silicon layer 116, on the surface of which semiconductor devices are to be manufactured, from the remaining bulk silicon region 106 below. The BOX region has a thickness in a range of approximately 100 to 150 nm. As FIG. 5 shows, BOX layers 104 and 118 will preferably be about equal in thickness and located at the same depth with the structure.
  • Finally, hard mask 112 is removed to expose the Si(110) surface on which the P-MOSFET device is fabricated.
  • The resulting dual plane SOI substrate has two exposed silicon surfaces, one with a (110) surface orientation and the other with a (100) surface orientation. The exposed silicon surfaces 102 and 116 are formed on respective BOX layers 104 and 118 that are located on the Si(100) support substrate 106.
  • In one alternative embodiment of the invention, the SOI substrate 100 may be replaced with SOI substrate 600 shown in FIG. 6, which substrate 600 is also commercially available. The SOI substrate 600 includes a Si(100) layer 602 having a thickness, for instance, of between about 20-70 angstroms. The Si(100) layer 602 is formed on a buried oxide (“BOX”) layer 604. BOX layer 604 is formed on a Si(110) silicon substrate 606. That is, the location and roles and the Si(110) and the Si(100) layers are reversed in substrate 600 relative to substrate 100. In this case the epitaxial silicon layer that is subsequently grown (i.e., layer 116 in FIG. 3) will be a Si(100) silicon layer.
  • FIG. 7 shows an exemplary P-MOSFET that may be formed on the Si(110) surface portion of the inventive dual plane SOI substrate. As shown, N-type source/drain regions 710 are formed in a top silicon layer 703 of a SOI substrate 704 which is composed of a silicon substrate 701, the BOX layer 702 and the top silicon layer 703. A gate electrode 708 is formed on the top silicon layer 703 between the source/drain regions 710 with intervention of a gate insulating film 707. Under the gate electrode 708, there is formed a p-type channel region 712. The N-type MOSFET that is formed on the Si(110) surface portion of the inventive dual plane substrate may be similar to that depicted in FIG. 7, but with the impurity conductivities reversed. The N— and P-MOSFETS may be fabricated on the inventive dual plane SOI substrate by conventional processing techniques well known to those of ordinary skill in the art.

Claims (3)

1. An SOI substrate, comprising:
a silicon substrate having a surface with a first crystal orientation;
first and second buried oxide layers each extending over and in contact with different portions of the silicon substrate surface;
first and second silicon layers located over said first and second buried oxide layers, respectively, said first and second silicon layers having surfaces with different crystal orientations, one of said different orientations being said first crystal orientation.
2. The SOI substrate of claim 1 wherein the first crystal orientation is a (110) orientation and the second crystal orientation is a (100) orientation.
3. The SOI substrate of claim 1 wherein the first crystal orientation is a (100) orientation and the second crystal orientation is a (110) orientation.
US11/214,140 2004-03-12 2005-08-29 Silicon-on insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same Abandoned US20060001093A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/214,140 US20060001093A1 (en) 2004-03-12 2005-08-29 Silicon-on insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/800,348 US6949420B1 (en) 2004-03-12 2004-03-12 Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same
US11/214,140 US20060001093A1 (en) 2004-03-12 2005-08-29 Silicon-on insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/800,348 Division US6949420B1 (en) 2004-03-12 2004-03-12 Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same

Publications (1)

Publication Number Publication Date
US20060001093A1 true US20060001093A1 (en) 2006-01-05

Family

ID=34920703

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/800,348 Expired - Fee Related US6949420B1 (en) 2004-03-12 2004-03-12 Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same
US11/214,140 Abandoned US20060001093A1 (en) 2004-03-12 2005-08-29 Silicon-on insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/800,348 Expired - Fee Related US6949420B1 (en) 2004-03-12 2004-03-12 Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same

Country Status (1)

Country Link
US (2) US6949420B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080111162A1 (en) * 2006-11-14 2008-05-15 International Business Machines Corporation Structure and method for dual surface orientations for cmos transistors
US20090053864A1 (en) * 2007-08-23 2009-02-26 Jinping Liu Method for fabricating a semiconductor structure having heterogeneous crystalline orientations
US20130277787A1 (en) * 2012-04-23 2013-10-24 Dongbu Hitek Co., Ltd. Backside illumination cmos image sensor and method for fabricating the same
TWI749010B (en) * 2016-06-30 2021-12-11 美商英特爾公司 Co-integration of gan and self-aligned thin body group iv transistors

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949451B2 (en) * 2003-03-10 2005-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. SOI chip with recess-resistant buried insulator and method of manufacturing the same
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US7094634B2 (en) * 2004-06-30 2006-08-22 International Business Machines Corporation Structure and method for manufacturing planar SOI substrate with multiple orientations
US7253034B2 (en) * 2004-07-29 2007-08-07 International Business Machines Corporation Dual SIMOX hybrid orientation technology (HOT) substrates
US20060030093A1 (en) * 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US7235433B2 (en) * 2004-11-01 2007-06-26 Advanced Micro Devices, Inc. Silicon-on-insulator semiconductor device with silicon layers having different crystal orientations and method of forming the silicon-on-insulator semiconductor device
US20060175659A1 (en) * 2005-02-07 2006-08-10 International Business Machines Corporation A cmos structure for body ties in ultra-thin soi (utsoi) substrates
US7348610B2 (en) * 2005-02-24 2008-03-25 International Business Machines Corporation Multiple layer and crystal plane orientation semiconductor substrate
US7282415B2 (en) 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
CN101147234A (en) * 2005-03-29 2008-03-19 硅绝缘体技术有限公司 Hybrid fully SOI-type multilayer structure
US7605429B2 (en) * 2005-04-15 2009-10-20 International Business Machines Corporation Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
JP5239117B2 (en) * 2005-10-04 2013-07-17 株式会社Sumco Manufacturing method of SOI substrate
US7285480B1 (en) * 2006-04-07 2007-10-23 International Business Machines Corporation Integrated circuit chip with FETs having mixed body thicknesses and method of manufacture thereof
US7494918B2 (en) * 2006-10-05 2009-02-24 International Business Machines Corporation Semiconductor structures including multiple crystallographic orientations and methods for fabrication thereof
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
FR2915318B1 (en) * 2007-04-20 2009-07-17 St Microelectronics Crolles 2 METHOD OF MAKING AN ELECTRONIC CIRCUIT INTEGRATED WITH TWO PORTIONS OF ACTIVE LAYERS HAVING DIFFERENT CRYSTALLINE ORIENTATIONS
US7956415B2 (en) * 2008-06-05 2011-06-07 International Business Machines Corporation SOI transistor having a carrier recombination structure in a body
TW201216459A (en) * 2010-10-06 2012-04-16 Touch Micro System Tech MEMS device and compound wafer for an MEMS device
CN102442631A (en) * 2010-10-08 2012-05-09 探微科技股份有限公司 Micro-electromechanical device and composite base material used in one micro-electromechanical device
US9165829B2 (en) 2013-10-02 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Double sided NMOS/PMOS structure and methods of forming the same
US9728640B2 (en) 2015-08-11 2017-08-08 International Business Machines Corporation Hybrid substrate engineering in CMOS finFET integration for mobility improvement
CN110828566B (en) * 2018-08-13 2023-03-31 世界先进积体电路股份有限公司 Semiconductor structure and forming method thereof
US10600919B1 (en) * 2018-10-03 2020-03-24 Vanguard International Semiconductor Corporation Semiconductor structure and method for forming the same
CN112736025B (en) * 2020-12-25 2024-04-30 上海华力集成电路制造有限公司 SOI HYB edge silicon epitaxial manufacturing method and terminal equipment
US20230207313A1 (en) * 2021-12-29 2023-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4933298A (en) * 1987-12-18 1990-06-12 Fujitsu Limited Method of making high speed semiconductor device having a silicon-on-insulator structure
US5841170A (en) * 1996-04-25 1998-11-24 Sharp Kabushiki Kaisha Field effect transistor and CMOS element having dopant exponentially graded in channel
US6391692B1 (en) * 2000-03-02 2002-05-21 Oki Electric Industry Co., Ltd Method of manufacturing an FET with a second insulation layer covering angular portions of the activation layer
US6476445B1 (en) * 1999-04-30 2002-11-05 International Business Machines Corporation Method and structures for dual depth oxygen layers in silicon-on-insulator processes
US6503813B1 (en) * 2000-06-16 2003-01-07 International Business Machines Corporation Method and structure for forming a trench in a semiconductor substrate
US6531754B1 (en) * 2001-12-28 2003-03-11 Kabushiki Kaisha Toshiba Manufacturing method of partial SOI wafer, semiconductor device using the partial SOI wafer and manufacturing method thereof
US6559035B2 (en) * 1998-01-13 2003-05-06 Stmicroelectronics S.R.L. Method for manufacturing an SOI wafer
US6566712B2 (en) * 1999-04-26 2003-05-20 Oki Electric Industry Co., Ltd. SOI structure semiconductor device and a fabrication method thereof
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6627505B2 (en) * 2001-02-02 2003-09-30 Sharp Kabushiki Kaisha Method of producing SOI MOSFET having threshold voltage of central and edge regions in opposite directions
US6649455B2 (en) * 2000-07-04 2003-11-18 Oki Electric Industry Co., Ltd. SOI type MOS element and manufacturing method thereof
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6724046B2 (en) * 2001-12-25 2004-04-20 Kabushiki Kaisha Toshiba Semiconductor device having patterned SOI structure and method for fabricating the same
US6835981B2 (en) * 2001-09-27 2004-12-28 Kabushiki Kaisha Toshiba Semiconductor chip which combines bulk and SOI regions and separates same with plural isolation regions

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4294935B2 (en) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ Semiconductor device

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4933298A (en) * 1987-12-18 1990-06-12 Fujitsu Limited Method of making high speed semiconductor device having a silicon-on-insulator structure
US5841170A (en) * 1996-04-25 1998-11-24 Sharp Kabushiki Kaisha Field effect transistor and CMOS element having dopant exponentially graded in channel
US6559035B2 (en) * 1998-01-13 2003-05-06 Stmicroelectronics S.R.L. Method for manufacturing an SOI wafer
US6566712B2 (en) * 1999-04-26 2003-05-20 Oki Electric Industry Co., Ltd. SOI structure semiconductor device and a fabrication method thereof
US6476445B1 (en) * 1999-04-30 2002-11-05 International Business Machines Corporation Method and structures for dual depth oxygen layers in silicon-on-insulator processes
US6391692B1 (en) * 2000-03-02 2002-05-21 Oki Electric Industry Co., Ltd Method of manufacturing an FET with a second insulation layer covering angular portions of the activation layer
US6503813B1 (en) * 2000-06-16 2003-01-07 International Business Machines Corporation Method and structure for forming a trench in a semiconductor substrate
US6649455B2 (en) * 2000-07-04 2003-11-18 Oki Electric Industry Co., Ltd. SOI type MOS element and manufacturing method thereof
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6627505B2 (en) * 2001-02-02 2003-09-30 Sharp Kabushiki Kaisha Method of producing SOI MOSFET having threshold voltage of central and edge regions in opposite directions
US6835981B2 (en) * 2001-09-27 2004-12-28 Kabushiki Kaisha Toshiba Semiconductor chip which combines bulk and SOI regions and separates same with plural isolation regions
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6724046B2 (en) * 2001-12-25 2004-04-20 Kabushiki Kaisha Toshiba Semiconductor device having patterned SOI structure and method for fabricating the same
US6531754B1 (en) * 2001-12-28 2003-03-11 Kabushiki Kaisha Toshiba Manufacturing method of partial SOI wafer, semiconductor device using the partial SOI wafer and manufacturing method thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080111162A1 (en) * 2006-11-14 2008-05-15 International Business Machines Corporation Structure and method for dual surface orientations for cmos transistors
US7808082B2 (en) * 2006-11-14 2010-10-05 International Business Machines Corporation Structure and method for dual surface orientations for CMOS transistors
US20090053864A1 (en) * 2007-08-23 2009-02-26 Jinping Liu Method for fabricating a semiconductor structure having heterogeneous crystalline orientations
US20130277787A1 (en) * 2012-04-23 2013-10-24 Dongbu Hitek Co., Ltd. Backside illumination cmos image sensor and method for fabricating the same
TWI749010B (en) * 2016-06-30 2021-12-11 美商英特爾公司 Co-integration of gan and self-aligned thin body group iv transistors

Also Published As

Publication number Publication date
US20050202600A1 (en) 2005-09-15
US6949420B1 (en) 2005-09-27

Similar Documents

Publication Publication Date Title
US6949420B1 (en) Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same
US7393732B2 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
KR101175342B1 (en) Semiconductor device and method of making semiconductor device comprising multiple stacked hybrid orientation layers
US6717213B2 (en) Creation of high mobility channels in thin-body SOI devices
JP4006419B2 (en) Hybrid planar and FinFET CMOS devices
US7372107B2 (en) SOI chip with recess-resistant buried insulator and method of manufacturing the same
US7833854B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
JP3965064B2 (en) Method for forming an integrated circuit having a body contact
US7691482B2 (en) Structure for planar SOI substrate with multiple orientations
US7018882B2 (en) Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
KR20050015995A (en) Self-aligned soi with different crystal orientation using wafer bonding and simox processes
JP4304884B2 (en) Semiconductor device and manufacturing method thereof
US5445107A (en) Semiconductor device and method of formation
WO2003054966A1 (en) Soi device with different silicon thicknesses
US6417030B1 (en) Leaky lower interface for reduction of floating body effect in SOI devices
US20070004212A1 (en) Method for manufacturing a semiconductor substrate and method for manufacturing a semiconductor device
US8497556B2 (en) Semiconductor devices with active semiconductor height variation
JPH05218431A (en) Field effect transistor
KR20000015025A (en) Fabrication method of semiconductor device
JPH02214138A (en) Manufacture of semiconductor device
KR20050050909A (en) Method for forming semiconductor device by silicon on insulator

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION