US20050252884A1 - Method and system for predicting process performance using material processing tool and sensor data - Google Patents

Method and system for predicting process performance using material processing tool and sensor data Download PDF

Info

Publication number
US20050252884A1
US20050252884A1 US10/517,762 US51776204A US2005252884A1 US 20050252884 A1 US20050252884 A1 US 20050252884A1 US 51776204 A US51776204 A US 51776204A US 2005252884 A1 US2005252884 A1 US 2005252884A1
Authority
US
United States
Prior art keywords
data
process performance
tool
material processing
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/517,762
Other languages
English (en)
Inventor
Hieu Lam
Hongyu Yue
John Shriner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US10/517,762 priority Critical patent/US20050252884A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LAM, HIEU A., SHRINER, JOHN, YUE, HONGYU
Publication of US20050252884A1 publication Critical patent/US20050252884A1/en
Priority to US12/255,698 priority patent/US7844559B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0218Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterised by the fault detection method dealing with either existing or incipient faults
    • G05B23/0243Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterised by the fault detection method dealing with either existing or incipient faults model based detection method, e.g. first-principles knowledge model
    • G05B23/0254Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterised by the fault detection method dealing with either existing or incipient faults model based detection method, e.g. first-principles knowledge model based on a quantitative model, e.g. mathematical relationships between inputs and outputs; functions: observer, Kalman filter, residual calculation, Neural Networks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31357Observer based fault detection, use model
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32179Quality control, monitor production tool with multiple sensors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32194Quality prediction
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45028Lithography
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Definitions

  • the present invention relates to material processing and more particularly to a process performance prediction system and method thereof for predicting process performance including process rate and process uniformity.
  • an etch stop layer is placed under a dielectric layer in order to protect the underlying layers (devices) from being damaged during over-etching.
  • An etch stop layer generally includes a material that when exposed to the chemistry utilized for etching the dielectric layer has an etch rate less than the dielectric layer etch rate (i.e., the etch chemistry has a high etch selectivity to the dielectric layer relative to the etch stop layer).
  • the etch stop layer provides a barrier for permitting an over-etch step to assure that all features on the substrate are etched to the same depth.
  • etch depth can vary depending on etch rate (ER) since fixed-time recipes are used. Since, for example, the etch tool is subject to equipment disturbance, the etch rate can change significantly over maintenance cycles. In order to maintain a constant etch rate, frequent tool qualification and maintenance procedures are required. Therefore, in-situ estimation of the etch rate can determine whether the process chamber is in a normal condition and can provide information to control the etch time so that the etch depth is on target.
  • ER etch rate
  • the present invention provides for a material processing system comprising a process tool and a process performance prediction system.
  • the process performance prediction system comprises a plurality of sensors coupled to the process tool to measure tool data and a controller coupled to the plurality of sensors in order to receive tool data.
  • the controller is configured to predict the process performance for the process tool using the tool data.
  • the present invention further provides for a method of constructing a process performance prediction model for a material processing system comprising the step of recording tool data for a plurality of observations during a process in a process tool of the material processing system, where the tool data comprises a plurality of tool data parameters.
  • the method further comprises the steps of recording process performance data for the plurality of observations during the process in the process tool of the material processing system, where the process performance data comprises one or more process performance parameters, performing a partial least squares analysis using the tool data and the process performance data, and computing correlation data from the partial least squares analysis.
  • the present invention further advantageously provides a method for predicting process performance of a material processing system using a process performance prediction model comprising the steps of preparing a process tool, initiating a process in the process tool, and recording tool data for at least one observation during the process in the process tool to form a tool data matrix, where the tool data comprises a plurality of tool data parameters.
  • the method further comprises the steps of performing a matrix multiplication of the tool data matrix and a correlation matrix to form a process performance data matrix, where the correlation matrix comprises the process performance prediction model, and predicting the process performance of the material processing system from the process performance data matrix.
  • the present invention further advantageously provides a method for detecting a fault in a material processing system using a process performance prediction model.
  • the method comprises the steps of preparing a process tool, initiating a process in the process tool, and recording tool data for at least one observation during the process in the process tool to form a tool data matrix, where the tool data comprises a plurality of tool data parameters.
  • the method further comprises the steps of performing a matrix multiplication of the tool data matrix and a correlation matrix to form predicted process performance data, where the correlation matrix comprises the process performance prediction model, comparing the predicted process performance data with target process performance data, and determining a fault condition of the material processing system from the comparing step.
  • the present invention also advantageously provides a method for detecting a fault in a material processing system comprising the steps of recording first tool data for a plurality of observations during a first process in a process tool to form a first tool data matrix, where the first tool data comprises a plurality of tool data parameters, and recording first process performance data for the plurality of observations during the first process in the process tool to form a first process performance data matrix, where the first process performance data comprises one or more process performance parameters.
  • the method further comprises the steps of performing a partial least squares analysis using the first tool data matrix and the first process performance data matrix, computing a correlation matrix from the partial least squares analysis, where the correlation matrix comprises a process performance prediction model, and preparing a process tool of the material processing system.
  • the method also comprises the steps of initiating a second process in the process tool of the material processing system, recording second tool data for at least one observation during the second process in the process tool to form a second tool data matrix, where the second tool data vector comprises the plurality of tool data parameters, performing a matrix multiplication of the second tool data matrix and the correlation matrix to form predicted process performance data, comparing the predicted process performance data with target process performance data, and determining a fault condition of the material processing system from the comparing step.
  • FIG. 1 shows a material processing system according to a preferred embodiment of the present invention
  • FIG. 2 shows a material processing system according to one embodiment of the present invention
  • FIG. 3 shows a material processing system according to another embodiment of the present invention.
  • FIG. 4 shows a material processing system according to a further embodiment of the present invention.
  • FIG. 5 shows a material processing system according to an additional embodiment of the present invention
  • FIG. 6 presents a schematic representation of some of the inputs and outputs for a partial least squares (PLS) analysis model
  • FIG. 7 presents an output of statistics from a PLS analysis model
  • FIG. 8 presents a plot of work set loadings w*c( 1 ) versus w*c( 2 );
  • FIG. 9 presents a plot of work set scores t( 1 ) versus u( 1 );
  • FIG. 10 presents an exemplary set of coefficients for a mean trench etch depth model
  • FIG. 11 presents an exemplary set of coefficients for a trench etch depth range model
  • FIG. 12 presents an exemplary distribution for a set of variable importance in the projection (VIP) data
  • FIG. 13 shows exemplary criteria for refining the tool data using VIP data
  • FIG. 14 presents an exemplary comparison between the observed mean trench etch depth and the predicted mean trench etch depth
  • FIG. 15 presents an exemplary comparison between the observed trench etch depth range and the predicted trench etch depth range
  • FIG. 16 presents an exemplary flow diagram of a method of constructing a process performance prediction model according to an embodiment of the present invention.
  • FIG. 17 presents an exemplary flow diagram of a method of fault detection using a process performance prediction model according to an embodiment of the present invention.
  • a material processing system 1 is depicted in FIG. 1 that includes a process tool 10 and a process performance prediction system 100 .
  • the process performance prediction system 100 includes a plurality of sensors 50 and a controller 55 .
  • the material processing system 1 can include a plurality of process tools 10 .
  • the sensors 50 are coupled to the process tool 10 to measure tool data and the controller 55 is coupled to the sensors 50 in order to receive tool data.
  • the controller 55 is further coupled to process tool 10 .
  • the controller 55 is configured to predict process performance data for the process tool using the tool data.
  • the process performance data can include at least one of a process rate and a process uniformity.
  • the material processing system 1 utilizes a plasma for material processing.
  • the material processing system 1 includes an etch chamber.
  • the material processing system 1 includes a photoresist coating chamber such as, for example, a photoresist spin coating system; a photoresist patterning chamber such as, for example, an ultraviolet (UV) lithography system; a dielectric coating chamber such as, for example, a spin-on-glass (SOG) or spin-on-dielectric (SOD) system; a deposition chamber such as, for example, a chemical vapor deposition (CVD) system or a physical vapor deposition (PVD) system; a rapid thermal processing (RTP) chamber such as, for example, a RTP system for thermal annealing; or a batch diffusion furnace.
  • a photoresist coating chamber such as, for example, a photoresist spin coating system
  • a photoresist patterning chamber such as, for example, an ultraviolet (UV) lithography system
  • a dielectric coating chamber such
  • the material processing system 1 includes process tool 10 , substrate holder 20 , upon which a substrate 25 to be processed is affixed, gas injection system 40 , and vacuum pumping system 58 .
  • Substrate 25 can be, for example, a semiconductor substrate, a wafer, or a liquid crystal display (LCD).
  • Process tool 10 can be, for example, configured to facilitate the generation of plasma in processing region 45 adjacent a surface of substrate 25 , where plasma is formed via collisions between heated electrons and an ionizable gas. An ionizable gas or mixture of gases is introduced via gas injection system 40 , and the process pressure is adjusted.
  • plasma is utilized to create materials specific to a predetermined materials process, and to aid either the deposition of material to substrate 25 or the removal of material from the exposed surfaces of substrate 25 .
  • controller 55 can be used to control vacuum pumping system 58 and gas injection system 40 .
  • Substrate 25 can be, for example, transferred into and out of process tool 10 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system where it is received by substrate lift pins (not shown) housed within substrate holder 20 and mechanically translated by devices housed therein. Once substrate 25 is received from substrate transfer system, it is lowered to an upper surface of substrate holder 20 .
  • substrate 25 can be affixed to the substrate holder 20 via an electrostatic clamping system 28 .
  • substrate holder 20 can further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • gas can be delivered to the back-side of the substrate via a backside gas system 26 to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20 .
  • a backside gas system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • temperature control of the substrate can be useful at temperatures in excess of the steady-state temperature achieved due to a balance of the heat flux delivered to the substrate 25 from the plasma and the heat flux removed from substrate 25 by conduction to the substrate holder 20 .
  • heating elements such as resistive heating elements, or thermoelectric heaters/coolers can be included.
  • substrate holder 20 includes an electrode through which RF power is coupled to plasma in processing region 45 .
  • substrate holder 20 can be electrically biased at an RF voltage via the transmission of RF power from RF generator 30 through impedance match network 32 to substrate holder 20 .
  • the RF bias can serve to heat electrons to form and maintain plasma.
  • the system can operate as a reactive ion etch (RIE) reactor, where the chamber and upper gas injection electrode serve as ground surfaces.
  • RIE reactive ion etch
  • a typical frequency for the RF bias can range from 1 MHz to 100 MHz and is preferably 13.56 MHz.
  • RF power can be applied to the substrate holder electrode at multiple frequencies.
  • impedance match network 32 serves to maximize the transfer of RF power to plasma in processing chamber 10 by minimizing the reflected power.
  • Various match network topologies e.g., L-type, ⁇ -type, T-type, etc.
  • automatic control methods can be utilized.
  • process gas can be, for example, introduced to processing region 45 through gas injection system 40 .
  • Process gas can, for example, include a mixture of gases such as argon, CF 4 and O 2 , or argon, C 4 F 8 and O 2 for oxide etch applications, or other chemistries such as, for example, O 2 /CO/Ar/C 4 F 8 , O 2 /CO/AR/C 5 F 8 , O 2 /CO/Ar/C 4 F 6 , O 2 /Ar/C 4 F 6 , N 2 /H 2 .
  • gases such as argon, CF 4 and O 2 , or argon, C 4 F 8 and O 2 for oxide etch applications, or other chemistries such as, for example, O 2 /CO/Ar/C 4 F 8 , O 2 /CO/AR/C 5 F 8 , O 2 /CO/Ar/C 4 F 6 , O 2 /Ar/C 4 F 6 , N 2 /H 2
  • Gas injection system 40 includes a showerhead, where process gas is supplied from a gas delivery system (not shown) to the processing region 45 through a gas injection plenum (not shown), a series of baffle plates (not shown) and a multi-orifice showerhead gas injection plate (not shown).
  • Vacuum pump system 58 can, for example, include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pump
  • a 1000 to 3000 liter per second TMP is generally employed.
  • TMPs are useful for low pressure processing, typically less than 50 mTorr. At higher pressures, the TMP pumping speed falls off dramatically.
  • a mechanical booster pump and dry roughing pump can be used.
  • a device for monitoring chamber pressure (not shown) is coupled to the process chamber 16 .
  • the pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, Mass.).
  • process performance prediction system 100 includes a plurality of sensors 50 coupled to process tool 10 to measure tool data and a controller 55 coupled to the sensors 50 to receive tool data.
  • the sensors 50 can include both sensors that are intrinsic to the process tool 10 and sensors extrinsic to the process tool 10 .
  • Sensors intrinsic to process tool 10 can include those sensors pertaining to the functionality of process tool 10 such as the measurement of the Helium backside gas pressure, Helium backside flow, electrostatic clamping (ESC) voltage, ESC current, substrate holder 20 temperature (or lower electrode (LEL) temperature), coolant temperature, upper electrode (UEL) temperature, forward RF power, reflected RF power, RF self-induced DC bias, RF peak-to-peak voltage, chamber wall temperature, process gas flow rates, process gas partial pressures, chamber pressure, capacitor settings (i.e., C 1 and C 2 positions), a focus ring thickness, RF hours, focus ring RF hours, and any statistic thereof.
  • sensors extrinsic to process tool 10 can include those not directly related to the functionality of process tool 10 such as a light detection device 34 for monitoring the light emitted from the plasma in processing region 45 as shown in FIG. 2 , or an electrical measurement device 36 for monitoring the electrical system of process tool 10 as shown in FIG. 2 .
  • the light detection device 34 can include a detector such as a (silicon) photodiode or a photomultiplier tube (PMT) for measuring the total light intensity emitted from the plasma.
  • the light detection device 34 can further include an optical filter such as a narrow-band interference filter.
  • the light detection device 34 includes a line CCD (charge coupled device) or CID (charge injection device) array and a light dispersing device such as a grating or a prism.
  • light detection device 34 can include a monochromator (e.g., grating/detector system) for measuring light at a given wavelength, or a spectrometer (e.g., with a rotating grating) for measuring the light spectrum such as, for example, the device described in U.S. Pat. No. 5,888,337.
  • a monochromator e.g., grating/detector system
  • a spectrometer e.g., with a rotating grating
  • the light detection device 34 can include a high resolution OES sensor from Peak Sensor Systems.
  • OES sensor has a broad spectrum that spans the ultraviolet (UV), visible (VIS) and near infrared (NIR) light spectrums.
  • the resolution is approximately 1.4 Angstroms, that is, the sensor is capable of collecting 5550 wavelengths from 240 to 1000 nm.
  • the sensor is equipped with high sensitivity miniature fiber optic UV-VIS-NIR spectrometers which are, in turn, integrated with 2048 pixel linear CCD arrays.
  • the spectrometers receive light transmitted through single and bundled optical fibers, where the light output from the optical fibers is dispersed across the line CCD array using a fixed grating. Similar to the configuration described above, light emitting through an optical vacuum window is focused onto the input end of the optical fibers via a convex spherical lens. Three spectrometers, each specifically tuned for a given spectral range (UV, VIS and NIR), form a sensor for a process chamber. Each spectrometer includes an independent A/D converter. And lastly, depending upon the sensor utilization, a full emission spectrum can be recorded every 0.1 to 1.0 seconds.
  • the electrical measurement device 36 can include, for example, a current and/or voltage probe, a power meter, or spectrum analyzer.
  • a current and/or voltage probe for example, plasma processing systems often employ RF power to form plasma, in which case, an RF transmission line, such as a coaxial cable or structure, is employed to couple RF energy to the plasma through an electrical coupling element (i.e., inductive coil, electrode, etc.).
  • Electrical measurements using, for example, a current-voltage probe can be exercised anywhere within the electrical (RF) circuit, such as within an RF transmission line.
  • the measurement of an electrical signal such as a time trace of voltage or current, permits the transformation of the signal into frequency space using discrete Fourier series representation (assuming a periodic signal).
  • a voltage-current probe can be, for example, a device as described in detail in pending U.S. Application Ser. No. 60/259,862 filed on Jan. 8, 2001, and U.S. Pat. No. 5,467,013, each of which is incorporated herein by reference in its entirety.
  • electrical measurement device 36 can include a broadband RF antenna useful for measuring a radiated RF field external to material processing system 1 .
  • a commercially available broadband RF antenna is a broadband antenna such as Antenna Research Model RAM-220 (0.1 MHz to 300 MHz).
  • the plurality of sensors 50 can include any number of sensors, intrinsic and extrinsic, which can be coupled to process tool 10 to provide tool data to the controller 55 .
  • Controller 55 includes a microprocessor, memory, and a digital I/O port (potentially including D/A and/or A/D converters) capable of generating control voltages sufficient to communicate and activate inputs to material processing system 1 as well as monitor outputs from material processing system 1 .
  • controller 55 can be coupled to and exchange information with RF generator 30 , impedance match network 32 , gas injection system 40 , vacuum pump system 58 , backside gas delivery system 26 , electrostatic clamping system 28 , light detection device 34 , and electrical measurement device 36 .
  • a program stored in the memory is utilized to interact with the aforementioned components of a material processing system 1 according to a stored process recipe.
  • controller 55 is a DELL PRECISION WORKSTATION 530TM, available from Dell Corporation, Austin, Tex.
  • material processing system 1 can include a magnetic field system 60 .
  • the magnetic field system 60 can include a stationary, or either a mechanically or electrically rotating DC magnetic field in order to potentially increase plasma density and/or improve material processing uniformity.
  • controller 55 can be coupled to magnetic field system 60 in order to regulate the field strength or speed of rotation.
  • the material processing system can include an upper electrode 70 .
  • RF power can be coupled from RF generator 72 through impedance match network 74 to upper electrode 70 .
  • a frequency for the application of RF power to the upper electrode preferably ranges from 10 MHz to 200 MHz and is preferably 60 MHz.
  • a frequency for the application of power to the lower electrode can range from 0.1 MHz to 30 MHz and is preferably 2 MHz.
  • controller 55 can be coupled to RF generator 72 and impedance match network 74 in order to control the application of RF power to upper electrode 70 .
  • the material processing system of FIG. 1 can include an inductive coil 80 .
  • RF power can be coupled from RF generator 82 through impedance match network 84 to inductive coil 80
  • RF power can be inductively coupled from inductive coil 80 through dielectric window (not shown) to plasma processing region 45 .
  • a frequency for the application of RF power to the inductive coil 80 preferably ranges from 10 MHz to 100 MHz and is preferably 13.56 MHz.
  • a frequency for the application of power to the chuck electrode preferably ranges from 0.1 MHz to 30 MHz and is preferably 13.56 MHz.
  • a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma.
  • controller 55 can be coupled to RF generator 82 and impedance match network 84 in order to control the application of power to inductive coil 80 .
  • inductive coil 80 can be a “spiral” coil or “pancake” coil in communication with the plasma processing region 45 from above as in a transformer coupled plasma (TCP) reactor.
  • TCP transformer coupled plasma
  • the plasma can be formed using electron cyclotron resonance (ECR).
  • ECR electron cyclotron resonance
  • the plasma is formed from the launching of a Helicon wave.
  • the plasma is formed from a propagating surface wave.
  • the process performance prediction system 100 includes plurality of sensors 50 and a controller 55 , where the sensors 50 are coupled to process tool 10 and the controller 55 is coupled to the sensors 50 to receive tool data.
  • the controller 55 is further capable of executing at least one algorithm to optimize the tool data received from the sensors 50 , determine a relationship (model) between the tool data and process performance data, and use the relationship (model) for fault detection and/or prediction.
  • Table 1 presents an exemplary set of tool data, to be correlated with process performance data, including sixty-one tool data parameters. TABLE 1 Exemplary tool data.
  • Standard deviation O2_FLOW-S Oxygen gas flow rate Standard deviation HE_E_PRES-S Helium backside pressure (edge), Standard deviation PHASE-S Match network control signal, phase, Standard C5F8_FLOW-S C5F8 gas flow rate, Standard deviation deviation RF_VPP-S RF voltage peak-to-peak, Standard deviation VIP_I-S Current-voltage probe current, Standard deviation VIP_V-S Current-voltage probe voltage, Standard deviation WALL_TEMP-S Chamber wall temperature, Standard deviation HE_E_FLOW Helium backside flow (edge), Average VIP_PHASE-S Current-voltage probe phase, Standard deviation O2_FLOW Oxygen gas flow rate, Average RF_HR RF hours PHASE Match network control signal, phase, Average SLOT_ID Wafer slot index RF_VPP RF voltage peak-to-peak, Average RF_HRxFR_THK RF hours (X) Focus ring thickness VIP_V Current-voltage probe voltage, Average RF_HRxFR_RFHR
  • Standard deviation FR_THK Focus ring thickness ESC_CURRENT-S Electrostatic clamp current, Standard deviation FR_RFHR Focus ring RF hours LOWER_TEMP-S LEL temperature, Standard deviation FR_THKxFR_RFHR Focus ring thickness (X) Focus ring RF hours RF_REFLECT-S Reflected RF power, Standard deviation
  • an exemplary set of process performance data pertaining to trench etching as part of a damascene process can include a trench mean etch depth and a trench etch depth range.
  • the mean etch depth can, for example, include a spatial average of the trench etch depth at a plurality of locations on a substrate.
  • the trench etch depth range can, for example, include a minimum-maximum range, a variance, a standard deviation, or a root mean square (rms) of the data scatter about the mean value for the etch depth.
  • the measurement of the trench etch depth and trench etch depth range can be performed directly using a scanning electron microscope (SEM) to view SEM micrographs from cleaved substrates, or indirectly using advanced, in-situ technology such as, for example, DUV spectroscopic ellipsometry (e.g., see “Specular spectroscopic scatterometry”, IEEE Transactions on Semiconductor Manufacturing , Vol. 14, No. 2, May 2001) which is incorporated herein by reference in its entirety.
  • a commercially available product featuring optical digital profilometry (ODP) is sold and distributed by Timbre Technologies, Inc., A TEL Company (5341 Randall Place, Fremont, Calif. 94538) coupled with the hardware from Therma-Wave, Inc. (1250 Reliance Way, Fremont, Calif. 94539).
  • Each set of data includes an observation set, where either a single observation can be made per substrate or a plurality of observations can be performed per substrate.
  • Each observation in an observation set, including both tool data and process performance data can include an n th order statistic (i.e., time average, rms of time trace, skewness of time trace, etc.).
  • n th order statistic i.e., time average, rms of time trace, skewness of time trace, etc.
  • each observation set can correspond to a substrate processed, where each tool data parameter is sampled during the length of the process, trimmed (i.e., data at the start and end of the sampled data is trimmed to remove start/end transients), and averaged.
  • a relationship can be determined between the tool data in the plurality of observation sets and the process performance data in the plurality of observation sets using multivariate analysis (MVA).
  • MVA multivariate analysis
  • PLS partial least squares
  • observation sets of tool data are received from a plurality of sensors 50 and recorded using controller 55 .
  • tool data can be stored as a row in a matrix ⁇ overscore (X) ⁇ and process performance data can be stored as a row in matrix ⁇ overscore (Y) ⁇ .
  • X matrix ⁇ overscore
  • Y matrix ⁇ overscore
  • matrix ⁇ overscore (X) ⁇ is a rectangular matrix of dimensions M by sixty-one, where M is the number of observation sets.
  • matrix ⁇ overscore (Y) ⁇ is a rectangular matrix of dimensions M by two. More generally, matrix ⁇ overscore (X) ⁇ can be an m by n matrix, and matrix ⁇ overscore (Y) ⁇ can be an m by p matrix.
  • a set of tool data and process performance data is utilized from forty-five substrates in order to present the method by which tool data are optimized and a model is established for relating the tool data and the process performance data (i.e., M equals forty-five in the above discussion).
  • the forty-five process runs includes three sets of substrates processed in an etch chamber, where each set of substrates is preceded by a chamber wet clean.
  • the tool data included in the PLS analysis model is listed in Table 1, and the process performance data includes the mean trench etch depth and the trench etch depth range.
  • a set of loading (or correlation) coefficients can be defined which relate the tool data ( ⁇ overscore (X) ⁇ ) to the process performance data ( ⁇ overscore (Y) ⁇ ).
  • the PLS analysis geometrically corresponds to fitting a line, plane or hyper plane to both the ⁇ overscore (X) ⁇ and ⁇ overscore (Y) ⁇ data represented as points in a multidimensional space, with the objective of closely approximating the original data tables ⁇ overscore (X) ⁇ and ⁇ overscore (Y) ⁇ , and maximizing the covariance between the observation positions on the hyper planes.
  • FIG. 6 provides a schematic representation of the data inputs, ⁇ overscore (X) ⁇ and ⁇ overscore (Y) ⁇ , to the PLS analysis and the corresponding outputs ⁇ overscore (T) ⁇ , ⁇ overscore (P) ⁇ , ⁇ overscore (U) ⁇ , ⁇ overscore (C) ⁇ , ⁇ overscore (W) ⁇ , ⁇ overscore (E) ⁇ , ⁇ overscore (F) ⁇ , ⁇ overscore (H) ⁇ and variable importance in the projection (VIP).
  • An example of a commercially available software which supports PLS analysis modeling is SIMCA-P 8.0. For further details on this software see the User's Manual (User Guide to SIMCA-P 8.0: A new standard in multivariate data analysis, Umetrics AB, Version 8.0, September 1999).
  • SIMCA-P outputs other important information regarding the descriptive power of the model (e.g., the quality of the correlation obtained between ⁇ overscore (X) ⁇ and ⁇ overscore (Y) ⁇ ), and the predictive power of the model.
  • SIMCA-P iteratively computes one PLS component at a time, that is one vector each of X-scores ⁇ overscore (T) ⁇ , Y-scores ⁇ overscore (U) ⁇ , weights ⁇ overscore (W) ⁇ and ⁇ overscore (C) ⁇ , and loadings ⁇ overscore (P) ⁇ .
  • the PLS components are calculated in descending order of importance.
  • SIMCA-P can display the following: the fraction of the sum of squares (SS) of all Y's and X's explained by the current component (R 2 X, R 2 Y); the fraction of variance of all the Y's and X's explained by the current component (R 2 Xadj, R 2 Yadj); the cumulative SS of all the Y's and X's explained by all extracted components (R 2 X(cum), R 2 Y(cum)); and the cumulative variance of all the Y's and X's explained by all extracted components (R 2 Xadj(cum), R 2 Yadj(cum)).
  • the fraction of SS (R 2 V) or variance (R 2 Vadj) explained can be displayed. This value is computed for both the current component and accumulated over all PLS components. For response variables ⁇ overscore (Y) ⁇ , this value corresponds to R 2 (the multiple correlation coefficient), the “goodness” of the fit. For example, utilizing the data above, FIG. 7 presents this value for ⁇ overscore (Y) ⁇ (R 2 VY(cum)) for each process performance parameter, namely, the mean etch depth and the etch depth range. By inspection of FIG. 7 , the “goodness” of each fit exceeds ninety-seven percent when using the first four PLS components.
  • FIG. 8 presents the work set loadings, w*c( 1 ) versus w*c( 2 ), for the tool data and process performance data described above.
  • the plot shows both the X-weights (w or w*) and Y-weights (c), and thereby the correlation structure between ⁇ overscore (X) ⁇ and ⁇ overscore (Y) ⁇ .
  • ⁇ overscore (X) ⁇ and ⁇ overscore (Y) ⁇ variables combine in the projections
  • the ⁇ overscore (X) ⁇ variables relate to the ⁇ overscore (Y) ⁇ variables. For instance, two regions (upper right hand corner and lower left hand corner) indicate where a “strong” correlation exists between the tool data parameters and the process performance parameters.
  • FIG. 9 presents the work set scores, t( 1 ) versus u( 1 ).
  • This plot displays the objects in the projected X(T) and Y(U) space, and shows how well the Y space coordinate (u) correlates with the X space coordinate (t).
  • FIGS. 10 and 11 present the coefficients assigned to each tool data parameter for the mean etch depth model and the etch depth range model, respectively.
  • VIP is the sum over all model dimensions of the contributions variable influence (VIN).
  • VIN variable influence
  • w ij the squared PLS weight
  • the accumulated (over all PLS dimensions) value, VIP . j ⁇ i ⁇ ( VIN ) . j 2 , ( 3 ) is used for further analysis.
  • VIPs are computed for each variable in matrix ⁇ overscore (X) ⁇ , they may be sorted and plotted in descending order against the variable number. Those variables largest VIP will have the greatest impact on the process performance data in matrix ⁇ overscore (Y) ⁇ .
  • FIG. 12 shows the VIP (for a four PLS component model) in monotonically descending order; i.e., the tool data parameters falling on the left hand side of the plot are the most significant parameters in the model.
  • Exemplary criterion used to discard the variables of minimal impact or little significance to the process performance data include the following: (1) discard those variables whose VIP falls less than a pre-specified threshold (see FIG.
  • This data reduction or refinement reduces the column space of the data matrix ⁇ overscore (X) ⁇ from p (sixty-one in the above example) to q (e.g., ⁇ sixty-one parameters), and forms a “new”, reduced or refined data matrix ⁇ overscore (X) ⁇ * of dimensions m by q (forty-five by ⁇ sixty-one).
  • q e.g., ⁇ sixty-one parameters
  • the PLS model is repeated following the schematic presented in FIG. 6 , except now the reduced matrix ⁇ overscore (X) ⁇ * is used as the input to the PLS analysis.
  • the output matrices are then recomputed.
  • FIG. 14 presents the measured mean trench etch depth versus the predicted mean trench etch depth
  • FIG. 15 presents the measured trench etch depth range versus the predicted trench etch depth range.
  • a slope of unity indicates a good agreement between the measured and predicted values.
  • Method 500 begins with step 510 where the matrix ⁇ overscore (X) ⁇ is assembled from the observed tool data. As described above, each column represents a different tool data parameter and each row represents an observation. Similarly, in step 520 , the matrix ⁇ overscore (Y) ⁇ is assembled using observed process performance data. Again, each column represents a different process performance data parameter and each row represents an observation. In step 530 , matrices ⁇ overscore (X) ⁇ and ⁇ overscore (Y) ⁇ are input into the PLS analysis model to compute the above described output data (e.g., loading data, weighting data, scores data, VIP data, etc.).
  • output data e.g., loading data, weighting data, scores data, VIP data, etc.
  • step 540 the PLS output statistics are checked to determine if the PLS fitting power and/or predictive power are acceptable.
  • step 550 the VIP data are plotted and analyzed in descending order as in FIG. 12 . Using the data in step 550 from the PLS analysis, a decision whether to refine the matrix ⁇ overscore (X) ⁇ is performed in step 560 . If refinement (i.e., reduction of the number of tool data parameters to only significant tool data parameters) is required, then the procedure repeats the PLS analysis following step 570 with the new data matrix ⁇ overscore (X) ⁇ * in order to re-compute the corresponding new weighting, loading, variable influence, and score matrices.
  • step 570 the criterion described in association with the VIP information presented in FIG. 12 are utilized to reduce the matrix ⁇ overscore (X) ⁇ to a new matrix ⁇ overscore (X) ⁇ *, where the reduced matrix has discarded those variables (columns) deemed unimportant for the process performance data (i.e., there is a weak correlation or minimal impact between the tool data parameter and the process performance data).
  • step 560 determines that matrix ⁇ overscore (X) ⁇ * is finalized
  • step 580 is performed.
  • Step 580 includes computing the correlation matrix ⁇ overscore (B) ⁇ from equation (4) for later use as a process performance prediction model.
  • the process performance prediction model is incorporated with, for example, a fault detection algorithm.
  • the correlation matrix ⁇ overscore (B) ⁇ can be used as part of a fault detection algorithm to provide robust determination and prediction of process faults.
  • the fault detection algorithm can, in general, be applied to a variety of processes, however, the specific correlation matrix ⁇ overscore (B) ⁇ developed as described above will be specific to a particular process in a specific process tool. For example, silicon processing, such as etching, can be performed in a process tool much like that depicted in FIGS. 1 through 5 .
  • FIG. 17 presents a flow diagram of a method of detecting a fault condition for a material processing system employing a process performance prediction model according to an embodiment of the present invention.
  • the method 600 begins with step 610 by preparing the chamber conditions for the specific process.
  • the chamber setup includes loading the substrate to be processed, pumping down the vacuum chamber to a base pressure, initiating the flow of process gas, and adjusting the vacuum pump throttle valve to establish the chamber process pressure.
  • the plasma is ignited via, for example, the application of RF power to an electrode as discussed with reference to FIGS. 2 through 5 , thereby initiating the process.
  • step 630 an observation of tool data is recorded.
  • the established process performance prediction model is used with the observed tool data to predict the process performance data, which includes projecting the recorded tool data onto the one or more correlation data (process performance prediction model) via, for example, vector multiplication (or matrix multiplication).
  • the predicted process performance data are compared with target process performance data. The comparison can include forming difference data from a numerical difference between the predicted process performance data and the target process performance data (see A in FIG. 13 ), the square of a numerical difference, etc.
  • the difference data is compared with threshold difference data, where a fault is detected and/or predicted for the process when the difference data exceeds the threshold difference data and, conversely, the process is operating within an acceptable range when the difference data do not exceed the threshold difference data. If the process is operating within an acceptable range, then the process can continue in step 670 . If a fault is detected or predicted, then an operator can be notified in step 680 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Mathematical Physics (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Quality & Reliability (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • General Factory Administration (AREA)
US10/517,762 2002-06-28 2003-06-27 Method and system for predicting process performance using material processing tool and sensor data Abandoned US20050252884A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/517,762 US20050252884A1 (en) 2002-06-28 2003-06-27 Method and system for predicting process performance using material processing tool and sensor data
US12/255,698 US7844559B2 (en) 2002-06-28 2008-10-22 Method and system for predicting process performance using material processing tool and sensor data

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39196502P 2002-06-28 2002-06-28
US10/517,762 US20050252884A1 (en) 2002-06-28 2003-06-27 Method and system for predicting process performance using material processing tool and sensor data
PCT/US2003/016245 WO2004003969A2 (en) 2002-06-28 2003-06-27 Method and system for predicting process performance using material processing tool and sensor data

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/255,698 Division US7844559B2 (en) 2002-06-28 2008-10-22 Method and system for predicting process performance using material processing tool and sensor data

Publications (1)

Publication Number Publication Date
US20050252884A1 true US20050252884A1 (en) 2005-11-17

Family

ID=30000785

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/517,762 Abandoned US20050252884A1 (en) 2002-06-28 2003-06-27 Method and system for predicting process performance using material processing tool and sensor data
US12/255,698 Expired - Fee Related US7844559B2 (en) 2002-06-28 2008-10-22 Method and system for predicting process performance using material processing tool and sensor data

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/255,698 Expired - Fee Related US7844559B2 (en) 2002-06-28 2008-10-22 Method and system for predicting process performance using material processing tool and sensor data

Country Status (5)

Country Link
US (2) US20050252884A1 (zh)
JP (1) JP2005531927A (zh)
AU (1) AU2003245315A1 (zh)
TW (1) TWI259546B (zh)
WO (1) WO2004003969A2 (zh)

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040181299A1 (en) * 2003-03-12 2004-09-16 Tokyo Electron Limited Prediction method and apparatus of a processing result
US20040260420A1 (en) * 2003-06-20 2004-12-23 Tokyo Electron Limited. Processing method and processing system
US20060011591A1 (en) * 2003-08-18 2006-01-19 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US20060165890A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7165011B1 (en) * 2005-09-01 2007-01-16 Tokyo Electron Limited Built-in self test for a thermal processing system
US20070129901A1 (en) * 2005-08-01 2007-06-07 Baker Hughes Incorporated Acoustic fluid analysis method
US20070193976A1 (en) * 2006-02-22 2007-08-23 Hitoshi Tamura Plasma processing apparatus and plasma processing method
US20070224712A1 (en) * 2006-03-24 2007-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US20070234953A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Monitoring a monolayer deposition (mld) system using a built-in self test (bist) table
US20070239375A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7292659B1 (en) * 2003-09-26 2007-11-06 Sun Microsystems, Inc. Correlating and aligning monitored signals for computer system performance parameters
US20070260351A1 (en) * 2006-03-16 2007-11-08 Curry Mark W Methods and apparatus for pressure control in electronic device manufacturing systems
US20070259285A1 (en) * 2006-03-31 2007-11-08 Tokyo Electron Limited Method for creating a built-in self test (bist) table for monitoring a monolayer deposition (mld) system
US20080154544A1 (en) * 2006-12-21 2008-06-26 Honeywell International Inc. Monitoring and fault detection in dynamic systems
US20080289167A1 (en) * 2007-05-25 2008-11-27 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US20080290041A1 (en) * 2007-05-25 2008-11-27 Applied Materials, Inc. Methods and apparatus for efficient operation of an abatement system
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
US20090110622A1 (en) * 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
US20090306807A1 (en) * 2008-06-09 2009-12-10 International Business Machines Corporation Multidimensional process window optimization in semiconductor manufacturing
US20100003831A1 (en) * 2008-07-02 2010-01-07 Satoshi Yasuda Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US20110118863A1 (en) * 2009-11-19 2011-05-19 Valcore Jr John C Methods and apparatus for controlling a plasma processing system
US20110115492A1 (en) * 2009-11-19 2011-05-19 Valcore Jr John C Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20110137446A1 (en) * 2009-11-19 2011-06-09 Valcore Jr John C Plasma processing system control based on rf voltage
US9082594B2 (en) 2013-07-26 2015-07-14 Lam Research Corporation Etch rate modeling and use thereof for in-chamber and chamber-to-chamber matching
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US20150301100A1 (en) * 2014-04-21 2015-10-22 Lam Research Corporation Using modeling for identifying a location of a fault in an rf transmission system for a plasma system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US20160117425A1 (en) * 2014-10-24 2016-04-28 Lam Research Corporation System, Method and Apparatus for Refining RF Transmission System Models
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US20160217982A1 (en) * 2013-08-23 2016-07-28 Centrotherm Photovoltaics Ag Method and device for detecting a plasma ignition
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US20160365253A1 (en) * 2015-06-09 2016-12-15 Macronix International Co., Ltd. System and method for chemical mechanical planarization process prediction and optimization
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US20180227014A1 (en) * 2015-08-18 2018-08-09 Telefonaktiebolaget Lm Ericsson (Publ) Methods and devices for determining termination characteristics of an electrically conductive line
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US20200098648A1 (en) * 2018-09-20 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
CN111914210A (zh) * 2020-06-13 2020-11-10 宁波大学 一种基于相关特征分析的复杂化工过程状态监测方法
US20220230856A1 (en) * 2021-01-20 2022-07-21 Tokyo Electron Limited Plasma processing system and plasma processing method

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7700494B2 (en) * 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
WO2006088350A1 (en) * 2005-02-21 2006-08-24 Chemometry Consultancy Method and system for selection of calibration model dimensionality, and use of such a calibration model
US7266468B1 (en) * 2006-03-03 2007-09-04 Perceptron, Inc. Structural data analysis system
FR2918775A1 (fr) * 2007-07-12 2009-01-16 Pdf Solutions Sas Soc Par Acti Normalisation des variables de processus dans un processus de fabrication
JP2010199126A (ja) * 2009-02-23 2010-09-09 Panasonic Corp プラズマ処理方法およびプラズマ処理装置
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
TWI417746B (zh) 2010-12-03 2013-12-01 Ind Tech Res Inst 裝置的效能預測及故障檢測之方法
JP5947023B2 (ja) * 2011-11-14 2016-07-06 東京エレクトロン株式会社 温度制御装置、プラズマ処理装置、処理装置及び温度制御方法
US9746849B2 (en) 2012-11-09 2017-08-29 Tokyo Electron Limited Method and apparatus for autonomous tool parameter impact identification system for semiconductor manufacturing
JP5384758B2 (ja) * 2013-01-31 2014-01-08 株式会社日立ハイテクノロジーズ プラズマエッチング装置
KR102339317B1 (ko) * 2013-12-13 2021-12-14 램 리써치 코포레이션 Rf 임피던스 모델 기반 폴트 검출
JP6310866B2 (ja) * 2015-01-30 2018-04-11 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法並びに解析方法
US11774306B2 (en) 2018-06-26 2023-10-03 Applied Materials, Inc. System and method for maintenance of rotation-lift assembly
JP6990634B2 (ja) * 2018-08-21 2022-02-03 株式会社日立ハイテク 状態予測装置及び半導体製造装置
CN111665820B (zh) * 2020-06-08 2021-11-23 杭州电子科技大学 工业互联系统的分散式故障检测与容错控制方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5642296A (en) * 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6183594B1 (en) * 1998-09-25 2001-02-06 International Business Machines Corporation Method and system for detecting the end-point in etching processes
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04212414A (ja) 1990-08-16 1992-08-04 Fuji Electric Co Ltd プラズマ処理装置
JPH085542A (ja) 1994-06-20 1996-01-12 Hitachi Ltd 半導体装置の製造方法および装置
JPH08246169A (ja) 1995-03-13 1996-09-24 Hitachi Ltd プラズマ処理装置
JP3630931B2 (ja) 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5642296A (en) * 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6183594B1 (en) * 1998-09-25 2001-02-06 International Business Machines Corporation Method and system for detecting the end-point in etching processes
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor

Cited By (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7139620B2 (en) * 2003-03-12 2006-11-21 Tokyo Electron Limited Prediction method and apparatus of a processing result
US20040181299A1 (en) * 2003-03-12 2004-09-16 Tokyo Electron Limited Prediction method and apparatus of a processing result
US20100133231A1 (en) * 2003-06-20 2010-06-03 Tokyo Electron Limited Processing method and processing system
US20040260420A1 (en) * 2003-06-20 2004-12-23 Tokyo Electron Limited. Processing method and processing system
US8778205B2 (en) 2003-06-20 2014-07-15 Tokyo Electron Limited Processing method and processing system
US20060011591A1 (en) * 2003-08-18 2006-01-19 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US8089026B2 (en) * 2003-08-18 2012-01-03 Mks Instruments, Inc. Methods for control of plasma transitions in sputter processing systems using a resonant circuit
US7292659B1 (en) * 2003-09-26 2007-11-06 Sun Microsystems, Inc. Correlating and aligning monitored signals for computer system performance parameters
US20060165890A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7614302B2 (en) 2005-08-01 2009-11-10 Baker Hughes Incorporated Acoustic fluid analysis method
US20070129901A1 (en) * 2005-08-01 2007-06-07 Baker Hughes Incorporated Acoustic fluid analysis method
US7165011B1 (en) * 2005-09-01 2007-01-16 Tokyo Electron Limited Built-in self test for a thermal processing system
US8142674B2 (en) 2006-02-22 2012-03-27 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20090194506A1 (en) * 2006-02-22 2009-08-06 Hitoshi Tamura Plasma Processing Apparatus And Plasma Processing Method
US20070193976A1 (en) * 2006-02-22 2007-08-23 Hitoshi Tamura Plasma processing apparatus and plasma processing method
US20070260351A1 (en) * 2006-03-16 2007-11-08 Curry Mark W Methods and apparatus for pressure control in electronic device manufacturing systems
US7970483B2 (en) 2006-03-16 2011-06-28 Applied Materials, Inc. Methods and apparatus for improving operation of an electronic device manufacturing system
US7532952B2 (en) 2006-03-16 2009-05-12 Applied Materials, Inc. Methods and apparatus for pressure control in electronic device manufacturing systems
WO2007109038A3 (en) * 2006-03-16 2008-07-24 Applied Materials Inc Methods and apparatus for pressure control in electronic device manufacturing systems
KR101126413B1 (ko) 2006-03-16 2012-03-28 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템의 동작을 향상시키는 방법 및 장치
US20070224712A1 (en) * 2006-03-24 2007-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US7519885B2 (en) * 2006-03-31 2009-04-14 Tokyo Electron Limited Monitoring a monolayer deposition (MLD) system using a built-in self test (BIST) table
US7526699B2 (en) * 2006-03-31 2009-04-28 Tokyo Electron Limited Method for creating a built-in self test (BIST) table for monitoring a monolayer deposition (MLD) system
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US20070259285A1 (en) * 2006-03-31 2007-11-08 Tokyo Electron Limited Method for creating a built-in self test (bist) table for monitoring a monolayer deposition (mld) system
US20070239375A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Monitoring a system during low-pressure processes
US20070234953A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Monitoring a monolayer deposition (mld) system using a built-in self test (bist) table
GB2458588B (en) * 2006-12-14 2011-03-30 Baker Hughes Inc Acoustic fluid analysis method
WO2008076909A3 (en) * 2006-12-14 2008-10-09 Baker Hughes Inc Acoustic fluid analysis method
WO2008076909A2 (en) * 2006-12-14 2008-06-26 Baker Hughes Incorporated Acoustic fluid analysis method
GB2458588A (en) * 2006-12-14 2009-09-30 Baker Hughes Inc Acoustic fluid analysis method
WO2008079695A1 (en) * 2006-12-21 2008-07-03 Honeywell International Inc. Monitoring and fault detection in dynamic systems
US20080154544A1 (en) * 2006-12-21 2008-06-26 Honeywell International Inc. Monitoring and fault detection in dynamic systems
US20080310975A1 (en) * 2007-05-25 2008-12-18 Applied Materials, Inc. Methods and apparatus for a cogeneration abatement system for electronic device manufacturing
US20080289167A1 (en) * 2007-05-25 2008-11-27 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US8455368B2 (en) 2007-05-25 2013-06-04 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US20080290041A1 (en) * 2007-05-25 2008-11-27 Applied Materials, Inc. Methods and apparatus for efficient operation of an abatement system
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
US20090110622A1 (en) * 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
US8668868B2 (en) 2007-10-26 2014-03-11 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
US20090306807A1 (en) * 2008-06-09 2009-12-10 International Business Machines Corporation Multidimensional process window optimization in semiconductor manufacturing
US7962234B2 (en) * 2008-06-09 2011-06-14 International Business Machines Corporation Multidimensional process window optimization in semiconductor manufacturing
US8012884B2 (en) * 2008-07-02 2011-09-06 Panasonic Corporation Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US20100003831A1 (en) * 2008-07-02 2010-01-07 Satoshi Yasuda Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US20110137446A1 (en) * 2009-11-19 2011-06-09 Valcore Jr John C Plasma processing system control based on rf voltage
US20110118863A1 (en) * 2009-11-19 2011-05-19 Valcore Jr John C Methods and apparatus for controlling a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110115492A1 (en) * 2009-11-19 2011-05-19 Valcore Jr John C Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8909365B2 (en) 2009-11-19 2014-12-09 Lam Research Corporation Methods and apparatus for controlling a plasma processing system
US9455126B2 (en) 2009-11-19 2016-09-27 Lam Research Corporation Arrangement for plasma processing system control based on RF voltage
WO2012078569A1 (en) * 2010-12-07 2012-06-14 Lam Research Corporation Plasma processing system control based on rf voltage
US10748748B2 (en) 2012-02-22 2020-08-18 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9082594B2 (en) 2013-07-26 2015-07-14 Lam Research Corporation Etch rate modeling and use thereof for in-chamber and chamber-to-chamber matching
US20160217982A1 (en) * 2013-08-23 2016-07-28 Centrotherm Photovoltaics Ag Method and device for detecting a plasma ignition
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US20150301100A1 (en) * 2014-04-21 2015-10-22 Lam Research Corporation Using modeling for identifying a location of a fault in an rf transmission system for a plasma system
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US20160117425A1 (en) * 2014-10-24 2016-04-28 Lam Research Corporation System, Method and Apparatus for Refining RF Transmission System Models
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160365253A1 (en) * 2015-06-09 2016-12-15 Macronix International Co., Ltd. System and method for chemical mechanical planarization process prediction and optimization
US20180227014A1 (en) * 2015-08-18 2018-08-09 Telefonaktiebolaget Lm Ericsson (Publ) Methods and devices for determining termination characteristics of an electrically conductive line
US10707916B2 (en) * 2015-08-18 2020-07-07 Telefonaktiebolaget Lm Ericsson (Publ) Methods and devices for determining termination characteristics of an electrically conductive line
US20200098648A1 (en) * 2018-09-20 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
US11756840B2 (en) * 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
CN111914210A (zh) * 2020-06-13 2020-11-10 宁波大学 一种基于相关特征分析的复杂化工过程状态监测方法
US20220230856A1 (en) * 2021-01-20 2022-07-21 Tokyo Electron Limited Plasma processing system and plasma processing method

Also Published As

Publication number Publication date
TW200402114A (en) 2004-02-01
WO2004003969A3 (en) 2004-05-13
US20090099991A1 (en) 2009-04-16
WO2004003969A2 (en) 2004-01-08
US7844559B2 (en) 2010-11-30
TWI259546B (en) 2006-08-01
JP2005531927A (ja) 2005-10-20
AU2003245315A1 (en) 2004-01-19
AU2003245315A8 (en) 2004-01-19

Similar Documents

Publication Publication Date Title
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
US7167766B2 (en) Controlling a material processing tool and performance data
US7713760B2 (en) Process system health index and method of using the same
US7127358B2 (en) Method and system for run-to-run control
US7328126B2 (en) Method and system of diagnosing a processing system using adaptive multivariate analysis
US8048326B2 (en) Method and apparatus for determining an etch property using an endpoint signal
US6825920B2 (en) Method and system of determining chamber seasoning condition by optical emission
US8464741B2 (en) Flow control method for multizone gas distribution
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
US7211196B2 (en) Method and system of discriminating substrate type

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LAM, HIEU A.;YUE, HONGYU;SHRINER, JOHN;REEL/FRAME:016690/0217

Effective date: 20041027

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION