US20010052322A1 - Plasma process device - Google Patents

Plasma process device Download PDF

Info

Publication number
US20010052322A1
US20010052322A1 US09/925,572 US92557201A US2001052322A1 US 20010052322 A1 US20010052322 A1 US 20010052322A1 US 92557201 A US92557201 A US 92557201A US 2001052322 A1 US2001052322 A1 US 2001052322A1
Authority
US
United States
Prior art keywords
shower plate
process device
plasma process
microwave
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/925,572
Other versions
US6446573B2 (en
Inventor
Masaki Hirayama
Tadahiro Ohmi
Tatsushi Yamamoto
Takamitsu Tadera
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/925,572 priority Critical patent/US6446573B2/en
Publication of US20010052322A1 publication Critical patent/US20010052322A1/en
Application granted granted Critical
Publication of US6446573B2 publication Critical patent/US6446573B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Definitions

  • the present invention relates to plasma process devices, and more specifically, to a plasma process device capable of performing a processing such as deposition, etching and ashing to a large size, rectangular glass substrate using plasma.
  • a reaction gas In a process such as plasma CVD (Chemical Vapor Deposition) and etching, a reaction gas must be introduced uniformly over the entire surface of substrate subject to a reactive process. This is to assure process condition uniformity for deposition, etching or the like over the entire substrate.
  • a shower plate to supply a reaction gas in a plasma process device.
  • the shower plate refers to a plate shaped member positioned to oppose a substrate to be processed and having a plurality of reaction gas inlets to introduce a reaction gas into a processing chamber in which the substrate is placed.
  • FIG. 16 is a schematic cross sectional view of a conventional plasma process device using a radial line slot antenna. Referring to FIG. 16, the plasma process device will be described.
  • plasma process device 150 includes a vacuum vessel 156 as a processing chamber, a shower plate 153 , a dielectric plate 152 , a radial line slot antenna 151 and an exhaust pump 155 .
  • vacuum vessel 156 a circular substrate 154 subjected to deposition process or the like is placed on a substrate holder.
  • shower plate 153 of dielectric is provided on the upper wall surface of vacuum vessel 156 opposing substrate 154 .
  • Dielectric plate 152 is provided above shower plate 153 with a gap 163 therebetween.
  • Radial line slot antenna 151 is provided on dielectric plate 152 .
  • shower plate 153 , dielectric plate 152 and radial line slot antenna 151 have a circular shape when viewed from the top.
  • a reaction gas inlet passage 157 is formed to connect the gap 163 between shower plate 153 and dielectric plate 152 .
  • a reaction gas introduced to gap 163 from reaction gas inlet passage 157 is let into vacuum vessel 156 through the gas inlets formed in shower plate 153 .
  • Substantially homogeneous plasma 158 is formed over the entire surface of substrate 154 from the reaction gas by the microwave introduced into vacuum vessel 156 from radial line slot antenna 151 through dielectric plate 152 , gap 163 and shower plate 153 formed of dielectric. With plasma 158 , a processing such as deposition may be performed on the surface of substrate 154 . The reaction gas which have not contributed to the processing and the gas generated by the reaction at the substrate surface are let out of vacuum vessel 156 through exhaust pump 155 .
  • FIG. 17 is a perspective cross sectional view of the radial line slot antenna shown in FIG. 16. Referring to FIG. 17, the radial line slot antenna will be described.
  • radial line slot antenna 151 includes a coaxial waveguide 160 , a ground plate 159 formed of conductor, a dielectric plate 161 and a slot plate 164 of conductor having slots 162 .
  • Dielectric plate 161 is provided under ground plate 159 .
  • a slot plate 164 is provided under dielectric plate 161 .
  • Coaxial waveguide 160 is connected to dielectric plate 161 .
  • a microwave is transmitted to dielectric plate 161 from coaxial waveguide 160 .
  • Dielectric plate 161 serves as a radial microwave transmission path.
  • a microwave is radiated through slots 162 formed in slot plate 164 from the entire bottom surface of radial line slot antenna 151 .
  • a microwave used to form plasma 158 is supplied from radial line slot antenna 151 into vacuum vessel 156 as a processing chamber through dielectric plate 152 , gap 163 and shower plate 153 .
  • gap 163 serving as a transmission path for the microwave also function as a supply passage for a reaction gas to vacuum vessel 156 .
  • the microwave transmitted from radial line slot antenna 151 into vacuum vessel 156 can generate plasma when the gas pressure in gap 163 and the microwave conditions are inappropriate. If plasma is thus generated in gap 163 , shower plate 153 and dielectric plate 152 could be damaged by this plasma.
  • the pressure of the reaction gas in gap 163 was set significantly higher than the pressure of the reaction gas in vacuum vessel 156 . This is for the following reason: electrons in the reaction gas are accelerated by an electric field by the microwave. If however the pressure of the reaction gas in gap 163 is set to a high level of 10 Torr or more, for example, the electrons can collide with other gas atoms or molecules before they are accelerated by the above electric field. As a result, the electrons will no longer have enough energy to generate plasma, so that the plasma can be restrained from being generated in gap 163 .
  • the pressure of the reaction gas in gap 163 is set to a high level, the pressure inside vacuum vessel 156 must be maintained at a level of several mTorr. As a result, the pressure of the reaction gas in gap 163 is kept at a high level, while the supply of the reaction gas to vacuum vessel 156 must be sufficiently small. Therefore, the easiness for the reaction gas to flow (conductance) through the reaction gas inlets formed in shower plate 153 must be small. In order to realize such small conductance, fine gas inlets in shower plate 153 must be formed with extremely high precision (a precision in the order of 10 ⁇ m). Meanwhile, shower plate 153 must be formed using dielectric such as ceramic to allow a microwave to propagate. It is extremely difficult to form gas inlets having such high precision in the dielectric. As a result the manufacturing cost of the shower plate is disadvantageously high.
  • the conventional radial line slot antenna 151 is circular, in order to apply it to a rectangular substrate for used in a TFT liquid crystal display device or the like, shower plate 153 larger than the rectangular substrate must be used so that the entire surface of the rectangular substrate can be covered.
  • Such rectangular substrates have been increased in size from 500 mm ⁇ 500 mm to 1 m ⁇ 1 m as the liquid crystal display device has come to have a larger size.
  • Radial line slot antenna 151 and shower plate 153 are formed using dielectric such as ceramic as described above. Since it would be difficult to form a large size dielectric plate of ceramic or the like, the conventional plasma process device cannot cope with the large size rectangular type substrate.
  • the present invention is directed to a solution to the above described problem, and it is an object of the present invention to provide a plasma process device capable of forming homogeneous plasma, and coping with a large area substrate less costly.
  • a plasma process device includes a processing chamber, microwave guiding means, a shower plate, and a reaction gas supply passage.
  • the microwave guiding means guides a microwave into the processing chamber.
  • the shower plate has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave, and a lower surface facing the processing chamber and an upper surface positioned on the opposite side of the lower surface.
  • the reaction gas supply passage is positioned on the upper surface of the shower plate to supply the reaction gas to the gas inlet hole.
  • a wall surface of the reaction gas supply passage includes an upper surface of the shower plate and a conductor wall surface provided opposing the upper surface.
  • the microwave is not transmitted through the conductor.
  • the wall surface of the gas supply passage positioned on the upper surface of the shower plate includes the conductor wall surface, of the microwave transmitted from the microwave guiding means to the processing chamber, a component having a large electric field amplitude can be prevented from being guided into the reaction gas supply passage.
  • formation of plasma (abnormal plasma formation) from the reaction gas in the reaction gas supply passage caused by the microwave can be prevented. Therefore, the wall surface of the reaction gas supply passage, in other words, the upper surface of the shower plate or the like can be prevented from being damaged by plasma.
  • the pressure of the reaction gas in the reaction gas supply passage can be set lower than the conventional device.
  • the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, so that the conductance of the reaction gas in the gas inlet hole in the shower plate can be larger than the conventional case. Therefore, the size of the gas inlet hole in the shower plate can be set larger than the conventional device, so that high precision machining required by the conventional device in processing the gas inlet holes is no longer necessary. As a result, the manufacturing cost of the shower plate can be reduced.
  • a plasma process device includes a processing chamber, microwave guiding means, a shower plate, and a reaction gas supply passage.
  • a processing using plasma is performed in the processing chamber.
  • the microwave guiding means has an opening formed on the processing chamber to guide a microwave into the processing chamber.
  • the shower plate is positioned between the processing chamber and the microwave guiding means and has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave.
  • the reaction gas supply passage is formed in a region other than the region under the opening of the microwave guiding means to supply the reaction gas to the gas inlet hole.
  • the microwave radiated from the opening of the microwave guiding means is transmitted to the shower plate, and into the processing chamber from the shower plate.
  • the region positioned under the opening of the microwave guiding means serves as the transmission path of the microwave.
  • the reaction gas supply passage is formed in a region other than the region under the opening of the transmission path of the microwave, so that the reaction gas supply passage and the transmission path of the microwave can be located so as not to overlap one another. Therefore, a component of the microwave having a large electric field amplitude can be surely prevented from being irradiated in the reaction gas supply passage, so that plasma caused by irradiation of the microwave upon the reaction gas can be prevented from being generated in the reaction gas supply passage. As a result, the wall surface of the reaction gas supply passage or the like can be prevented from being damaged by the plasma.
  • the pressure of the reaction gas in the reaction gas supply passage can be set lower than the conventional device.
  • the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, so that the conductance of the reaction gas in the gas inlet hole in the shower plate can be larger than the conventional case. Therefore, the size of the gas inlet hole in the shower plate can be set larger than the conventional device, so that high precision machining required by the conventional device is no longer necessary in processing the gas inlet hole. As a result, the manufacturing cost of the shower plate can be reduced.
  • the use of the shower plate allows the reaction gas to be uniformly supplied in the processing chamber, so that homogeneous plasma may be obtained.
  • a plasma process device includes a processing chamber, microwave guiding means, a shower plate, and a reaction gas supply passage.
  • a processing using plasma is performed.
  • the microwave guiding means guides a microwave into the processing chamber.
  • the shower plate has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave.
  • the reaction gas supply passage is positioned on the shower plate and formed in a region other than the transmission path of the microwave guided into the processing chamber by the microwave guiding means for supplying the reaction gas to the gas inlet hole.
  • the reaction gas supply passage is formed in a region other than the transmission path of the microwave, a component of the microwave having a large electric field amplitude can be prevented from being irradiated into the reaction gas supply passage.
  • abnormal plasma caused by irradiation of the microwave upon the reaction gas can be surely prevented from being generated. Therefore, the inner wall of the reaction gas supply passage can be prevented from being damaged by the abnormal plasma.
  • the pressure of the reaction gas in the reaction gas supply passage can be set lower than the conventional level.
  • the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, and the conductance of the reaction gas in the reaction gas inlet hole in the shower plate can be set larger than the conventional device.
  • the size of gas inlet hole can be increased compared to the conventional device, and therefore high precision machining required in processing the gas inlet hole by the conventional device is no longer necessary. This allows the manufacturing cost of the shower plate to be reduced.
  • reaction gas can be uniformly supplied in the processing chamber using the shower plate, homogeneous plasma can be obtained.
  • a plasma process device includes a processing chamber, microwave guiding means, a shower plate, and a reaction gas supply passage.
  • a processing using plasma is performed in the processing chamber.
  • the microwave guiding means guides a microwave into the processing chamber.
  • the shower plate has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave.
  • the reaction gas supply passage is positioned on the shower plate and isolated from the microwave guiding means by a conductor for supplying the reaction gas to the gas inlet hole.
  • the pressure of the reaction gas in the reaction gas supply passage can be set lower than the conventional device.
  • the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, so that the conductance of the reaction gas in the gas inlet hole in the shower plate can be larger than the conventional case. Therefore, the size of the gas inlet hole in the shower plate can be set larger than the conventional device, so that high precision machining required by the conventional device in processing the gas inlet hole is no longer necessary. As a result, the manufacturing cost of the shower plate can be reduced.
  • the use of the shower plate allows the reaction gas to be uniformly supplied in the processing chamber using the shower plate, so that homogeneous plasma may be obtained.
  • the shower plate may have a lower surface facing the processing chamber, and an upper surface positioned on the opposite side of the lower surface, and the wall surface of the reaction gas supply passage may include an upper surface of the shower plate and a conductor wall surface opposing the upper surface.
  • the wall surface of the reaction gas supply passage includes a conductor wall surface of conductor which does not transmit the microwave, a component of the microwave having a large electric field amplitude can be surely prevented from being irradiated from the microwave guiding means to the reaction gas supply passage. As a result, abnormal plasma can be more surely prevented from being generated in the reaction gas supply passage.
  • the gas inlet hole in the shower plate may be formed penetrating from the upper surface to lower surface of the shower plate, and the gas inlet hole at the lower surface of the shower plate may have a diameter larger than the diameter of the gas inlet hole at the upper surface of the shower plate.
  • a cross section of the gas inlet hole may be a shape broader from the upper surface to lower surface of the shower plate.
  • the reaction gas to be introduced from the gas inlet hole to the processing chamber may be introduced not only in the vertical direction but also in an oblique direction with respect to the lower surface of the shower plate.
  • the distribution of the reaction gas may be more homogeneous in the processing chamber.
  • the plasma process can be performed in more uniform conditions.
  • the shower plate may include a plurality of shower plate portions.
  • small size shower plate portions may be manufactured using existing manufacturing equipment and combined to form a shower plate having a large area. As a result, a large size shower plate can be readily provided.
  • Such small size shower plate portions of equal quality can be more readily obtained using existing manufacturing equipment than forming a large size shower plate.
  • a large shower plate of more equal and good quality may be obtained than forming a large shower plate as an integral form.
  • the isolation distance between a lower surface of the shower plate facing the processing chamber and a conductor wall surface provided opposing an upper surface positioned on the opposite side of the lower surface may be a integral multiple of half a guide wavelength of the microwave (integral multiple of half a wavelength of the microwave in microwave guide means).
  • the shower plate may include dielectric.
  • the microwave is transmitted through the dielectric, the microwave supplied from the microwave guiding means can be readily transmitted to the processing chamber through the shower plate.
  • the dielectric is ceramic containing aluminum nitride as a main constituent.
  • the shower plate since aluminum nitride has high thermal conductivity, if the shower plate is locally heated by plasma formed in the processing chamber, the locally applied heat can be quickly diffused to the entire shower plate. As a result, the shower plate can be prevented from being damaged by the local heating.
  • a vessel member, a pedestal, and a shower plate securing member may be provided.
  • the vessel member may form a processing chamber, and the pedestal may be secured to the vessel member.
  • the shower plate securing member may secure the shower plate by pressing the shower plate to the pedestal.
  • flow rate control means for controlling the flow rate of the reaction gas in the gas inlet hole in the shower plate may be provided.
  • the flow rate of the reaction gas supplied to the processing chamber may be adjusted by the flow rate control means, and therefore the plasma process condition in the processing chamber may be readily optimized.
  • the flow rate control means may include a plug to be inserted into the gas inlet hole in the shower plate.
  • the conductance of the reaction gas in the gas inlet hole in the shower plate can be changed by changing the diameter of the plug as the inner diameter of the gas inlet hole is fixed. More specifically, as the gas inlet hole in the shower plate, a hole of a prescribed size is formed. Then, the diameter of the plug is determined to form a gap to serve as a gas passage for the reaction gas between the inner wall of the gas inlet hole and the sidewall of the plug.
  • the gas inlet hole in the shower plate may be readily machined and at the same time the conductance of the reaction gas in the gas inlet hole in the shower plate may be changed by changing the plug.
  • the cost of the shower plate may be reduced and processing conditions such as the flow rate of the reaction gas may be readily changed.
  • the shower plate has a substantially rectangular shape when viewed from the top.
  • the plasma process device suitable for processing such as deposition and etching by CVD to a rectangular glass substrate used for a liquid crystal device may be obtained.
  • the microwave guiding means may include a single mode microwave waveguide.
  • the microwave can be readily controlled and a stable and homogeneous microwave can be transmitted to the processing chamber.
  • FIG. 1 is a schematic plan view of a plasma process device according to a first embodiment of the present invention
  • FIG. 2 is a schematic cross sectional view taken along line II-II in FIG. 1;
  • FIG. 3 is a schematic enlarged cross sectional view of a part of the upper lid of a vacuum vessel in the plasma process device shown in FIG. 2;
  • FIG. 4 is a schematic cross sectional view of a shower plate in a plasma process device according to a second embodiment of the present invention.
  • FIG. 5 is a schematic side view of the core member shown in FIG. 4;
  • FIG. 6 is a schematic cross sectional view taken along line VI-VI in FIG. 5;
  • FIG. 7 is a schematic plan view of a plasma process device according to a third embodiment of the present invention.
  • FIG. 8 is a schematic cross sectional view taken along line VIII-VIII in FIG. 7;
  • FIG. 9 is a schematic cross sectional view taken along line IX-IX in FIG. 7;
  • FIG. 10 is a schematic top plan view of the plasma process device according to the present invention shown in FIG. 7 seen from the top of the lid of the vacuum vessel;
  • FIG. 11 is a schematic cross sectional view taken along line XI-XI in FIG. 10;
  • FIG. 12 is a schematic plan view of a plasma process device according to a fourth embodiment of the present invention.
  • FIG. 13 is a plan view of a plasma process device according to a fifth embodiment of the present invention.
  • FIG. 14 is a schematic cross sectional view of a plasma process device according to a sixth embodiment of the present invention.
  • FIG. 15 is a schematic enlarged cross sectional view of the vicinity of a slot plate in FIG. 14;
  • FIG. 16 is a schematic cross sectional view of a conventional plasma process device.
  • FIG. 17 is a schematic perspective view of a radial line slot antenna shown in FIG. 16.
  • the plasma process device includes four waveguides 1 a to 1 d as microwave guiding means to transmit microwaves, and shower plates 3 a to 3 d .
  • Waveguides 1 a to 1 d and shower plates 3 a to 3 d are provided on the upper lid of a vacuum vessel as a vessel member to form a processing chamber as shown in FIG. 2.
  • first dielectric plates 2 a to 2 d serving as a microwave guiding window are formed.
  • First dielectric plates 2 a to 2 d are provided to fill a shower plate holder 5 for holding shower plates 3 a to 3 d .
  • shower plate holder 5 is formed of conductor such as metal.
  • shower plates 3 a to 3 d are formed at the lower surface of shower plate holder 5 .
  • shower plates 3 a to 3 d are provided to have their upper surfaces in contact with first dielectric plates 2 a to 2 d , respectively.
  • shower plates 3 a to 3 d have gas inlet holes 18 (see FIG. 3).
  • Gas inlet holes 18 are connected to gas inlet passages (paths) 4 a to 4 d , and 6 a to 6 d .
  • a substrate 8 is provided on a substrate holder so as to be under shower plates 3 a to 3 d .
  • Substrate 8 is a glass substrate for a liquid crystal display, and has a large size about in the range from 500 mm ⁇ 500 mm to 1 m ⁇ 1 m.
  • FIG. 3 the structure of the shower plate portion of the plasma process device according to the present invention will be described in detail.
  • a shower plate holder 5 is secured with securing bolts 9 a , 9 b on the upper lid of the vacuum vessel having waveguides 1 a , 1 b .
  • shower plates 3 a , 3 b are secured to this shower plate holder 5 using securing bolts 10 a , 10 b .
  • Gas inlet paths 4 a to 4 d are formed on the upper lid of the vacuum vessel.
  • Gas inlet path 4 a formed on the upper lid of the vacuum vessel is connected to a gas inlet gap portion 7 a as a reaction gas supply passage through a gas inlet path 6 a formed at shower plate holder 5 .
  • Gas inlet gap portion 7 a includes an upper surface of shower plate 3 a and a lower surface of shower plate holder 5 as a conductor wall surface at its wall surface. Gas inlet gap portion 7 a is connected to gas inlet holes 18 formed at shower plate 3 a . A reaction gas supplied from gas inlet path 4 a reaches gas inlet gap portion 7 a through gas inlet path 6 a . The reaction gas is then let through gas inlet holes 18 from gas inlet gap portion 7 a into the processing chamber to form plasma such that the reaction gas is uniformly distributed. Note that the other gas inlet paths 4 e , 4 f , 6 e , 6 f and gas inlet gap portions 7 b , 7 c as shown have the same structures as described above.
  • First dielectric plates 2 a , 2 b are provided under waveguides 1 a , 1 b as described above.
  • shower plates 3 a , 3 b are provided under first dielectric plates 2 a , 2 b .
  • a microwave is transmitted from waveguides 1 a , 1 b to shower plates 3 a , 3 b through first dielectric plates 2 a , 2 b .
  • first dielectric plates 2 a , 2 b are preferably made of Al 2 o 3 which transmits a microwave in a high transmittance, and is easily machinable and available.
  • the microwave transmitted to shower plates 3 a , 3 b through first dielectric plates 2 a , 2 b is irradiated upon the inside of the processing chamber from the region under dielectric plates 2 a , 2 b and plasma is generated at the lower surface of shower plates 3 a , 3 b . Since the microwave cannot be propagated deeply inside the plasma, excess microwave components propagate transversely through shower plates 3 a , 3 b . As a result, the microwave will be irradiated to the inside of the processing chamber from the entire lower surfaces of shower plates 3 a , 3 b .
  • the thus irradiated microwave excites the reaction gas introduced into the processing chamber from gas inlet hole 18 , which results in plasma substantially uniformly distributed over the entire surface of substrate 8 (see FIG. 2).
  • a processing such as deposition, etching and ashing may be performed on substrate 8 .
  • gas inlet gap portion 7 a includes an upper surface of shower plate 3 a and a lower surface of shower plate holder 5 at its sidewall as described above, of the microwave transmitted from waveguide 1 a as the microwave guiding means to the processing chamber, a component having a large electric field amplitude can be prevented from being guided into gas inlet gap portion 7 a .
  • generation of plasma from the reaction gas caused by the microwave in gas inlet gap portion 7 a (abnormal plasma generation) can be prevented.
  • the wall surface of gas inlet gap portion 7 a in other words, the upper surface of shower plate 3 a or the like can be prevented from being damaged by the plasma.
  • the pressure of the reaction gas in gas inlet gap portion 7 a can be set at a lower level than the conventional level.
  • the difference between the pressure of the reaction gas in gas inlet gap portion 7 a and the pressure of the reaction gas in the processing chamber can be reduced, then the conductance of the reaction gas in gas inlet hole 18 in shower plate 3 a can be larger than the conventional device.
  • the size of gas inlet hole 18 in shower plate 3 a can be increased compared to the conventional device, and therefore high precision machining required by the conventional device in processing gas inlet hole 18 is no longer necessary. This allows the manufacturing cost of shower plate 3 a to be reduced.
  • a shower plate having a large area can be readily formed using shower plates 3 a to 3 d as the shower plate portion. As a result, a large size substrate can be readily constructed.
  • the region positioned under the opening portion of waveguides 1 a to 1 d as the microwave guiding means serves as a transmission path for the microwave, and gas inlet gap portions 7 a to 7 c are formed on the upper surfaces of shower plates 3 a , 3 b in a region other than the region positioned under the opening portion under these waveguides 1 a , 1 b.
  • the transmission path for the microwave and gas inlet gap portions 7 a to 7 c can be located so as not to overlap one another.
  • a component of the microwave having a large electric field amplitude can be prevented from being irradiated upon gas inlet gap portions 7 a to 7 c , so that generation of plasma in gas inlet gap portions 7 a to 7 c caused by the irradiation of the microwave upon the reaction gas can be prevented.
  • the wall surface or the like of gas inlet gap portions 7 a to 7 c can be prevented from being damaged by such plasma, while the manufacturing cost of shower plates 3 a to 3 d can be reduced as well, and a large size substrate can be readily coped with.
  • the microwave is transmitted into the processing chamber from waveguides 1 a to 1 d (see FIG. 2).
  • Gas inlet gap portion 7 a to 7 c are formed in a region other than the transmission path on shower plates 3 a , 3 b.
  • the microwave can be prevented from being irradiated upon gas inlet gap portions 7 a to 7 c , so that the wall surface or the like can be prevented from being damaged by the plasma, while the manufacturing cost of shower plates 3 a to 3 d can be reduced as described above, and a large size substrate can be readily coped with.
  • gas inlet gap portions 7 a to 7 c are isolated from waveguides 1 a , 1 b as the microwave guiding means by shower plate holder 5 as conductor.
  • the shower plate is formed by combining a plurality of small size shower plates 3 a to 3 d , and such small size shower plates 3 a to 3 d can be formed using existing equipment. As a result, the manufacturing cost of the shower plates can be further reduced.
  • shower plates 3 a to 3 d are preferably formed of aluminum nitride (AIN). Since aluminum nitride has high thermal conductivity, if shower plates 3 a to 3 d are locally heated, the locally applied heat can be quickly diffused to other part. Therefore, shower plates 3 a to 3 d can be prevented from being damaged by such local heat.
  • the use of a material with high thermal conductivity for shower plates 3 a to 3 d permits the temperature of the processing chamber to be made homogeneous over the entire surface of substrate 8 . As a result, the plasma process conditions can be more equalized over the entire surface of substrate 8 .
  • the two-dimensional configuration of the shower plate portion formed by shower plates 3 a to 3 d is rectangular as shown in FIG. 1, the plasma process device according to the present invention can be readily applied to a rectangular glass substrate used for example for a liquid crystal display.
  • waveguides 1 a to 1 d permit a microwave to be readily controlled and a stable and homogeneous microwave can be transmitted into the processing chamber.
  • Gas inlet hole 18 has a substantially circular cross section in the horizontal direction and is formed such that the diameter of gas inlet hole 18 at the lower surface of shower plates 3 a to 3 d is larger than the diameter of gas inlet hole 18 at the upper surface of shower plates 3 a to 3 d . Therefore, the reaction gas introduced from gas inlet 18 into the reaction chamber is introduced not only in the direction substantially vertical to the lower surface of shower plates 3 a to 3 d but also in a direction oblique to the lower surface. Thus, if for example the plasma process device shown in FIG. 1 is used for plasma CVD, the quality and thickness of a CVD film formed on the surface of substrate 8 can be more equalized over the entire surface of substrate 8 .
  • shower plate holder 5 is an integral metallic holder herein, but the shower plate holder itself may be divided into four parts corresponding to shower plates 3 a to 3 d .
  • attachment between shower plates 3 a to 3 d and shower plate holder 5 the attachment state between shower plates 3 a to 3 d and shower plate holder 5 or the state of gas inlet gap portions 7 a to 7 c may be examined as they are removed from the plasma process device (in an off line state). As a result, the plasma process device can be maintained/examined with reduced time and labor.
  • core members 12 a , 12 b which are plugs as flow rate control means are provided at the gas inlet hole of shower plate 3 .
  • a spacer 11 to keep the height of gas inlet gap portion 7 at a prescribed level is provided at an upper surface of shower plate 3 .
  • the structure of core member 12 a provided at the gas inlet hole will be described in conjunction with FIG. 5.
  • core member 12 a includes a rod portion 13 a and a nut portion 14 a .
  • Rod portion 13 a and nut portion 14 a can be isolated, and the upper part of rod portion 13 a serves as a male screw and nut portion 14 a serves as a female screw.
  • nut portion 14 a is engaged into the upper part of rod portion 13 a from the upper surface of shower plate 3 , and thus core member 12 a can be secured to the gas inlet hole of shower plate 3 .
  • core member 12 a is in contact with shower plate 3 at rod abutment portions 15 a , 15 b and nut abutment portions 16 a , 16 b .
  • grooves to serve as a passage for a reaction gas are formed at the surface of shower plate 3 which nut abutment portions 16 a , 16 b or nut abutment portions 16 a , 16 b are in contact with.
  • the use of such core members 12 a , 12 b permits maintenance operations such as cleaning of clogging in the gas inlet holes to be readily performed after these core members 12 a and 12 b are removed. As a result, time and labor required for maintenance of shower plate 3 can be reduced.
  • notch surfaces 17 a , 17 b may be formed on the side surface of rod portion 13 a .
  • Such notch surfaces 17 a , 17 b permits the conductance of the reaction gas in the gas inlet hole to be readily changed by changing the notch depth of notch surfaces 17 a , 17 b.
  • the diameters of the gas inlet holes of shower plates 3 are set equal, and a plurality of core members 12 a , 12 b are prepared, the conductance of the reaction gas in the gas inlet holes in shower plate 3 can be readily changed by replacing core members 12 a , 12 b . As a result, the manufacturing cost of the plasma process device can be lower than the case of preparing a plurality of shower plates 3 having different diameters for the gas inlet holes.
  • the use of the gas inlet hole having a size large enough to insert core members 12 a , 12 b can reduce the manufacturing cost of the shower plate because fine machining is not necessary.
  • FIG. 7 is a schematic plan view of a plasma process device according to the present invention showing the bottom surface of shower plates 3 a to 3 d as viewed from the bottom side of the shower plate. Referring to FIG. 7, the plasma process device will be described.
  • the four divisional shower plates 3 a to 3 d are provided on the upper lid 23 of the vacuum vessel as the vessel member for the plasma process device.
  • shower plates 3 a to 3 d have gas inlet holes 18 to supply a reaction gas into the processing chamber.
  • a shower plate securing member 19 At the outer peripheral portion of shower plates 3 a to 3 d , there is a shower plate securing member 19 to secure these shower plates 3 a to 3 d to shower plate holder 5 (see FIG. 8) as a pedestal.
  • a cross sectional structure of the plasma process device will be described in conjunction with FIG. 8.
  • a substrate 8 is provided on a substrate holder 20 in a vacuum vessel 21 as a processing chamber.
  • Exhaust holes 22 are formed at the bottom of vacuum vessel 21 .
  • Exhaust holes 22 are connected to an exhaust pump 25 (see FIG. 14) to let out the part of a reaction gas which has not contributed to the plasma process and a gas generated by plasma process.
  • shower plates 3 a to 3 d are secured to shower plate holder 5 using a shower plate securing member 19 .
  • shower plate securing member 19 has a support portion extending to the lower surface of shower plates 3 a to 3 d at the outer periphery of shower plates 3 a to 3 d .
  • shower plates 3 a to 3 d are supported by the support portion.
  • shower plate securing member 19 is secured to shower plate holder 5 with a screw or the like.
  • shower plate securing member 19 presses the outer peripheral portion of shower plates 3 a to 3 d to shower plate holder 5 by the support portion, so that shower plates 3 a to 3 d are secured to shower plate holder 5 .
  • the other structure is the same as that of the plasma process device according to the first embodiment of the present invention as shown in FIGS. 1 to 3 .
  • gas inlet holes 18 in shower plates 3 a to 3 d are formed in the region other than under first dielectric plates 2 a , 2 b .
  • gas inlet gap portions 7 a to 7 c may be surely formed in the region other than the plasma transmission path, so that abnormal plasma can be surely prevented from being generated in gas inlet gap portions 7 a to 7 c.
  • first dielectric plate 2 a is formed to extend along the direction in which waveguide 1 a extends.
  • shower plate 3 c is secured to shower plate holder 5 using shower plate securing member 19 similarly to shower plates 3 a and 3 b.
  • a microwave is supplied from waveguides 1 a , 1 b from a waveguide 24 . More specifically, the microwave introduced from the upper part of the surface of the sheet to waveguide 24 in FIG. 10 branch to the left and right toward waveguides 1 a and 1 b as shown in FIG. 10, and are then supplied from the central portions of waveguides 1 a and 1 b to their end portions.
  • FIG. 12 is a schematic plan view of a plasma process device showing the upper lid of the vacuum vessel as viewed from the lower surface of the shower plate of the plasma process device similarly to FIG. 7.
  • the plasma process device has basically the same structure as the plasma process device as shown in FIG. 7 except that the shower plate portion is divided into two shower plates 3 a , 3 b . Also in this case, the same effect as that of the plasma process device according to the third embodiment of the present invention may be provided.
  • FIG. 13 is a schematic plan view of a plasma process device showing the upper lid of a vacuum vessel viewed from the lower surface of the shower plate of the plasma process device similarly to FIG. 12.
  • the plasma process device basically has the same structure as that shown in FIG. 7 except that in the plasma process device shown in FIG. 13, an integral type shower plate 3 a is used as a shower plate.
  • the number of shower plate securing members 19 may be smaller than the case of using a separate type shower plate portion.
  • the process of mounting shower plate 3 a to shower plate holder 5 may be simplified.
  • the structure of the upper lid 23 of the vacuum vessel from shower plate 3 a to waveguides 1 a , 1 b is basically the same as the plasma process device shown in FIG. 7.
  • abnormal plasma may be prevented from being generated in a gas inlet gap portion positioned on shower plate 3 a .
  • the difference between the pressure of a reaction gas in the gas inlet gap portion and the pressure of the reaction gas in a processing chamber may be reduced, so that the size of gas inlet holes 18 in shower plate 3 a may be larger than the conventional device. Therefore, the process of forming gas inlet holes 18 does not require high precision processing as required by the conventional device, which reduces the manufacturing cost of shower plate 3 a .
  • process conditions such as the composition of the reaction gas may be more readily adjusted than the conventional device.
  • a schematic plan view thereof and a part of the structure are basically the same as those of the first embodiment.
  • the device guides a microwave into a processing chamber using a single mode waveguide similarly to the first embodiment, but an H-plane slot antenna is used for the inlet portion.
  • the H-plane slot antenna refers to an antenna having slots at longer side ends in a cross section of the waveguide and irradiating microwaves from the slots.
  • the distribution of electromagnetic fields in the longer side direction (in the direction parallel to the H-plane) of the single mode waveguide is dissymmetrical (1) with respect to a plane through the center of the longer side (a plane perpendicular to the longer side and parallel to the shorter side), and is inverted (2) for every half a wavelength in the propagating direction of the electromagnetic fields (relative to the guide wavelength in the waveguide, which will be omitted in the following description).
  • the phases of the microwaves radiated from the slots can be all in phase by the combined effect of (1) and (2).
  • a microwave radiated from a waveguide is inverted for every half a wavelength, and therefore there formed a space to cancel microwaves with one another for every half a wavelength.
  • the use of the H-plane antenna permits the radiation from all the slots to be in phase, and such cancellation of microwaves will not be caused. More specifically, more homogeneous and efficient plasma excitation is enabled.
  • slot plates 26 a to 26 d are formed under the longer sides of waveguides 1 a to id.
  • slots 25 are mainly provided in the downward direction on the surface of the sheet (in the direction of arrow 600 ), in a cross section taken at a position shifted for half a wavelength in the direction vertical to the surface of the sheet (in the propagating direction of the electromagnetic waves), the slots are positioned symmetrically with respect to the center of the longer side of waveguides 1 a to 1 d (at positions shifted in the upward direction of the surface of the sheet (opposite direction of arrow 600 ) under the waveguide).
  • dielectric also fills waveguides 1 a to 1 d . This is for the purpose of reducing the distance between the right and left slots 25 alternately provided to reduce asymmetry characteristic caused by thus alternately providing them. If high frequency microwaves are used, the size of the waveguide itself is small, and therefore dielectric does not have to fill the waveguide.
  • Slots 25 are filled with dielectric according to this embodiment. This is for the purpose of restraining abnormal discharge which could be generated in the vicinity of slots 25 . Also in this case, if countermeasure is taken against such possible abnormal discharge, dielectric does not have to be used for filling the slots.
  • the thickness of shower plates 3 a to 3 d may be set to satisfy a resonance condition to the direction from the right to left on the surface of the sheet, so that the excitation can be performed most efficiently. More specifically, the thickness needs only be set to an integral multiple of half a wavelength of the microwave.
  • the guide wavelength must be used here, but the free space wavelength of the microwave (though the dielectric constant should be considered if dielectric is used for filling) may be used for designing as first-order approximation, because the propagation space is large enough.
  • the isolation distance between the lower surface of each of shower plates 3 a to 3 d facing the processing chamber and the conductor wall surface of upper lid 23 provided opposing the upper surface positioned on the opposite side of the lower surface is an integral multiple of half a wavelength of the guide wavelength of the microwave. Therefore, cancellation of microwaves radiated from slots 25 can be prevented, so that more homogeneous and efficient plasma excitation can be performed.
  • the isolation distance between the lower surface of the shower plate and the conductor wall surface of the upper lid is an integral multiple of half a wavelength of the guide wavelength of the microwave, so that plasma excitation can be most efficiently performed.
  • substrate 8 in place of the structure in which substrate 8 is placed horizontally according to the first to sixth embodiments, substrate 8 may be placed upright.
  • shower plates 3 a to 3 d may be provided on the side wall of the processing chamber (on the sidewall of vacuum vessel 21 ) depending upon the placement of substrate 8 .

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

A plasma process device capable of forming homogeneous plasma and coping with a large size substrate less costly can be obtained. The plasma process device includes a processing chamber, microwave guiding means, a shower plate and a reaction gas supply passage. The microwave guiding means guides a microwave into the processing chamber. The shower plate has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave, and a lower surface facing the processing chamber and an upper surface positioned on the opposite side of the lower surface. The reaction gas supply passage is positioned on the upper surface of the shower plate and supplies the reaction gas to the gas inlet hole. A wall surface of the reaction gas supply passage includes an upper surface of the shower plate and a conductor wall surface opposing the upper surface.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to plasma process devices, and more specifically, to a plasma process device capable of performing a processing such as deposition, etching and ashing to a large size, rectangular glass substrate using plasma. [0002]
  • 2. Description of the Background Art [0003]
  • Conventional plasma process devices to perform deposition, etching and ashing using plasma are known. One of known methods of generating plasma in such a plasma process device is an electron cyclotron resonance plasma excitation method according to which plasma is excited using a microwave and a DC magnetic field. In the electron cyclotron resonance plasma excitation method, however, stable plasma results only if the pressure is set to a level of several mTorr or less at the time of generating plasma. In addition, since the electron temperature in plasma is high, the plasma formed using the electron cyclotron resonance plasma excitation method is not suitable for the process such as deposition as described above. In the electron cyclotron resonance plasma excitation method, a DC magnetic field must be applied, which necessitates the entire device to have a large size. As a result, the manufacturing cost of the plasma process device is disadvantageously high. [0004]
  • Meanwhile, there is a known method of exciting plasma using the surface wave mode of microwave propagating through dielectric rather than using an electron cyclotron resonance method with a DC magnetic field as described above. The plasma excitation method using the surface wave of a microwave can produce stable plasma if the pressure is set in a relatively broad range from several ten mTorr to several Torr or higher. Since the electron temperature in the plasma is relatively low, surface wave excited plasmas are suitable for any of the above processings such as deposition may result. [0005]
  • In a process such as plasma CVD (Chemical Vapor Deposition) and etching, a reaction gas must be introduced uniformly over the entire surface of substrate subject to a reactive process. This is to assure process condition uniformity for deposition, etching or the like over the entire substrate. As one known means for achieving this is the use of a shower plate to supply a reaction gas in a plasma process device. Herein, the shower plate refers to a plate shaped member positioned to oppose a substrate to be processed and having a plurality of reaction gas inlets to introduce a reaction gas into a processing chamber in which the substrate is placed. [0006]
  • As a conventional plasma process device using a method of exciting plasma using the surface wave of a microwave as described above together with a shower plate, a plasma process device using a radial line slot antenna has been known. FIG. 16 is a schematic cross sectional view of a conventional plasma process device using a radial line slot antenna. Referring to FIG. 16, the plasma process device will be described. [0007]
  • Referring to FIG. 16, [0008] plasma process device 150 includes a vacuum vessel 156 as a processing chamber, a shower plate 153, a dielectric plate 152, a radial line slot antenna 151 and an exhaust pump 155. In vacuum vessel 156, a circular substrate 154 subjected to deposition process or the like is placed on a substrate holder. Shower plate 153 of dielectric is provided on the upper wall surface of vacuum vessel 156 opposing substrate 154. Dielectric plate 152 is provided above shower plate 153 with a gap 163 therebetween. Radial line slot antenna 151 is provided on dielectric plate 152. Shower plate 153, dielectric plate 152 and radial line slot antenna 151 have a circular shape when viewed from the top. A reaction gas inlet passage 157 is formed to connect the gap 163 between shower plate 153 and dielectric plate 152. A reaction gas introduced to gap 163 from reaction gas inlet passage 157 is let into vacuum vessel 156 through the gas inlets formed in shower plate 153.
  • Substantially [0009] homogeneous plasma 158 is formed over the entire surface of substrate 154 from the reaction gas by the microwave introduced into vacuum vessel 156 from radial line slot antenna 151 through dielectric plate 152, gap 163 and shower plate 153 formed of dielectric. With plasma 158, a processing such as deposition may be performed on the surface of substrate 154. The reaction gas which have not contributed to the processing and the gas generated by the reaction at the substrate surface are let out of vacuum vessel 156 through exhaust pump 155.
  • FIG. 17 is a perspective cross sectional view of the radial line slot antenna shown in FIG. 16. Referring to FIG. 17, the radial line slot antenna will be described. [0010]
  • Referring to FIG. 17, radial [0011] line slot antenna 151 includes a coaxial waveguide 160, a ground plate 159 formed of conductor, a dielectric plate 161 and a slot plate 164 of conductor having slots 162. Dielectric plate 161 is provided under ground plate 159. A slot plate 164 is provided under dielectric plate 161. Coaxial waveguide 160 is connected to dielectric plate 161. A microwave is transmitted to dielectric plate 161 from coaxial waveguide 160. Dielectric plate 161 serves as a radial microwave transmission path. A microwave is radiated through slots 162 formed in slot plate 164 from the entire bottom surface of radial line slot antenna 151.
  • In the conventional plasma process device using the radial line slot antenna, plasma excitation with a microwave and uniform supply of a reaction gas to the processing chamber using the shower plate are simultaneously performed. The plasma process device using the radial line slot antenna described above suffers from the following problem. [0012]
  • More specifically, referring to FIG. 16, in the conventional plasma process device, a microwave used to form [0013] plasma 158 is supplied from radial line slot antenna 151 into vacuum vessel 156 as a processing chamber through dielectric plate 152, gap 163 and shower plate 153. At this time, gap 163 serving as a transmission path for the microwave also function as a supply passage for a reaction gas to vacuum vessel 156. As a result, there is the reaction gas to generate plasma in gap 163. Therefore, the microwave transmitted from radial line slot antenna 151 into vacuum vessel 156 can generate plasma when the gas pressure in gap 163 and the microwave conditions are inappropriate. If plasma is thus generated in gap 163, shower plate 153 and dielectric plate 152 could be damaged by this plasma. In order to prevent the plasma (abnormal plasma) from being generated in gap 163, the pressure of the reaction gas in gap 163 was set significantly higher than the pressure of the reaction gas in vacuum vessel 156. This is for the following reason: electrons in the reaction gas are accelerated by an electric field by the microwave. If however the pressure of the reaction gas in gap 163 is set to a high level of 10 Torr or more, for example, the electrons can collide with other gas atoms or molecules before they are accelerated by the above electric field. As a result, the electrons will no longer have enough energy to generate plasma, so that the plasma can be restrained from being generated in gap 163.
  • While the pressure of the reaction gas in [0014] gap 163 is set to a high level, the pressure inside vacuum vessel 156 must be maintained at a level of several mTorr. As a result, the pressure of the reaction gas in gap 163 is kept at a high level, while the supply of the reaction gas to vacuum vessel 156 must be sufficiently small. Therefore, the easiness for the reaction gas to flow (conductance) through the reaction gas inlets formed in shower plate 153 must be small. In order to realize such small conductance, fine gas inlets in shower plate 153 must be formed with extremely high precision (a precision in the order of 10 μm). Meanwhile, shower plate 153 must be formed using dielectric such as ceramic to allow a microwave to propagate. It is extremely difficult to form gas inlets having such high precision in the dielectric. As a result the manufacturing cost of the shower plate is disadvantageously high.
  • Since the pressure of the reaction gas in [0015] gap 163 must be kept at a high level, process conditions such as the component ratio or flow rate of the reaction gas can be hardly precisely controlled. As a result, the process conditions such as the gas component ratio are shifted from a prescribed numerical range, which makes it difficult to adjust the process conditions, and plasma process such as deposition can no longer performed in a prescribed condition.
  • In addition, as shown in FIGS. 16 and 17, the conventional radial [0016] line slot antenna 151 is circular, in order to apply it to a rectangular substrate for used in a TFT liquid crystal display device or the like, shower plate 153 larger than the rectangular substrate must be used so that the entire surface of the rectangular substrate can be covered. Such rectangular substrates have been increased in size from 500 mm×500 mm to 1 m×1 m as the liquid crystal display device has come to have a larger size. Radial line slot antenna 151 and shower plate 153 are formed using dielectric such as ceramic as described above. Since it would be difficult to form a large size dielectric plate of ceramic or the like, the conventional plasma process device cannot cope with the large size rectangular type substrate.
  • SUMMARY OF THE INVENTION
  • The present invention is directed to a solution to the above described problem, and it is an object of the present invention to provide a plasma process device capable of forming homogeneous plasma, and coping with a large area substrate less costly. [0017]
  • A plasma process device according to one aspect of the present invention includes a processing chamber, microwave guiding means, a shower plate, and a reaction gas supply passage. In the processing chamber, a processing using plasma is performed. The microwave guiding means guides a microwave into the processing chamber. The shower plate has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave, and a lower surface facing the processing chamber and an upper surface positioned on the opposite side of the lower surface. The reaction gas supply passage is positioned on the upper surface of the shower plate to supply the reaction gas to the gas inlet hole. A wall surface of the reaction gas supply passage includes an upper surface of the shower plate and a conductor wall surface provided opposing the upper surface. [0018]
  • In this case, the microwave is not transmitted through the conductor. As a result, since the wall surface of the gas supply passage positioned on the upper surface of the shower plate includes the conductor wall surface, of the microwave transmitted from the microwave guiding means to the processing chamber, a component having a large electric field amplitude can be prevented from being guided into the reaction gas supply passage. As a result, formation of plasma (abnormal plasma formation) from the reaction gas in the reaction gas supply passage caused by the microwave can be prevented. Therefore, the wall surface of the reaction gas supply passage, in other words, the upper surface of the shower plate or the like can be prevented from being damaged by plasma. [0019]
  • Since abnormal plasma can be prevented from being generated in the reaction gas supply passage, the pressure of the reaction gas in the reaction gas supply passage can be set lower than the conventional device. Thus, the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, so that the conductance of the reaction gas in the gas inlet hole in the shower plate can be larger than the conventional case. Therefore, the size of the gas inlet hole in the shower plate can be set larger than the conventional device, so that high precision machining required by the conventional device in processing the gas inlet holes is no longer necessary. As a result, the manufacturing cost of the shower plate can be reduced. [0020]
  • Since the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, process conditions such as the components of the reaction gas may be more readily adjusted than the conventional device. Thus, plasma of prescribed components may be readily obtained. [0021]
  • In addition, since the shower plate is used to supply the reaction gas uniformly in the processing chamber, homogeneous plasma can be obtained. [0022]
  • A plasma process device according to another aspect of the present invention includes a processing chamber, microwave guiding means, a shower plate, and a reaction gas supply passage. In the processing chamber, a processing using plasma is performed. The microwave guiding means has an opening formed on the processing chamber to guide a microwave into the processing chamber. The shower plate is positioned between the processing chamber and the microwave guiding means and has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave. The reaction gas supply passage is formed in a region other than the region under the opening of the microwave guiding means to supply the reaction gas to the gas inlet hole. [0023]
  • In this case, the microwave radiated from the opening of the microwave guiding means is transmitted to the shower plate, and into the processing chamber from the shower plate. Thus, the region positioned under the opening of the microwave guiding means serves as the transmission path of the microwave. The reaction gas supply passage is formed in a region other than the region under the opening of the transmission path of the microwave, so that the reaction gas supply passage and the transmission path of the microwave can be located so as not to overlap one another. Therefore, a component of the microwave having a large electric field amplitude can be surely prevented from being irradiated in the reaction gas supply passage, so that plasma caused by irradiation of the microwave upon the reaction gas can be prevented from being generated in the reaction gas supply passage. As a result, the wall surface of the reaction gas supply passage or the like can be prevented from being damaged by the plasma. [0024]
  • In addition, since abnormal plasma can be prevented from being generated in the reaction gas supply passage, the pressure of the reaction gas in the reaction gas supply passage can be set lower than the conventional device. Thus, the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, so that the conductance of the reaction gas in the gas inlet hole in the shower plate can be larger than the conventional case. Therefore, the size of the gas inlet hole in the shower plate can be set larger than the conventional device, so that high precision machining required by the conventional device is no longer necessary in processing the gas inlet hole. As a result, the manufacturing cost of the shower plate can be reduced. [0025]
  • Since the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, process conditions such as the components of the reaction gas can be adjusted more easily than the conventional device. [0026]
  • The use of the shower plate allows the reaction gas to be uniformly supplied in the processing chamber, so that homogeneous plasma may be obtained. [0027]
  • A plasma process device according to another aspect of the present invention includes a processing chamber, microwave guiding means, a shower plate, and a reaction gas supply passage. In the processing chamber, a processing using plasma is performed. The microwave guiding means guides a microwave into the processing chamber. The shower plate has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave. The reaction gas supply passage is positioned on the shower plate and formed in a region other than the transmission path of the microwave guided into the processing chamber by the microwave guiding means for supplying the reaction gas to the gas inlet hole. [0028]
  • Thus, since the reaction gas supply passage is formed in a region other than the transmission path of the microwave, a component of the microwave having a large electric field amplitude can be prevented from being irradiated into the reaction gas supply passage. As a result, abnormal plasma caused by irradiation of the microwave upon the reaction gas can be surely prevented from being generated. Therefore, the inner wall of the reaction gas supply passage can be prevented from being damaged by the abnormal plasma. [0029]
  • Since the abnormal plasma can be prevented from being generated in the gas supply passage, the pressure of the reaction gas in the reaction gas supply passage can be set lower than the conventional level. As a result, the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, and the conductance of the reaction gas in the reaction gas inlet hole in the shower plate can be set larger than the conventional device. As a result, the size of gas inlet hole can be increased compared to the conventional device, and therefore high precision machining required in processing the gas inlet hole by the conventional device is no longer necessary. This allows the manufacturing cost of the shower plate to be reduced. [0030]
  • Since the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, process conditions such as the components of the reaction gas can be adjusted more easily than the conventional device. [0031]
  • Since the reaction gas can be uniformly supplied in the processing chamber using the shower plate, homogeneous plasma can be obtained. [0032]
  • A plasma process device according to another aspect of the present invention includes a processing chamber, microwave guiding means, a shower plate, and a reaction gas supply passage. In the processing chamber, a processing using plasma is performed. The microwave guiding means guides a microwave into the processing chamber. The shower plate has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave. The reaction gas supply passage is positioned on the shower plate and isolated from the microwave guiding means by a conductor for supplying the reaction gas to the gas inlet hole. [0033]
  • In this case, since the microwave is not transmitted through the conductor, if the microwave guiding means and the reaction gas supply passage are isolated by the conductor, a component of the microwave from the microwave guiding means having a large electric field amplitude can be surely prevented from being irradiated upon the reaction gas supply passage. As a result, in the reaction gas supply passage, abnormal plasma caused by irradiation of the microwave upon the reaction gas in the reaction gas supply passage can be prevented from being generated. Therefore, the inner wall or the like of the reaction gas supply passage can be prevented from being damaged by this abnormal plasma. [0034]
  • Since abnormal plasma can be prevented from being generated in the reaction gas supply passage, the pressure of the reaction gas in the reaction gas supply passage can be set lower than the conventional device. Thus, the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, so that the conductance of the reaction gas in the gas inlet hole in the shower plate can be larger than the conventional case. Therefore, the size of the gas inlet hole in the shower plate can be set larger than the conventional device, so that high precision machining required by the conventional device in processing the gas inlet hole is no longer necessary. As a result, the manufacturing cost of the shower plate can be reduced. [0035]
  • Since the difference between the pressure of the reaction gas in the reaction gas supply passage and the pressure of the reaction gas in the processing chamber can be reduced, process conditions such as the components of the reaction gas can be adjusted more easily than the conventional device. [0036]
  • The use of the shower plate allows the reaction gas to be uniformly supplied in the processing chamber using the shower plate, so that homogeneous plasma may be obtained. [0037]
  • In a plasma process device according to any of the above aspects or another aspect, the shower plate may have a lower surface facing the processing chamber, and an upper surface positioned on the opposite side of the lower surface, and the wall surface of the reaction gas supply passage may include an upper surface of the shower plate and a conductor wall surface opposing the upper surface. [0038]
  • In this case, since the wall surface of the reaction gas supply passage includes a conductor wall surface of conductor which does not transmit the microwave, a component of the microwave having a large electric field amplitude can be surely prevented from being irradiated from the microwave guiding means to the reaction gas supply passage. As a result, abnormal plasma can be more surely prevented from being generated in the reaction gas supply passage. [0039]
  • In a plasma process device according to any of the above aspects or another aspect of the present invention, the gas inlet hole in the shower plate may be formed penetrating from the upper surface to lower surface of the shower plate, and the gas inlet hole at the lower surface of the shower plate may have a diameter larger than the diameter of the gas inlet hole at the upper surface of the shower plate. [0040]
  • In this case, a cross section of the gas inlet hole may be a shape broader from the upper surface to lower surface of the shower plate. As a result, the reaction gas to be introduced from the gas inlet hole to the processing chamber may be introduced not only in the vertical direction but also in an oblique direction with respect to the lower surface of the shower plate. As a result, the distribution of the reaction gas may be more homogeneous in the processing chamber. As a result, the plasma process can be performed in more uniform conditions. [0041]
  • In a plasma process device according to any of the above aspects or another aspect of the invention, the shower plate may include a plurality of shower plate portions. [0042]
  • In this case, small size shower plate portions may be manufactured using existing manufacturing equipment and combined to form a shower plate having a large area. As a result, a large size shower plate can be readily provided. [0043]
  • Such small size shower plate portions of equal quality can be more readily obtained using existing manufacturing equipment than forming a large size shower plate. As a result, a large shower plate of more equal and good quality may be obtained than forming a large shower plate as an integral form. [0044]
  • In the plasma process device using the shower plate of such a plurality of shower plate portions, if any of shower plate portions is damaged, only the damaged shower plate is replaced, so that the equipment can be readily and quickly repaired. As a result, time and labor required for maintenance of the plasma process device can be reduced. [0045]
  • In a plasma process device according to any of the above aspects or another aspect of the present invention, the isolation distance between a lower surface of the shower plate facing the processing chamber and a conductor wall surface provided opposing an upper surface positioned on the opposite side of the lower surface may be a integral multiple of half a guide wavelength of the microwave (integral multiple of half a wavelength of the microwave in microwave guide means). [0046]
  • Thus, cancellation of microwaves can be prevented, and more homogeneous and efficient plasma excitation can be achieved. [0047]
  • In a plasma process device according to any of the above aspects or another aspect of the present invention, the shower plate may include dielectric. [0048]
  • In this case, the microwave is transmitted through the dielectric, the microwave supplied from the microwave guiding means can be readily transmitted to the processing chamber through the shower plate. [0049]
  • In a plasma process device according to any of the above aspects or another aspect of the present invention, the dielectric is ceramic containing aluminum nitride as a main constituent. [0050]
  • In this case, since aluminum nitride has high thermal conductivity, if the shower plate is locally heated by plasma formed in the processing chamber, the locally applied heat can be quickly diffused to the entire shower plate. As a result, the shower plate can be prevented from being damaged by the local heating. [0051]
  • By using such a material having high thermal conductivity for the shower plate, if a high temperature portion is generated in the processing chamber, the heat of the high temperature portion can be quickly diffused to another region through the shower plate. As a result, the temperature of the processing chamber may be readily equalized. [0052]
  • In a plasma process device according to any of the above aspects or another aspect of the present invention, a vessel member, a pedestal, and a shower plate securing member may be provided. The vessel member may form a processing chamber, and the pedestal may be secured to the vessel member. The shower plate securing member may secure the shower plate by pressing the shower plate to the pedestal. [0053]
  • In this case, if a screw is used for securing the shower plate to the pedestal, a screw hole to receive the screw must be formed in the shower plate of dielectric. The processing using the screw increases the manufacturing cost of the shower plate. In the plasma process device according to the present invention, however, the shower plate is secured to the pedestal by pressing the shower plate to the pedestal, and therefore no screw hole is necessary. As a result, the manufacturing cost of the shower plate may be reduced. [0054]
  • In a plasma process device according to any of the above aspects or another aspect of the present invention, flow rate control means for controlling the flow rate of the reaction gas in the gas inlet hole in the shower plate may be provided. [0055]
  • In this case, the flow rate of the reaction gas supplied to the processing chamber may be adjusted by the flow rate control means, and therefore the plasma process condition in the processing chamber may be readily optimized. [0056]
  • In a plasma process device according to any of the above aspects or another aspect of the present invention, the flow rate control means may include a plug to be inserted into the gas inlet hole in the shower plate. [0057]
  • In this case, the conductance of the reaction gas in the gas inlet hole in the shower plate can be changed by changing the diameter of the plug as the inner diameter of the gas inlet hole is fixed. More specifically, as the gas inlet hole in the shower plate, a hole of a prescribed size is formed. Then, the diameter of the plug is determined to form a gap to serve as a gas passage for the reaction gas between the inner wall of the gas inlet hole and the sidewall of the plug. Thus, the gas inlet hole in the shower plate may be readily machined and at the same time the conductance of the reaction gas in the gas inlet hole in the shower plate may be changed by changing the plug. As a result, the cost of the shower plate may be reduced and processing conditions such as the flow rate of the reaction gas may be readily changed. [0058]
  • In a plasma process device according to any of the above aspects or another aspect of the present invention, the shower plate has a substantially rectangular shape when viewed from the top. [0059]
  • In this case, the plasma process device suitable for processing such as deposition and etching by CVD to a rectangular glass substrate used for a liquid crystal device may be obtained. [0060]
  • In a plasma process device according to any of the above aspects or another aspect of the invention, the microwave guiding means may include a single mode microwave waveguide. [0061]
  • In this case, the microwave can be readily controlled and a stable and homogeneous microwave can be transmitted to the processing chamber. [0062]
  • The foregoing and other objects, features, aspects and advantages of the present invention will become more apparent from the following detailed description of the present invention when taken in conjunction with the accompanying drawings.[0063]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic plan view of a plasma process device according to a first embodiment of the present invention; [0064]
  • FIG. 2 is a schematic cross sectional view taken along line II-II in FIG. 1; [0065]
  • FIG. 3 is a schematic enlarged cross sectional view of a part of the upper lid of a vacuum vessel in the plasma process device shown in FIG. 2; [0066]
  • FIG. 4 is a schematic cross sectional view of a shower plate in a plasma process device according to a second embodiment of the present invention; [0067]
  • FIG. 5 is a schematic side view of the core member shown in FIG. 4; [0068]
  • FIG. 6 is a schematic cross sectional view taken along line VI-VI in FIG. 5; [0069]
  • FIG. 7 is a schematic plan view of a plasma process device according to a third embodiment of the present invention; [0070]
  • FIG. 8 is a schematic cross sectional view taken along line VIII-VIII in FIG. 7; [0071]
  • FIG. 9 is a schematic cross sectional view taken along line IX-IX in FIG. 7; [0072]
  • FIG. 10 is a schematic top plan view of the plasma process device according to the present invention shown in FIG. 7 seen from the top of the lid of the vacuum vessel; [0073]
  • FIG. 11 is a schematic cross sectional view taken along line XI-XI in FIG. 10; [0074]
  • FIG. 12 is a schematic plan view of a plasma process device according to a fourth embodiment of the present invention; [0075]
  • FIG. 13 is a plan view of a plasma process device according to a fifth embodiment of the present invention; [0076]
  • FIG. 14 is a schematic cross sectional view of a plasma process device according to a sixth embodiment of the present invention; [0077]
  • FIG. 15 is a schematic enlarged cross sectional view of the vicinity of a slot plate in FIG. 14; [0078]
  • FIG. 16 is a schematic cross sectional view of a conventional plasma process device; and [0079]
  • FIG. 17 is a schematic perspective view of a radial line slot antenna shown in FIG. 16.[0080]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Embodiments of the present invention will be described in conjunction with the accompanying drawings, in which the same or corresponding portions are denoted with the same reference characters and the description is not provided. [0081]
  • First Embodiment [0082]
  • Referring to FIG. 1, a plasma process device will be described. [0083]
  • Referring to FIG. 1, the plasma process device includes four [0084] waveguides 1 a to 1 d as microwave guiding means to transmit microwaves, and shower plates 3 a to 3 d. Waveguides 1 a to 1 d and shower plates 3 a to 3 d are provided on the upper lid of a vacuum vessel as a vessel member to form a processing chamber as shown in FIG. 2.
  • Referring to FIG. 2, under [0085] waveguides 1 a to 1 d, first dielectric plates 2 a to 2 d serving as a microwave guiding window are formed. First dielectric plates 2 a to 2 d are provided to fill a shower plate holder 5 for holding shower plates 3 a to 3 d. Shower plate holder 5 is formed of conductor such as metal. Shower plates 3 a to 3 d are formed at the lower surface of shower plate holder 5. Shower plates 3 a to 3 d are provided to have their upper surfaces in contact with first dielectric plates 2 a to 2 d, respectively. Shower plates 3 a to 3 d have gas inlet holes 18 (see FIG. 3). Gas inlet holes 18 are connected to gas inlet passages (paths) 4 a to 4 d, and 6 a to 6 d. A substrate 8 is provided on a substrate holder so as to be under shower plates 3 a to 3 d. Substrate 8 is a glass substrate for a liquid crystal display, and has a large size about in the range from 500 mm×500 mm to 1 m×1 m.
  • Referring to FIG. 3, the structure of the shower plate portion of the plasma process device according to the present invention will be described in detail. [0086]
  • Referring to FIG. 3, a [0087] shower plate holder 5 is secured with securing bolts 9 a, 9 b on the upper lid of the vacuum vessel having waveguides 1 a, 1 b. Shower plates 3 a, 3 b are secured to this shower plate holder 5 using securing bolts 10 a, 10 b. Gas inlet paths 4 a to 4 d (see FIG. 2) are formed on the upper lid of the vacuum vessel. Gas inlet path 4 a formed on the upper lid of the vacuum vessel is connected to a gas inlet gap portion 7 a as a reaction gas supply passage through a gas inlet path 6 a formed at shower plate holder 5. Gas inlet gap portion 7 a includes an upper surface of shower plate 3 a and a lower surface of shower plate holder 5 as a conductor wall surface at its wall surface. Gas inlet gap portion 7 a is connected to gas inlet holes 18 formed at shower plate 3 a. A reaction gas supplied from gas inlet path 4 a reaches gas inlet gap portion 7 a through gas inlet path 6 a. The reaction gas is then let through gas inlet holes 18 from gas inlet gap portion 7 a into the processing chamber to form plasma such that the reaction gas is uniformly distributed. Note that the other gas inlet paths 4 e, 4 f, 6 e, 6 f and gas inlet gap portions 7 b, 7 c as shown have the same structures as described above.
  • First [0088] dielectric plates 2 a, 2 b are provided under waveguides 1 a, 1 b as described above. Shower plates 3 a, 3 b are provided under first dielectric plates 2 a, 2 b. A microwave is transmitted from waveguides 1 a, 1 b to shower plates 3 a, 3 b through first dielectric plates 2 a, 2 b. In this case, first dielectric plates 2 a, 2 b are preferably made of Al2o3 which transmits a microwave in a high transmittance, and is easily machinable and available. The microwave transmitted to shower plates 3 a, 3 b through first dielectric plates 2 a, 2 b is irradiated upon the inside of the processing chamber from the region under dielectric plates 2 a, 2 b and plasma is generated at the lower surface of shower plates 3 a, 3 b. Since the microwave cannot be propagated deeply inside the plasma, excess microwave components propagate transversely through shower plates 3 a, 3 b. As a result, the microwave will be irradiated to the inside of the processing chamber from the entire lower surfaces of shower plates 3 a, 3 b. The thus irradiated microwave excites the reaction gas introduced into the processing chamber from gas inlet hole 18, which results in plasma substantially uniformly distributed over the entire surface of substrate 8 (see FIG. 2). Using this plasma, a processing such as deposition, etching and ashing may be performed on substrate 8.
  • Here, the conductor such as metal forming [0089] shower plate holder 5 does not transmit the microwave. As described above, gas inlet gap portion 7 a includes an upper surface of shower plate 3 a and a lower surface of shower plate holder 5 at its sidewall as described above, of the microwave transmitted from waveguide 1 a as the microwave guiding means to the processing chamber, a component having a large electric field amplitude can be prevented from being guided into gas inlet gap portion 7 a. As a result, generation of plasma from the reaction gas caused by the microwave in gas inlet gap portion 7 a (abnormal plasma generation) can be prevented. Thus, the wall surface of gas inlet gap portion 7 a, in other words, the upper surface of shower plate 3 a or the like can be prevented from being damaged by the plasma.
  • Furthermore, since the abnormal plasma can be prevented from being generated in [0090] gas inlet gap 7 a, the pressure of the reaction gas in gas inlet gap portion 7 a can be set at a lower level than the conventional level. As a result, the difference between the pressure of the reaction gas in gas inlet gap portion 7 a and the pressure of the reaction gas in the processing chamber can be reduced, then the conductance of the reaction gas in gas inlet hole 18 in shower plate 3 a can be larger than the conventional device. As a result, the size of gas inlet hole 18 in shower plate 3 a can be increased compared to the conventional device, and therefore high precision machining required by the conventional device in processing gas inlet hole 18 is no longer necessary. This allows the manufacturing cost of shower plate 3 a to be reduced.
  • Since the difference between the pressure of the reaction gas in gas [0091] inlet gap portion 7 a and the pressure of the reaction gas in the processing chamber can be reduced, process conditions such as the components of the reaction gas can be adjusted more easily than the conventional device. The use of the shower plates allows the reaction gas to be uniformly supplied in the processing chamber, so that homogeneous plasma may be obtained.
  • Also as described above, a shower plate having a large area can be readily formed using [0092] shower plates 3 a to 3 d as the shower plate portion. As a result, a large size substrate can be readily constructed.
  • The region positioned under the opening portion of [0093] waveguides 1 a to 1 d as the microwave guiding means serves as a transmission path for the microwave, and gas inlet gap portions 7 a to 7 c are formed on the upper surfaces of shower plates 3 a, 3 b in a region other than the region positioned under the opening portion under these waveguides 1 a, 1 b.
  • In this case, the transmission path for the microwave and gas [0094] inlet gap portions 7 a to 7 c can be located so as not to overlap one another. As a result, a component of the microwave having a large electric field amplitude can be prevented from being irradiated upon gas inlet gap portions 7 a to 7 c, so that generation of plasma in gas inlet gap portions 7 a to 7 c caused by the irradiation of the microwave upon the reaction gas can be prevented. As a result, the wall surface or the like of gas inlet gap portions 7 a to 7 c can be prevented from being damaged by such plasma, while the manufacturing cost of shower plates 3 a to 3 d can be reduced as well, and a large size substrate can be readily coped with.
  • As described above, in the transmission path from [0095] waveguides 1 a through first dielectric plates 2 a to 2 d and shower plates 3 a to 3 d, the microwave is transmitted into the processing chamber from waveguides 1 a to 1 d (see FIG. 2). Gas inlet gap portion 7 a to 7 c are formed in a region other than the transmission path on shower plates 3 a, 3 b.
  • Therefore, the microwave can be prevented from being irradiated upon gas [0096] inlet gap portions 7 a to 7 c, so that the wall surface or the like can be prevented from being damaged by the plasma, while the manufacturing cost of shower plates 3 a to 3 d can be reduced as described above, and a large size substrate can be readily coped with.
  • In the plasma process device according to the present invention, gas [0097] inlet gap portions 7 a to 7 c are isolated from waveguides 1 a, 1 b as the microwave guiding means by shower plate holder 5 as conductor.
  • Thus, of the microwave let into the processing chamber from [0098] waveguides 1 a, 1 b, a component having a large electric field amplitude can be prevented from being irradiated upon gas inlet gap portions 7 a to 7 c. As a result, the wall surface or the like of gas inlet gap portions 7 a to 7 c can be prevented from being damaged by the plasma, while the manufacturing cost of shower plates 3 a to 3 d can be reduced as described above, and a large size substrate can be readily coped with.
  • The shower plate is formed by combining a plurality of small [0099] size shower plates 3 a to 3 d, and such small size shower plates 3 a to 3 d can be formed using existing equipment. As a result, the manufacturing cost of the shower plates can be further reduced.
  • When a large size shower plate corresponding to a large size substrate is formed in an integral form, a large size heating furnace corresponding to the size of such a shower plate must be used. In such large equipment, however, the distribution of the heating temperature for the shower plate can be hardly uniformly maintained. As a result, the quality of manufactured shower plates can be hardly equal. According to the present invention, however, since relatively small [0100] size shower plates 3 a to 3 d are used, such a problem can be avoided.
  • Since small size, separate shower plates such as [0101] shower plates 3 a to 3 d are used, if any of shower plates 3 a to 3 d is damaged, the damaged shower plate has only to be replaced. As a result, the maintenance and examination operation for the plasma process device can be more readily performed than the case of using an integral type shower plate.
  • In this case, [0102] shower plates 3 a to 3 d are preferably formed of aluminum nitride (AIN). Since aluminum nitride has high thermal conductivity, if shower plates 3 a to 3 d are locally heated, the locally applied heat can be quickly diffused to other part. Therefore, shower plates 3 a to 3 d can be prevented from being damaged by such local heat. The use of a material with high thermal conductivity for shower plates 3 a to 3 d permits the temperature of the processing chamber to be made homogeneous over the entire surface of substrate 8. As a result, the plasma process conditions can be more equalized over the entire surface of substrate 8.
  • The two-dimensional configuration of the shower plate portion formed by [0103] shower plates 3 a to 3 d is rectangular as shown in FIG. 1, the plasma process device according to the present invention can be readily applied to a rectangular glass substrate used for example for a liquid crystal display.
  • The use of a single mode microwave waveguide as [0104] waveguides 1 a to 1 d permits a microwave to be readily controlled and a stable and homogeneous microwave can be transmitted into the processing chamber.
  • [0105] Gas inlet hole 18 has a substantially circular cross section in the horizontal direction and is formed such that the diameter of gas inlet hole 18 at the lower surface of shower plates 3 a to 3 d is larger than the diameter of gas inlet hole 18 at the upper surface of shower plates 3 a to 3 d. Therefore, the reaction gas introduced from gas inlet 18 into the reaction chamber is introduced not only in the direction substantially vertical to the lower surface of shower plates 3 a to 3 d but also in a direction oblique to the lower surface. Thus, if for example the plasma process device shown in FIG. 1 is used for plasma CVD, the quality and thickness of a CVD film formed on the surface of substrate 8 can be more equalized over the entire surface of substrate 8.
  • Note that [0106] shower plate holder 5 is an integral metallic holder herein, but the shower plate holder itself may be divided into four parts corresponding to shower plates 3 a to 3 d. When shower plate holder 5 itself is divided, attachment between shower plates 3 a to 3 d and shower plate holder 5, the attachment state between shower plates 3 a to 3 d and shower plate holder 5 or the state of gas inlet gap portions 7 a to 7 c may be examined as they are removed from the plasma process device (in an off line state). As a result, the plasma process device can be maintained/examined with reduced time and labor.
  • Second Embodiment [0107]
  • Referring to FIG. 4, the shower plate will be described. [0108]
  • Referring to FIG. 4, [0109] core members 12 a, 12 b which are plugs as flow rate control means are provided at the gas inlet hole of shower plate 3. A spacer 11 to keep the height of gas inlet gap portion 7 at a prescribed level is provided at an upper surface of shower plate 3. The structure of core member 12 a provided at the gas inlet hole will be described in conjunction with FIG. 5.
  • Referring to FIG. 5, [0110] core member 12 a includes a rod portion 13 a and a nut portion 14 a. Rod portion 13 a and nut portion 14 a can be isolated, and the upper part of rod portion 13 a serves as a male screw and nut portion 14 a serves as a female screw. After rod portion 14 a is inserted into the gas inlet of shower plate 3, nut portion 14 a is engaged into the upper part of rod portion 13 a from the upper surface of shower plate 3, and thus core member 12 a can be secured to the gas inlet hole of shower plate 3. At this time, core member 12 a is in contact with shower plate 3 at rod abutment portions 15 a, 15 b and nut abutment portions 16 a, 16 b. Note that grooves to serve as a passage for a reaction gas are formed at the surface of shower plate 3 which nut abutment portions 16 a, 16 b or nut abutment portions 16 a, 16 b are in contact with.
  • The use of these [0111] core members 12 a, 12 b permits the conductance of the reaction gas in the gas inlet hole to be readily controlled by adjusting the size of rod abutment portions 15 a, 15 b and nub abutment portions 16 a, 16 b.
  • Also, the use of [0112] such core members 12 a, 12 b permits maintenance operations such as cleaning of clogging in the gas inlet holes to be readily performed after these core members 12 a and 12 b are removed. As a result, time and labor required for maintenance of shower plate 3 can be reduced.
  • As shown in FIG. 6, notch surfaces [0113] 17 a, 17 b may be formed on the side surface of rod portion 13 a. Such notch surfaces 17 a, 17 b permits the conductance of the reaction gas in the gas inlet hole to be readily changed by changing the notch depth of notch surfaces 17 a, 17 b.
  • If the diameters of the gas inlet holes of [0114] shower plates 3 are set equal, and a plurality of core members 12 a, 12 b are prepared, the conductance of the reaction gas in the gas inlet holes in shower plate 3 can be readily changed by replacing core members 12 a, 12 b. As a result, the manufacturing cost of the plasma process device can be lower than the case of preparing a plurality of shower plates 3 having different diameters for the gas inlet holes.
  • Furthermore, as compared to the case of forming a gas inlet hole having a small diameter to obtain necessary conductance, the use of the gas inlet hole having a size large enough to insert [0115] core members 12 a, 12 b can reduce the manufacturing cost of the shower plate because fine machining is not necessary.
  • Third Embodiment [0116]
  • FIG. 7 is a schematic plan view of a plasma process device according to the present invention showing the bottom surface of [0117] shower plates 3 a to 3 d as viewed from the bottom side of the shower plate. Referring to FIG. 7, the plasma process device will be described.
  • Referring to FIG. 7, the four [0118] divisional shower plates 3 a to 3 d are provided on the upper lid 23 of the vacuum vessel as the vessel member for the plasma process device. Shower plates 3 a to 3 d have gas inlet holes 18 to supply a reaction gas into the processing chamber. At the outer peripheral portion of shower plates 3 a to 3 d, there is a shower plate securing member 19 to secure these shower plates 3 a to 3 d to shower plate holder 5 (see FIG. 8) as a pedestal. A cross sectional structure of the plasma process device will be described in conjunction with FIG. 8.
  • Referring to FIG. 8, a [0119] substrate 8 is provided on a substrate holder 20 in a vacuum vessel 21 as a processing chamber. Exhaust holes 22 are formed at the bottom of vacuum vessel 21. Exhaust holes 22 are connected to an exhaust pump 25 (see FIG. 14) to let out the part of a reaction gas which has not contributed to the plasma process and a gas generated by plasma process.
  • Note however that in the plasma process device as shown in FIGS. 7 and 8, [0120] shower plates 3 a to 3 d are secured to shower plate holder 5 using a shower plate securing member 19. Shower plate securing member 19 has a support portion extending to the lower surface of shower plates 3 a to 3 d at the outer periphery of shower plates 3 a to 3 d. Shower plates 3 a to 3 d are supported by the support portion. Shower plate securing member 19 is secured to shower plate holder 5 with a screw or the like. Shower plate securing member 19 presses the outer peripheral portion of shower plates 3 a to 3 d to shower plate holder 5 by the support portion, so that shower plates 3 a to 3 d are secured to shower plate holder 5. The other structure is the same as that of the plasma process device according to the first embodiment of the present invention as shown in FIGS. 1 to 3.
  • Thus, the process of forming grooves for screws to shower [0121] plates 3 a to 3 d is not necessary. As a result, the manufacturing cost of shower plates 3 a to 3 d may be reduced.
  • In the plasma process device shown in FIG. 8, gas inlet holes [0122] 18 in shower plates 3 a to 3 d are formed in the region other than under first dielectric plates 2 a, 2 b. As a result, gas inlet gap portions 7 a to 7 c may be surely formed in the region other than the plasma transmission path, so that abnormal plasma can be surely prevented from being generated in gas inlet gap portions 7 a to 7 c.
  • As can be seen from FIG. 9, first [0123] dielectric plate 2 a is formed to extend along the direction in which waveguide 1 a extends. As shown, shower plate 3 c is secured to shower plate holder 5 using shower plate securing member 19 similarly to shower plates 3 a and 3 b.
  • Referring to FIGS. 10 and 11, a microwave is supplied from [0124] waveguides 1 a, 1 b from a waveguide 24. More specifically, the microwave introduced from the upper part of the surface of the sheet to waveguide 24 in FIG. 10 branch to the left and right toward waveguides 1 a and 1 b as shown in FIG. 10, and are then supplied from the central portions of waveguides 1 a and 1 b to their end portions.
  • Fourth Embodiment [0125]
  • FIG. 12 is a schematic plan view of a plasma process device showing the upper lid of the vacuum vessel as viewed from the lower surface of the shower plate of the plasma process device similarly to FIG. 7. [0126]
  • Referring to FIG. 12, the plasma process device has basically the same structure as the plasma process device as shown in FIG. 7 except that the shower plate portion is divided into two [0127] shower plates 3 a, 3 b. Also in this case, the same effect as that of the plasma process device according to the third embodiment of the present invention may be provided.
  • Fifth Embodiment [0128]
  • FIG. 13 is a schematic plan view of a plasma process device showing the upper lid of a vacuum vessel viewed from the lower surface of the shower plate of the plasma process device similarly to FIG. 12. [0129]
  • Referring to FIG. 13, the plasma process device basically has the same structure as that shown in FIG. 7 except that in the plasma process device shown in FIG. 13, an integral [0130] type shower plate 3 a is used as a shower plate. In this case, the number of shower plate securing members 19 may be smaller than the case of using a separate type shower plate portion. As a result, the process of mounting shower plate 3 a to shower plate holder 5 may be simplified.
  • Note that the structure of the [0131] upper lid 23 of the vacuum vessel from shower plate 3 a to waveguides 1 a, 1 b is basically the same as the plasma process device shown in FIG. 7. Thus, similarly to the first embodiment, abnormal plasma may be prevented from being generated in a gas inlet gap portion positioned on shower plate 3 a. As a result, the difference between the pressure of a reaction gas in the gas inlet gap portion and the pressure of the reaction gas in a processing chamber may be reduced, so that the size of gas inlet holes 18 in shower plate 3 a may be larger than the conventional device. Therefore, the process of forming gas inlet holes 18 does not require high precision processing as required by the conventional device, which reduces the manufacturing cost of shower plate 3 a. Furthermore, similarly to the first embodiment, process conditions such as the composition of the reaction gas may be more readily adjusted than the conventional device.
  • Sixth Embodiment [0132]
  • Regarding a device according to a sixth embodiment of the present invention, a schematic plan view thereof and a part of the structure are basically the same as those of the first embodiment. [0133]
  • Referring to FIGS. 14 and 15, the device guides a microwave into a processing chamber using a single mode waveguide similarly to the first embodiment, but an H-plane slot antenna is used for the inlet portion. [0134]
  • The H-plane slot antenna refers to an antenna having slots at longer side ends in a cross section of the waveguide and irradiating microwaves from the slots. The distribution of electromagnetic fields in the longer side direction (in the direction parallel to the H-plane) of the single mode waveguide is dissymmetrical (1) with respect to a plane through the center of the longer side (a plane perpendicular to the longer side and parallel to the shorter side), and is inverted (2) for every half a wavelength in the propagating direction of the electromagnetic fields (relative to the guide wavelength in the waveguide, which will be omitted in the following description). As a result, if slots are formed alternately on the right and left with respect to the center of the longer side in the propagating direction of the electromagnetic fields, the phases of the microwaves radiated from the slots can be all in phase by the combined effect of (1) and (2). [0135]
  • Normally, a microwave radiated from a waveguide is inverted for every half a wavelength, and therefore there formed a space to cancel microwaves with one another for every half a wavelength. However, the use of the H-plane antenna permits the radiation from all the slots to be in phase, and such cancellation of microwaves will not be caused. More specifically, more homogeneous and efficient plasma excitation is enabled. [0136]
  • More specifically, [0137] slot plates 26 a to 26 d are formed under the longer sides of waveguides 1 a to id. Note that in the cross section shown, slots 25 are mainly provided in the downward direction on the surface of the sheet (in the direction of arrow 600), in a cross section taken at a position shifted for half a wavelength in the direction vertical to the surface of the sheet (in the propagating direction of the electromagnetic waves), the slots are positioned symmetrically with respect to the center of the longer side of waveguides 1 a to 1 d (at positions shifted in the upward direction of the surface of the sheet (opposite direction of arrow 600) under the waveguide).
  • According to this embodiment, dielectric also fills [0138] waveguides 1 a to 1 d. This is for the purpose of reducing the distance between the right and left slots 25 alternately provided to reduce asymmetry characteristic caused by thus alternately providing them. If high frequency microwaves are used, the size of the waveguide itself is small, and therefore dielectric does not have to fill the waveguide.
  • [0139] Slots 25 are filled with dielectric according to this embodiment. This is for the purpose of restraining abnormal discharge which could be generated in the vicinity of slots 25. Also in this case, if countermeasure is taken against such possible abnormal discharge, dielectric does not have to be used for filling the slots.
  • If the microwave propagation in [0140] shower plates 3 a to 3 d is not surface wave propagation (in the direction parallel to the lid 23 of the vacuum vessel), and is excited in a mode in the direction from the right to left on the surface of the sheet (in the direction vertical to the upper lid 23 of the vacuum vessel), the thickness of shower plates 3 a to 3 d (the distance from each of dielectric plates 26 a to 26 d to the plasma excitation plane at positions of first dielectric plates 2 a to 2 d) may be set to satisfy a resonance condition to the direction from the right to left on the surface of the sheet, so that the excitation can be performed most efficiently. More specifically, the thickness needs only be set to an integral multiple of half a wavelength of the microwave. Strictly speaking, the guide wavelength must be used here, but the free space wavelength of the microwave (though the dielectric constant should be considered if dielectric is used for filling) may be used for designing as first-order approximation, because the propagation space is large enough.
  • The other structure of the embodiment is basically the same as that of the first embodiment described above, and therefore the same members are denoted with the same reference characters and the description is not provided. [0141]
  • According to this embodiment, the isolation distance between the lower surface of each of [0142] shower plates 3 a to 3 d facing the processing chamber and the conductor wall surface of upper lid 23 provided opposing the upper surface positioned on the opposite side of the lower surface is an integral multiple of half a wavelength of the guide wavelength of the microwave. Therefore, cancellation of microwaves radiated from slots 25 can be prevented, so that more homogeneous and efficient plasma excitation can be performed.
  • Note that in the first to fifth embodiments described above, the isolation distance between the lower surface of the shower plate and the conductor wall surface of the upper lid is an integral multiple of half a wavelength of the guide wavelength of the microwave, so that plasma excitation can be most efficiently performed. [0143]
  • Note that in the plasma process device according to the present invention, in place of the structure in which [0144] substrate 8 is placed horizontally according to the first to sixth embodiments, substrate 8 may be placed upright. Shower plates 3 a to 3 d may be provided on the side wall of the processing chamber (on the sidewall of vacuum vessel 21) depending upon the placement of substrate 8.
  • Although the present invention has been described and illustrated in detail, it is clearly understood that the same is by way of illustration and example only and is not to be taken by way of limitation, the spirit and scope of the present invention being limited only by the terms of the appended claims. [0145]

Claims (43)

What is claimed is:
1. A plasma process device, comprising:
a processing chamber for performing a processing using plasma;
microwave guiding means for guiding a microwave into said processing chamber;
a shower plate having a gas inlet hole for supplying to said processing chamber a reaction gas attaining a plasma state by said microwave, said shower plate having a lower surface facing said processing chamber and an upper surface positioned on the opposite side of the lower surface; and
a reaction gas supply passage positioned on the upper surface of said shower plate to supply said reaction gas to said gas inlet hole,
a wall surface of said reaction gas supply passage including an upper surface of said shower plate and a conductor wall surface provided opposing the upper surface.
2. The plasma process device according to
claim 1
, wherein
the gas inlet hole in said shower plate is formed penetrating from the upper surface to lower surface of said shower plate, and
said gas inlet hole at the lower surface of said shower plate has a diameter larger than the diameter of said gas inlet hole at the upper surface of said shower plate.
3. The plasma process device according to
claim 1
, wherein
said shower plate includes a plurality of shower plate portions.
4. The plasma process device according to
claim 1
, wherein
the isolation distance between the lower surface of said shower plate facing said processing chamber and the conductor wall surface provided opposing the upper surface on the opposite side of the lower surface is an integral multiple of half a guide wavelength of said microwave.
5. The plasma process device according to
claim 1
, wherein
said shower plate includes dielectric.
6. The plasma process device according to
claim 5
, wherein
said dielectric is ceramic containing aluminum nitride as a main constituent.
7. The plasma process device according to
claim 1
, further comprising:
a vessel member forming said processing chamber;
a pedestal secured to said vessel member; and
a shower plate securing member to secure said shower plate to said pedestal by pressing said shower plate to said pedestal.
8. The plasma process device according to
claim 1
, further comprising flow rate control means for controlling the flow rate of the reaction gas in the gas inlet hole in said shower plate.
9. The plasma process device according to
claim 8
, wherein
said flow rate control means includes a plug inserted to the gas inlet hole in said shower plate.
10. The plasma process device according to
claim 1
, wherein
said microwave guiding means includes a single mode microwave waveguide.
11. A plasma process device, comprising:
a processing chamber for performing a processing using plasma;
microwave guiding means formed on said processing chamber and having an opening to guide a microwave into said processing chamber;
a shower plate positioned between said processing chamber and said microwave guiding means and having a gas inlet hole for supplying to said processing chamber a reaction gas attaining a plasma state by said microwave; and
a reaction gas supply passage formed on said shower plate in a region other than a region positioned under the opening of said microwave guiding means for supplying said reaction gas to said gas inlet hole.
12. The plasma process device according to
claim 11
, wherein
said shower plate has a lower surface facing said processing chamber and an upper surface positioned on the opposite side of the lower surface, and
a wall surface of said reaction gas supply passage includes an upper surface of said shower plate and a conductor wall surface positioned opposing the upper surface.
13. The plasma process device according to
claim 12
, wherein
the gas inlet hole in said shower plate is formed penetrating from the upper surface to lower surface of said shower plate, and
said gas inlet hole at the lower surface of said shower plate has a diameter larger than the diameter of said gas inlet hole at the upper surface of said shower plate.
14. The plasma process device according to
claim 11
, wherein
said shower plate includes a plurality of shower plate portions.
15. The plasma process device according to
claim 11
, wherein
the isolation distance between a lower surface of said shower plate facing said processing chamber and a conductor wall surface provided opposing an upper surface positioned on the opposite side of the lower surface is an integral multiple of half a guide wavelength of said microwave.
16. The plasma process device according to
claim 11
, wherein
said shower plate includes dielectric.
17. The plasma process device according to
claim 16
, wherein
said dielectric is ceramic containing aluminum nitride as a main constituent.
18. The plasma process device according to
claim 11
, further comprising:
a vessel member forming said processing chamber;
a pedestal secured to said vessel member; and
a shower plate securing member to secure said shower plate to said pedestal by pressing said shower plate to said pedestal.
19. The plasma process device according to
claim 11
, further comprising flow rate control means for controlling the flow rate of the reaction gas in the gas inlet hole in said shower plate.
20. The plasma process device according to
claim 19
, wherein
said flow rate control means includes a plug inserted to the gas inlet hole in said shower plate.
21. The plasma process device according to
claim 11
, wherein
said microwave guiding means includes a single mode microwave waveguide.
22. A plasma process device, comprising:
a processing chamber for performing a processing using plasma;
microwave guiding means for guiding a microwave into said processing chamber;
a shower plate having a gas inlet hole for supplying to said processing chamber a reaction gas attaining a plasma state by said microwave; and
a reaction gas supply passage positioned on said shower plate and formed in a region other than the transmission path of the microwave guided into said processing chamber by said microwave guiding means for supplying said reaction gas to said gas inlet hole.
23. The plasma process device according to
claim 22
, wherein
said shower plate has a lower surface facing said processing chamber and an upper surface positioned on the opposite side of the lower surface, and
a wall surface of said reaction gas supply passage includes an upper surface of said shower plate and a conductor wall surface provided opposing the upper surface.
24. The plasma process device according to
claim 23
, wherein
the gas inlet hole in said shower plate is formed penetrating from the upper surface to lower surface of said shower plate, and
said gas inlet hole at the lower surface of said shower plate has a diameter larger than the diameter of said gas inlet hole at the upper surface of said shower plate.
25. The plasma process device according to
claim 22
, wherein
said shower plate includes a plurality of shower plate portions.
26. The plasma process device according to
claim 22
, wherein
the isolation distance between a lower surface of said shower plate facing said processing chamber and a conductor wall surface provided opposing an upper surface positioned on the opposite side of the lower surface is an integral multiple of half a guide wavelength of the microwave.
27. The plasma process device according to
claim 22
, wherein
said shower plate includes dielectric.
28. The plasma process device according to
claim 27
, wherein
said dielectric is ceramic containing aluminum nitride as a main constituent.
29. The plasma process device according to
claim 22
, further comprising,
a vessel member forming said processing chamber;
a pedestal secured to said vessel member; and
a shower plate securing member to secure said shower plate to said pedestal by pressing said shower plate to said pedestal.
30. The plasma process device according to
claim 22
, further comprising flow rate control means for controlling the flow rate of the reaction gas in the gas inlet hole in said shower plate.
31. The plasma process device according to
claim 30
, wherein
said flow rate control means includes a plug inserted into the gas inlet hole in said shower plate.
32. The plasma process device according to
claim 22
, wherein
said microwave guiding means includes a single mode microwave waveguide.
33. A plasma process device, comprising:
a processing chamber for performing a processing using plasma;
microwave guiding means for guiding a microwave into said processing chamber;
a shower plate having a gas inlet hole for supplying to said processing chamber a reaction gas attaining a plasma state by said microwave; and
a reaction gas supply passage positioned on said shower plate and isolated from said microwave guiding means by a conductor for supplying said reaction gas to said gas inlet hole.
34. The plasma process device according to
claim 33
, wherein
said shower plate has a lower surface facing said processing chamber and an upper surface positioned on the opposite side of the lower surface, and
a wall surface of said reaction gas passage includes an upper surface of said shower plate and a conductor wall surface positioned opposing the upper surface.
35. The plasma process device according to
claim 34
, wherein
the gas inlet hole in said shower plate is formed penetrating from the upper surface to lower surface of said shower plate, and
said gas inlet hole at the lower surface of said shower plate has a diameter larger than the diameter of said gas inlet hole at the upper surface of said shower plate.
36. The plasma process device according to
claim 33
, wherein
said shower plate includes a plurality of shower plate portions.
37. The plasma process device according to
claim 33
, wherein
the isolation distance between a lower surface of said shower plate facing said processing chamber and a conductor wall surface provided opposing an upper surface positioned on the opposite side of the lower surface is an integral multiple of half a guide wavelength of said microwave.
38. The plasma process device according to
claim 33
, wherein
said shower plate includes dielectric.
39. The plasma process device according to
claim 38
, wherein
said dielectric is ceramic containing aluminum nitride as a main constituent.
40. The plasma process device according to
claim 33
, further comprising:
a vessel member forming said processing chamber;
a pedestal secured to said vessel member; and
a shower plate securing member to secure said shower plate to said pedestal by pressing said shower plate to said pedestal.
41. The plasma process device according to
claim 33
, further comprising flow rate control means for controlling the flow rate of the reaction gas in the gas inlet hole in said shower plate.
42. The plasma process device according to
claim 41
, wherein
said flow rate control means includes a plug inserted into the gas inlet hole in said shower plate.
43. The plasma process device according to
claim 33
, wherein
said microwave guiding means includes a single mode microwave waveguide.
US09/925,572 1999-05-31 2001-08-09 Plasma process device Expired - Lifetime US6446573B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/925,572 US6446573B2 (en) 1999-05-31 2001-08-09 Plasma process device

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP11-151799(P) 1999-05-31
JP15179999 1999-05-31
JP11-151799 1999-05-31
JP11-339785 1999-11-30
JP33978599A JP3668079B2 (en) 1999-05-31 1999-11-30 Plasma process equipment
US09/583,161 US6286454B1 (en) 1999-05-31 2000-05-30 Plasma process device
US09/925,572 US6446573B2 (en) 1999-05-31 2001-08-09 Plasma process device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/583,161 Division US6286454B1 (en) 1999-05-31 2000-05-30 Plasma process device

Publications (2)

Publication Number Publication Date
US20010052322A1 true US20010052322A1 (en) 2001-12-20
US6446573B2 US6446573B2 (en) 2002-09-10

Family

ID=26480924

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/583,161 Expired - Lifetime US6286454B1 (en) 1999-05-31 2000-05-30 Plasma process device
US09/925,572 Expired - Lifetime US6446573B2 (en) 1999-05-31 2001-08-09 Plasma process device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/583,161 Expired - Lifetime US6286454B1 (en) 1999-05-31 2000-05-30 Plasma process device

Country Status (4)

Country Link
US (2) US6286454B1 (en)
JP (1) JP3668079B2 (en)
KR (1) KR100362869B1 (en)
TW (1) TW476811B (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050109279A1 (en) * 2003-11-07 2005-05-26 Shimadzu Corporation Surface wave excitation plasma CVD system
US7115184B2 (en) 2001-03-28 2006-10-03 Tadahiro Ohmi Plasma processing device
US20060238132A1 (en) * 2005-03-30 2006-10-26 Tokyo Electron Limited Plasma processing apparatus and method
US20070034157A1 (en) * 2002-12-05 2007-02-15 Yukihiko Nakata Plasma processing apparatus and plasma processing method
US20070090301A1 (en) * 2005-10-21 2007-04-26 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
US20070221623A1 (en) * 2006-03-24 2007-09-27 Tokyo Electron Limited Plasma processing apparatus and method
US20070227661A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Microwave plasma processing apparatus, method for manufacturing microwave plasma processing apparatus and plasma processing method
US20070289533A1 (en) * 2006-06-14 2007-12-20 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
US20090025632A1 (en) * 2004-04-30 2009-01-29 Arthur Buechel Vacuum treatment installation for the production of a disk-shaped workpiece based on a dielectric substrate
US20110256315A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Showerhead assembly with gas injection distribution devices
CN109643849A (en) * 2016-08-26 2019-04-16 夏普株式会社 The manufacturing method of scanning antenna and scanning antenna
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US11692268B2 (en) * 2004-04-12 2023-07-04 Applied Materials, Inc. Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition

Families Citing this family (386)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000074127A1 (en) * 1999-05-26 2000-12-07 Tokyo Electron Limited Plasma process device
JP3668079B2 (en) * 1999-05-31 2005-07-06 忠弘 大見 Plasma process equipment
JP3645768B2 (en) * 1999-12-07 2005-05-11 シャープ株式会社 Plasma process equipment
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP5138131B2 (en) * 2001-03-28 2013-02-06 忠弘 大見 Microwave plasma process apparatus and plasma process control method
JP5079949B2 (en) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 Processing apparatus and processing method
JP4782316B2 (en) * 2001-06-29 2011-09-28 東京エレクトロン株式会社 Processing method and plasma apparatus
US7103443B2 (en) * 2001-06-29 2006-09-05 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003045699A (en) * 2001-08-01 2003-02-14 Tokyo Electron Ltd Plasma processing device
JP3689354B2 (en) 2001-08-06 2005-08-31 シャープ株式会社 Plasma process equipment
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100760291B1 (en) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
NO20015735D0 (en) * 2001-11-23 2001-11-23 Thin Film Electronics Asa underlayer
JP2003197615A (en) * 2001-12-26 2003-07-11 Tokyo Electron Ltd Plasma treatment apparatus and method for cleaning the same
JP4020679B2 (en) * 2002-04-09 2007-12-12 シャープ株式会社 Plasma process equipment
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
JP4338355B2 (en) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 Plasma processing equipment
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US20050000446A1 (en) * 2003-07-04 2005-01-06 Yukihiko Nakata Plasma processing apparatus and plasma processing method
US8136479B2 (en) 2004-03-19 2012-03-20 Sharp Kabushiki Kaisha Plasma treatment apparatus and plasma treatment method
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
JP2006128000A (en) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd Plasma treatment device
KR20060076714A (en) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus
JP3984639B2 (en) * 2005-03-30 2007-10-03 松下電器産業株式会社 Transmission line
JP4858801B2 (en) * 2005-04-27 2012-01-18 株式会社エスイー Thin film forming equipment using microwave surface wave oxygen plasma
TW200640301A (en) * 2005-05-12 2006-11-16 Shimadzu Corp Surface wave plasma processing apparatus
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
JP5213150B2 (en) 2005-08-12 2013-06-19 国立大学法人東北大学 Plasma processing apparatus and product manufacturing method using plasma processing apparatus
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
JP2007191792A (en) * 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
JP5069427B2 (en) * 2006-06-13 2012-11-07 北陸成型工業株式会社 Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the same
JP5463536B2 (en) * 2006-07-20 2014-04-09 北陸成型工業株式会社 Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
KR20090018290A (en) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 Deposition apparatus
US20090133631A1 (en) * 2007-11-23 2009-05-28 Applied Materials Inc. Coating device and method of producing an electrode assembly
US8876024B2 (en) * 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
FR2930561B1 (en) * 2008-04-28 2011-01-14 Altatech Semiconductor DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE.
JP5213530B2 (en) * 2008-06-11 2013-06-19 東京エレクトロン株式会社 Plasma processing equipment
JP5520455B2 (en) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 Plasma processing equipment
CN105755451A (en) * 2008-10-24 2016-07-13 应用材料公司 Multiple gas feed apparatus and method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101074810B1 (en) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 Vapor deposition apparatus providing improved carrier gas supplying structure and the OLED manufacturing method using the same
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9543123B2 (en) 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6144902B2 (en) * 2012-12-10 2017-06-07 東京エレクトロン株式会社 Microwave radiation antenna, microwave plasma source, and plasma processing apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6356415B2 (en) 2013-12-16 2018-07-11 東京エレクトロン株式会社 Microwave plasma source and plasma processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
DE102015101461A1 (en) * 2015-02-02 2016-08-04 Aixtron Se Device for coating a large-area substrate
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7008497B2 (en) * 2017-12-22 2022-01-25 東京エレクトロン株式会社 Substrate processing equipment and temperature control method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (en) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 Thin Film Processing Appartus and Method
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US20220223384A1 (en) * 2021-01-14 2022-07-14 Samsung Electronics Co., Ltd. Apparatus for manufacturing a semiconductor device
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0754759B2 (en) * 1987-04-27 1995-06-07 日本電信電話株式会社 Plasma processing method and apparatus, and mode converter for plasma processing apparatus
US5122431A (en) * 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JP3074852B2 (en) 1991-09-27 2000-08-07 日新電機株式会社 Thin film forming equipment
WO2004089046A1 (en) * 1991-11-05 2004-10-14 Nobumasa Suzuki Microwave introducing apparatus having endless ring-like waveguide, and plasma processing equipment provided with the same
JPH065549A (en) 1992-06-19 1994-01-14 Kobe Steel Ltd Ecr plasma ion generation device
JPH0649647A (en) 1992-07-29 1994-02-22 Hitachi Ltd Microwave plasma treating device
JPH0649657A (en) 1992-07-31 1994-02-22 I N R Kenkyusho:Kk Discharge coating material electrode
JP3132599B2 (en) 1992-08-05 2001-02-05 株式会社日立製作所 Microwave plasma processing equipment
JP3085021B2 (en) 1993-05-21 2000-09-04 株式会社日立製作所 Microwave plasma processing equipment
JPH07130494A (en) 1993-11-01 1995-05-19 Canon Inc Microwave plasma processing device
JP2933508B2 (en) 1995-05-25 1999-08-16 忠弘 大見 Plasma processing equipment
JP3041844B2 (en) 1995-08-11 2000-05-15 住友電気工業株式会社 Film forming or etching equipment
JP2996162B2 (en) 1995-12-25 1999-12-27 松下電器産業株式会社 Plasma device, thin film forming method and etching method
JP3310171B2 (en) 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
JP4124383B2 (en) 1998-04-09 2008-07-23 財団法人国際科学振興財団 Shower plate for microwave excited plasma device and microwave excited plasma device
JP3668079B2 (en) * 1999-05-31 2005-07-06 忠弘 大見 Plasma process equipment

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115184B2 (en) 2001-03-28 2006-10-03 Tadahiro Ohmi Plasma processing device
US20060289116A1 (en) * 2001-03-28 2006-12-28 Tadahiro Ohmi Plasma processing apparatus
US20070034157A1 (en) * 2002-12-05 2007-02-15 Yukihiko Nakata Plasma processing apparatus and plasma processing method
US20050109279A1 (en) * 2003-11-07 2005-05-26 Shimadzu Corporation Surface wave excitation plasma CVD system
US8307781B2 (en) * 2003-11-07 2012-11-13 Shimadzu Corporation Surface wave excitation plasma CVD system
US11692268B2 (en) * 2004-04-12 2023-07-04 Applied Materials, Inc. Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20090025632A1 (en) * 2004-04-30 2009-01-29 Arthur Buechel Vacuum treatment installation for the production of a disk-shaped workpiece based on a dielectric substrate
US8205572B2 (en) * 2004-04-30 2012-06-26 Oerlikon Solar Ag, Truebbach Vacuum treatment installation for the production of a disk-shaped workpiece based on a dielectric substrate
US20060238132A1 (en) * 2005-03-30 2006-10-26 Tokyo Electron Limited Plasma processing apparatus and method
US20070090301A1 (en) * 2005-10-21 2007-04-26 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
US7432513B2 (en) * 2005-10-21 2008-10-07 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
US8925351B2 (en) 2006-01-20 2015-01-06 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
US20070221623A1 (en) * 2006-03-24 2007-09-27 Tokyo Electron Limited Plasma processing apparatus and method
US20070227661A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Microwave plasma processing apparatus, method for manufacturing microwave plasma processing apparatus and plasma processing method
US7934468B2 (en) * 2006-06-14 2011-05-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20070289533A1 (en) * 2006-06-14 2007-12-20 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20110256315A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Showerhead assembly with gas injection distribution devices
US10130958B2 (en) * 2010-04-14 2018-11-20 Applied Materials, Inc. Showerhead assembly with gas injection distribution devices
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
CN109643849A (en) * 2016-08-26 2019-04-16 夏普株式会社 The manufacturing method of scanning antenna and scanning antenna

Also Published As

Publication number Publication date
KR20000077485A (en) 2000-12-26
KR100362869B1 (en) 2002-12-11
JP2001049442A (en) 2001-02-20
US6446573B2 (en) 2002-09-10
US6286454B1 (en) 2001-09-11
TW476811B (en) 2002-02-21
JP3668079B2 (en) 2005-07-06

Similar Documents

Publication Publication Date Title
US6286454B1 (en) Plasma process device
JP6338462B2 (en) Plasma processing equipment
KR101851436B1 (en) Plasma processing apparatus
KR100270425B1 (en) Plasma treatment apparatus
CN101647101B (en) Plasma process apparatus
US6422172B1 (en) Plasma processing apparatus and plasma processing method
US5645644A (en) Plasma processing apparatus
JP4020679B2 (en) Plasma process equipment
KR100528357B1 (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
US7728251B2 (en) Plasma processing apparatus with dielectric plates and fixing member wavelength dependent spacing
US6172321B1 (en) Method and apparatus for plasma processing apparatus
US7478609B2 (en) Plasma process apparatus and its processor
US20020123200A1 (en) Plasma processing apparatus
KR20120125177A (en) Plasma treatment systems and methods for uniformly distributing radiofrequency power between multiple electrodes
US20050173069A1 (en) Plasma generating apparatus and plasma processing apparatus
JPH09181052A (en) Plasma treating apparatus
JP2570090B2 (en) Dry etching equipment
KR20010092386A (en) Plasma process apparatus
US5078823A (en) Plasma reactor
US20110259523A1 (en) Plasma processing apparatus
US20030010453A1 (en) Plasma processing apparatus and plasma processing method
JP2002231637A (en) Plasma processor
EP0771017A1 (en) Plasma processing apparatus
JPH0510427B2 (en)

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12