TWI832342B - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TWI832342B
TWI832342B TW111127143A TW111127143A TWI832342B TW I832342 B TWI832342 B TW I832342B TW 111127143 A TW111127143 A TW 111127143A TW 111127143 A TW111127143 A TW 111127143A TW I832342 B TWI832342 B TW I832342B
Authority
TW
Taiwan
Prior art keywords
gate
gate structures
region
width
area
Prior art date
Application number
TW111127143A
Other languages
English (en)
Other versions
TW202322351A (zh
Inventor
賴彥良
陳春宇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202322351A publication Critical patent/TW202322351A/zh
Application granted granted Critical
Publication of TWI832342B publication Critical patent/TWI832342B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體結構包括裝置區域以及圍繞裝置區域的密封結構。密封結構包括圍繞裝置區域的外環以及設置在外環與裝置區域之間的緩衝區域。緩衝區域包括具有沿第一方向縱向延伸的多個第一閘極結構的第一部分和具有沿第一方向縱向延伸的多個第二閘極結構的第二部分。緩衝區域的第二部分設置在緩衝區域的第一部分與外環之間。沿著大致垂直於第一方向的第二方向,每個第一閘極結構的寬度大於每個第二閘極結構的寬度。

Description

半導體結構
本發明實施例係關於一種半導體結構,特別係有關於一種在裝置區域與密封環區域之間包括緩衝區域的半導體結構。
在半導體技術中,半導體晶圓係通過各種製造步驟加工以形成積體電路(integrated circuits,IC)。通常,在同一個半導體晶圓上製造了多個功能積體電路。然後將晶圓切割成晶粒,每個晶粒都包含一個功能積體電路。為了保護功能積體電路中的半導體裝置免受濕氣降解、離子污染和切割製程的影響,在每個功能積體電路周圍形成了密封結構。此密封結構是在製造一多層結構期間形成的,多層結構包括半導體裝置和在半導體裝置之間路由電信號的互連結構。製造過程通常包括前段(front-end-of-line,FEOL)處理和後段(back-end-of-line,BEOL)處理。前段處理包括在半導體基板上形成例如電晶體、電容器、二極體及/或電阻器等半導體裝置。後段處理包括形成包括金屬線和接觸通孔(contact vias)的互連結構。雖然現有的密封結構通常足以滿足其預期目的,但它們仍無法在所有方面都令人滿意。
本揭露一些實施例提供一種半導體結構,包括:裝置區域,包括具有第一閘極間距的複數個第一閘極結構;緩衝區域,與裝置區域相鄰設置,緩衝區域包括:第一部分,包括具有第二閘極間距的複數個第二閘極結構;以及第二部分,通過第一部分與裝置區域間隔開,第二部分包括具有第三閘極間距的複數個第三閘極結構;以及環區域,圍繞裝置區域並且通過緩衝區域與裝置區域間隔開,環區域包括具有第四閘極間距的複數個第四閘極結構,其中第一閘極間距與第二閘極間距之差異小於第一閘極間距與第四閘極間距之差異。
本揭露一些實施例提供一種半導體結構,包括:裝置區域,具有沿第一方向縱向延伸的第一側邊;以及密封結構,圍繞裝置區域,密封結構包括:第一內部區域,包括與裝置區域的第一側邊相鄰設置的第一部分,第一內部區域的第一部分包括沿第一方向縱向延伸的第一複數個第一閘極結構(first plurality of first gate structures),其中第一複數個第一閘極結構中的每一者具有沿大致垂直於第一方向的第二方向的第一寬度;以及第二內部區域,通過第一內部區域與裝置區域間隔開,其中第二內部區域的第一部分包括沿第一方向縱向延伸的第一複數個第二閘極結構(first plurality of second gate structures),且第一複數個第二閘極結構中的每一者具有沿第二方向的第二寬度,其中第二寬度小於第一寬度。
本揭露一些實施例提供一種半導體結構,包括:裝置區域;以及密封結構,圍繞裝置區域,密封結構包括:第一內部區域,包括沿第一方向縱向延伸的複數個第一閘極結構;第二內部區域,通過第一內部區域與裝置區域間隔開,第二內部區域包括沿第一方向縱向延伸的複數個第二閘極結構;以及外環區域,包括複數個第三閘極結構,其中外環區域的一部分通過第二內部區域與第一內部區域間隔開,其中第一閘極結構的閘極間距小於第三閘極結構的閘極間距。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下描述具體的構件及其排列方式的實施例以闡述本揭露。當然,這些實施例僅作為範例,而不該以此限定本揭露的範圍。例如,在說明書中敘述了一第一特徵形成於一第二特徵之上或上方,其可能包含第一特徵與第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於第一特徵與第二特徵之間,而使得第一特徵與第二特徵可能未直接接觸的實施例。另外,在本揭露不同範例中可能使用重複的參考符號及/或標記,此重複係為了簡化與清晰的目的,並非用以限定所討論的各個實施例及/或結構之間有特定的關係。
空間相關用語,例如“在…下方”、“下方”、“較低的”、“在…上方”、“較高的”及類似的用語,是為了便於描述圖式中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用語意欲包含使用中或操作中的裝置之不同方位。設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
再者,當用“大約”、“左右”等來描述數字或數字範圍時,此等用語旨在涵蓋考慮到本領域普通技術人員所理解的製造過程中固有的變化之合理範圍內的數字。舉例來說,基於與製造具有與數字相關聯的特性的特徵相關的已知製造公差,數字或數字範圍涵蓋包括所描述的數字的合理範圍,例如在所描述的數字的+/–10%內。舉例來說,具有“約5奈米”厚度的材料層可以涵蓋從4.25奈米至5.75奈米的尺寸範圍,其中本領域普通技術人員已知與沉積材料層相關的製造公差為+/–15%。更進一步地,本揭露可以在各種示例中重複參考符號及/或標記。此重複係為了簡化與清晰的目的,並非用以限定所討論的各個實施例及/或配置之間的關係。為避免疑慮,本揭露圖式中的X、Y和Z方向相互垂直。在整個本揭露中,相似的參考符號表示相似的特徵,除非另有說明。
積體電路(IC)晶片可以包括裝置區域,其包括功能積體電路。在積體電路演進過程中,功能密度(即,每個晶片面積中互連裝置的數量)普遍增加,而幾何尺寸(即,可以使用製造過程創建的最小部件(或線))則減少。隨著積體電路技術朝向更小的技術節點發展,引入了多閘極金屬氧化物半導體場效應電晶體(multi-gate metal-oxide-semiconductor field effect transistor)(多閘極MOSFET,或多閘極裝置),以通過增加閘極溝道耦合、減少關閉狀態電流(off-state current)和減少短溝道效應(short-channel effects,SCE)來改善閘極控制。多閘極裝置通常是指具有設置在通道區域的多於一側之上的閘極結構或其部分的裝置。鰭狀場效應電晶體(Fin-like field effect transistors,FinFETs)和多橋通道(multi-bridge-channel,MBC)電晶體是多閘極裝置的示例,它們已成為高性能和低洩漏應用的熱門和有希望的候選者。鰭狀場效應電晶體具有在多於一側上由閘極包裹的升高通道(例如,閘極包裹從基板延伸的半導體材料“鰭(fin)”的頂部和側壁)。多橋通道電晶體具有部分或完全地圍繞通道區域延伸的閘極結構,以在兩側或更多側上對通道區域提供通路(access)。由於其閘極結構圍繞通道區域,多橋通道電晶體也可以稱為環繞式閘極電晶體(surrounding gate transistor;SGT)或閘極全環(gate-all-around;GAA)電晶體。
由於按比例縮小,鰭狀場效應電晶體或多橋通道電晶體的結構可能容易因單片化(singulation)期間的霧氣侵入或應力而受到損壞。密封結構已用於保護裝置區域中的部件。通常,密封結構包括完全圍繞裝置區域的密封環。雖然裝置區域中的特徵(例如,源極/汲極接觸、閘極結構)可以按比例縮小以增加功能密度,但密封環中的特徵(例如,源極/汲極接觸、閘極結構)可以刻意被配置為具有較大的尺寸(例如,長度、寬度),使得密封環可以保護裝置區域免受外部應力造成的損壞。此外,密封環中相對較大尺寸的閘極結構提供了增強的機械強度,進一步提高了積體電路晶片的可靠性。然而,由於裝置區域中的閘極結構等特徵繼續按比例縮小,因此裝置區域中閘極結構的圖案密度增加,導致裝置區域中閘極結構的圖案密度與密封環中閘極結構的圖案密度之間的差異增加。這種增加的圖案密度差異可能導致例如化學機械研磨(chemical mechanical polish,CMP)負載效應和缺陷。須注意的是,裝置區域中和密封環中的源極/汲極接觸的圖案密度也表現出相似的趨勢(即,圖案密度差異增加)。不同區域中的閘極結構的圖案密度之間的比較僅用於說明目的,並不旨在將本揭露限制為閘極結構實施例。
本揭露提供了一種半導體結構,其包括裝置區域以及圍繞裝置區域的複合密封結構(composite seal structure)。複合密封結構包括緩衝區域及圍繞緩衝區域的密封環,其中緩衝區域設置在裝置區域與密封環之間。由於緩衝區域靠近裝置區域設置,因此遠離裝置區域設置的密封環可以稱為外環。緩衝區域中閘極結構的圖案密度大於外環中閘極結構的圖案密度,從而減小了裝置區域與密封結構之間的圖案密度差異。緩衝區域包括具有沿第一方向縱向延伸的多個分段(segmented)第一閘極結構的內部部分和具有沿第一方向縱向延伸的多個分段第二閘極結構的外部部分。外部部分設置在內部部分與外環之間。外環包括連續圍繞裝置區域的多個第三閘極結構。沿著大致垂直於第一方向的第二方向,每個第一閘極結構的閘極寬度大於每個第二閘極結構的閘極寬度且小於每個第三閘極結構的閘極寬度。
首先參考第1圖,其係一半導體結構100的俯視圖。半導體結構100包括一裝置區域102以及圍繞裝置區域102的一複合密封結構101。複合密封結構101包括連續圍繞裝置區域102的一密封環104(又稱為“外環104”)。在第1圖所示的實施例中,密封環104包括沿X方向延伸的部分、沿Y方向延伸的部分以及沿從X方向過渡到Y方向(或反之亦然)的方向延伸的部分。為簡單起見,將密封環104沿X方向或Y方向延伸的各個部分稱為直線部分104S,並且將密封環104的各個其餘部分稱為轉向部分104T。在第1圖所示的實施例中,密封環104包括四個直線部分104S和四個轉向部分104T。為了便於參考,四個直線部分104S和四個轉向部分104T中的一或多個可以根據上下文需要統稱為密封環104或分別稱為一個密封環104。密封結構101還包括設置在轉向部分104T的外角處的外角區112。外角區112包括四個外角區112-1、112-2、112-3和112-4。為了便於參考,四個外角區112-1、112-2、112-3和112-4中的一或多個可以根據上下文需要統稱為外角區112或分別稱為一個外角區112。
如上所述,裝置區域102中的特徵(例如,閘極結構)可以具有較小的尺寸以增加裝置區域102的功能密度,並且密封環104中的特徵(例如,閘極結構)可以具有較大的尺寸以承受應力並保護裝置區域102。在本揭露中,密封結構101包括設置在裝置區域102與密封環104之間的緩衝區域105,以減小裝置區域102中閘極結構的圖案密度與密封結構101中閘極結構的圖案密度之間的差異。如第1圖所示,緩衝區域105包括設置在密封環104的轉向部分104T的內角處的內角區106。內角區106包括四個內角區106-1、106-2、106-3和106-4。為了便於參考,四個內角區106-1、106-2、106-3和106-4中的一或多個可以根據上下文需要統稱為內角區106或分別稱為一個內角區106。緩衝區域105還包括設置在直線部分104S內側的第一緩衝部分110和第二緩衝部分108。第一緩衝部分110鄰近裝置區域102設置,第二緩衝部分108通過第一緩衝部分110與裝置區域102間隔開。第一緩衝部分110包括四個第一緩衝部分110-1、110-2、110-3和110-4。為了便於參考,四個第一緩衝部分110-1、110-2、110-3和110-4中的一或多個可以根據上下文需要統稱為第一緩衝部分110或分別稱為一個第一緩衝部分110。第二緩衝部分108包括四個第二緩衝部分108-1、108-2、108-3和108-4。為了便於參考,四個第二緩衝部分108-1、108-2、108-3和108-4中的一或多個可以根據上下文需要統稱為第二緩衝部分108或分別稱為一個第二緩衝部分108。
當沿Z方向觀察時,半導體結構100的形狀可以是矩形。在第1圖所示的實施例中,半導體結構100的俯視圖為正方形,密封環104的俯視圖類似於八邊形。內角區106和外角區112中的每一者具有平行於密封環104的轉向部分104T的一個邊緣/側邊(edge/side)的一個邊緣。第一緩衝部分110和第二緩衝部分108中的每一者具有平行於密封環104的直線部分104S的一個邊緣/側邊的兩個邊緣。在這些實施例中,如第1圖所示,第一緩衝部分110和第二緩衝部分108中的每一者類似於矩形。每個外角區112類似於直角三角形。內角區的放大俯視圖如第9圖所示。
第2圖示出了裝置區域102的放大局部俯視圖。裝置區域102可以包括邏輯裝置、記憶體裝置和/或輸入/輸出(input/output,I/O)裝置。邏輯裝置可以包括例如反相器(inverters)、AND閘、OR閘、NAND閘、NOR閘、XNOR閘、XOR閘和NOT閘。記憶體裝置可以包括靜態隨機存取記憶體(static random-access memory,SRAM)裝置。在第2圖所示的實施例中,裝置區域102包括沿X方向縱向延伸的多個分段主動區域202、沿Y方向縱向延伸的多個分段閘極結構204和沿Y方向縱向延伸的多個分段源極/汲極接觸206。分段主動區域202、分段閘極結構204和分段源極/汲極接觸206係被分段的(segmented),使得裝置區域102可以執行預期的功能。如果分段主動區域202、分段閘極結構204和分段源極/汲極接觸206沒有如第2圖中代表性地所示的被分段,而是被允許在整個X方向和Y方向上連續延伸,裝置區域102將不能執行其預期功能。例如,當分段閘極結構204未被分段時,沿Y方向設置的所有電晶體可以由施加到閘極結構204的一個共同信號控制。又例如,當分段源極/汲極接觸206未被分段時,沿Y方向設置的所有源極/汲極特徵將並聯連接。換言之,分段主動區域202並非沿X方向連續延伸跨過裝置區域102;分段閘極結構204並非沿Y方向連續延伸跨過裝置區域102; 並且分段源極/汲極接觸206並非Y方向連續延伸穿過裝置區域102。
分段主動區域202可以具有沿X方向的相同或不同的長度。在所示的實施例中,分段主動區域202包括分段主動區域202-1和分段主動區域202-2。分段主動區域202-1具有與分段主動區域202-2的長度相同的長度。分段閘極結構204可以具有沿Y方向的相同或不同的長度以及沿X方向的相同或不同的寬度。也就是說,分段閘極結構204可以不均勻地分段。例如,分段閘極結構204包括分段閘極結構204-1和分段閘極結構204-2,且分段閘極結構204-1的長度大於分段閘極結構204-2的長度。分段主動區域202雖然沿X方向具有不同的長度,但沿Y方向以主動區域間距PA1設置。分段閘極結構204雖然沿Y方向具有不同的長度,但沿X方向以閘極間距PG1設置。每個分段主動區域202具有一主動區域寬度WA1。為不同應用設計的積體電路晶片可以被配置為執行不同的功能並且可以具有不同的功能密度。例如,在行動裝置中使用的第一積體電路晶片可以具有比在動力總成(powertrain)控制模組中使用的第二積體電路晶片更高的功能密度。因此,第一積體電路晶片中閘極結構的閘極寬度(沿X方向)可以小於第二積體電路晶片中閘極結構的閘極寬度。在第2圖所示的實施例中,閘極結構204的閘極寬度WG1介於最小閘極寬度WGmin和最大閘極寬度WGmax之間。在此,最小閘極寬度WGmin可以視為可通過當前製造過程實現的閘極寬度的最小尺寸。例如,最小閘極寬度WGmin可以在大約1nm和大約5nm之間。最大閘極寬度WGmax可以視為裝置區域102中可接受的最大閘極寬度。在一些實施例中,最大閘極寬度WGmax可以是最小閘極寬度WGmin的大約10到大約25倍。例如,最大閘極寬度WGmax 可以在大約30nm和大約70 nm之間。在一些實施例中,閘極結構204具有範圍在約1nm和70nm之間的均勻閘極寬度WG1。在一實施例中,每個閘極結構204具有基本上等於最小閘極寬度WGmin的閘極寬度WG1。也就是說,閘極寬度WG1可以在大約1nm和大約5nm之間。
在第2圖所示的實施例中,分段閘極結構204-1設置在四(4)個分段主動區域202上方,且分段閘極結構204-2設置在兩(2)個分段主動區域202上方。分段源極/汲極接觸206包括分段源極/汲極接觸206-1和分段源極/汲極接觸206-2。分段源極/汲極接觸206-1的長度大於分段源極/汲極接觸206-2的長度。也就是說,分段源極/汲極接觸206可以不均勻地分段。在第2圖中,分段源極/汲極接觸206-1在兩個分段主動區域202之上連接源極/汲極特徵,且分段源極/汲極接觸206-2在兩個分段主動區域202之上連接源極/汲極特徵。每個分段源極/汲極接觸206可以具有沿X方向的源極/汲極接觸寬度WD1。需瞭解的是,第2圖中所示的分段主動區域202、分段閘極結構204和分段源極/汲極接觸206的佈置僅是一個示例,並不旨在將本揭露限制為其中明確說明的內容。
現在參考第3圖,其示出裝置區域102中的一個半導體裝置200的局部截面圖。在所示的實施例中,半導體裝置200為多橋通道(MBC)電晶體。半導體裝置200包括基板201。在一實施例中,基板201是體型(bulk)矽基板(即,包括體型單晶矽)。在各種實施例中,基板201可以包括其他半導體材料,例如鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP或其組合。在一些替代實施例中,基板201可以是絕緣體上半導體基板,例如絕緣體上矽基板、絕緣體上矽鍺基板或絕緣體上鍺基板,並且包括載體、載體上的絕緣體以及絕緣體上的半導體層。
每個分段主動區域202形成在基板201之中和之上。如第3圖所示,半導體裝置200的分段主動區域202包括兩個源極/汲極區域202SD以及設置在兩個源極/汲極區域202SD之間的一個通道區域202C。在通道區域202C中,分段主動區域202包括沿X方向延伸的多個通道構件208的垂直堆疊。通道構件208可以包括矽或其他合適的半導體材料。通道構件208由於其奈米級尺寸而可以被稱為奈米結構。每個源極/汲極特徵210設置在對應的源極/汲極區域202SD之上。源極/汲極部件210通過磊晶沉積例如矽或矽鍺等半導體材料而形成,並且可以摻雜有n型摻雜劑例如磷(P)或砷(As),或者p型摻雜劑例如硼(B)或二氟化硼(BF 2)。
分段閘極結構204設置在溝道區域202C之上以環繞每個通道構件208。如第3圖所示,分段閘極結構204通過內部間隔件特徵(inner spacer features)220與源極/汲極特徵210間隔開。通道區域202C之上的通道構件208通過內部間隔件特徵220彼此垂直分離。內部間隔件特徵220可以包括氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽或氮氧化矽,或其他合適的材料。分段閘極結構204可以包括閘極介電層和閘極介電層上方的閘極電極層。在一些實施例中,雖然未在圖中明確示出,但閘極介電層包括界面層和高介電常數(high-k)閘極介電層。如本文所使用和描述的,高介電常數介電材料包括具有高介電常數的介電材料,例如,大於熱氧化矽的介電常數(~3.9)。界面層可以包括介電材料,例如氧化矽、矽酸鉿或氮氧化矽。界面層可以通過化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)及/或其他合適的方法形成。高介電常數閘極介電層可以包括氧化鉿。或者,高介電常數閘極介電層可以包括其他高介電常數的介電材料,例如氧化鈦(TiO 2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta 2O 5)、氧化鉿矽(HfSiO 4)、氧化鋯(ZrO 2)、氧化鋯矽(ZrSiO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋯(ZrO)、氧化釔(Y 2O 3)、SrTiO 3(STO)、BaTiO 3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO 3(BST)、氮化矽(SiN)、氮氧化矽(SiON)、 其組合、或其他合適的材料。高介電常數閘極介電層可以通過原子層沉積、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、氧化及/或其他合適的方法形成。
分段閘極結構204的閘極電極層可以包括單層或多層結構,例如具有選定功函數(work function)以增強裝置性能的金屬層(功函數金屬層)、襯層、潤濕層、黏附層、金屬合金或金屬矽化物的各種組合。舉例來說,閘極電極層可以包括氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、銅(Cu)、其他難熔金屬、其他合適的金屬材料、或其組合。在各種實施例中,閘極電極層可以通過原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸發或其他合適的製程形成。
每個分段源極/汲極接觸206設置在源極/汲極特徵210之上,並且通過矽化物層212電連接到源極/汲極特徵210。每個分段源極/汲極接觸206可以包括阻擋層和設置在阻擋層之上的金屬填充層。阻擋層可以包括氮化鈦或氮化鉭。金屬填充層可以包括釕(Ru)、銅(Cu)、鎳(Ni)、鈷(Co)或鎢(W)。矽化物層212可以包括矽化鈦、矽化鉭、矽化鈷、矽化鎳或矽化鎢。矽化物層212與源極/汲極特徵210交界以降低接觸電阻。
繼續參考第3圖,半導體裝置200還包括設置在源極/汲極特徵210之上的接觸蝕刻停止層(contact etch stop layer,CESL)214以及設置在接觸蝕刻停止層214之上的層間介電(interlayer dielectric,ILD)層216。在一些示例中,接觸蝕刻停止層214包括氮化矽、氮氧化矽及/或本領域已知的其他材料。層間介電層216可以包括例如原矽酸四乙酯(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃或例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG)之類的材料、及/或其他合適的介電材料。源極/汲極接觸206延伸穿過層間介電層216和接觸蝕刻停止層214,並且通過矽化物層212電耦接到源極/汲極特徵210。
然後參考第4圖,其包括密封結構101的外環104的放大局部俯視圖,更具體地,沿Y方向縱向延伸的直線部分104S的放大局部俯視圖。在第4圖所示的實施例中,外環104包括沿外環104的縱向(例如,Y方向)延伸的多個主動區域402(例如,主動區域402-1和402-2)、沿外環104的縱向延伸的多個閘極結構404(例如,閘極結構404-1和404-2)、以及沿外環104的縱向延伸的多個源極/汲極接觸406(例如,源極/汲極接觸406-1和406-2)。也就是說,主動區域402、閘極結構404和源極/汲極接觸406沿相同方向縱向延伸。每個源極/汲極接觸406部分地設置在主動區域402中的對應主動區域的正上方。主動區域402具有主動區域間距PA4,閘極結構404具有閘極間距PG4,源極/汲極接觸406具有源極/汲極接觸寬度WD4,且每個閘極結構404具有沿X方向的閘極寬度。在本實施例中,每個閘極結構404具有相同的閘極寬度WG4。
由於密封結構101的外環104被配置為保護裝置區域102免受來自外部的應力或機械攻擊的損壞,因此外環104中的特徵的尺寸大於裝置區域102中的那些特徵的尺寸。例如,外環104中的半導體裝置400的尺寸是裝置區域102(如第2至3圖中所示)中的半導體裝置200的尺寸的約2倍至約40倍。例如,閘極間距PG4與閘極間距PG1的比值介於約2至約40之間;主動區域間距PA4與主動區域間距PA1的比值介於約2至約40之間;主動區域寬度與主動區域寬度WA1的比值介於約2至約40之間;源極/汲極接觸寬度WD4與源極/汲極接觸寬度WD1的比值介於約2至約40之間;閘極寬度WG4與閘極寬度WG1的比值介於約2至約40之間。在一實施例中,閘極結構404的閘極寬度WG4可以是最大閘極寬度WGmax的數倍。例如,閘極寬度WG4可以是最大閘極寬度 WGmax的約2至5倍。在一實施例中,閘極寬度WG4可以在大約80nm和大約120nm之間。外環104中特徵的大尺寸提供了進一步的好處。舉例來說,與裝置區域102的局部俯視圖中具有分段和較小尺寸的特徵不同,由於外環104中的大尺寸,主動區域402、閘極結構404和源極/汲極接觸406可以被製造為連續延伸以圍繞裝置區域102並圍繞緩衝區域105(顯示於第1圖中),而基本上不犧牲那些主動區域402、閘極結構404和源極/汲極接觸406的形態,從而簡化製造過程以及增加半導體結構100的可靠性。
繼續參考第4圖,外環104包括多個半導體裝置400。每個半導體裝置400包括耦接到溝道區域的兩個源極/汲極特徵、一個閘極結構404和一個源極/汲極接觸406。由於密封結構101和裝置區域102可以通過共同的製造過程來製造,儘管在形狀和尺寸上有所不同,半導體裝置400的組成可以與半導體裝置200的組成相似。因此,為簡單起見,省略了對閘極結構404和源極/汲極接觸406的組成的詳細描述。如上面參考第2至3圖所描述的,裝置區域102可以包括多橋通道電晶體,並且分段主動區域202包括通過選擇性去除矽鍺犧牲層而釋放的通道構件208。由於外環104中的主動區域402的尺寸大於裝置區域102中的主動區域的尺寸,為了確保裝置區域102中的多橋通道電晶體的可靠性,在通道釋放製程期間,半導體裝置400的通道區域中的矽鍺層不會被完全去除。也就是說,矽層和矽鍺層均保留在半導體裝置400的通道區域中。須注意的是,為了實現預期的功能,裝置區域102中的半導體裝置200可以包括兩個源極/汲極接觸206。然而,為了在晶圓的有限空間(limited real estate)中形成外環104的大尺寸閘極結構404和源極/汲極接觸406,同時確保令人滿意的可靠性,如第4圖中所示,外環104中的半導體裝置400僅包括一個源極/汲極接觸406,並且僅有源極/汲極接觸406的一部分可以直接設置在主動區域402上。
然後參考第5圖,其包括緩衝區域105中的第一緩衝部分110-1的放大局部俯視圖。為了說明的目的,第一緩衝部分110-1顯示於第5圖中,並且類似的描述適用於其他三個第一緩衝部分110-2、110-3和110-4。在第5圖所示的實施例中,第一緩衝部分110-1包括沿X方向縱向延伸的多個主動區域502(例如,主動區域502-1和502-2)、沿Y方向縱向延伸的多個閘極結構504(例如,閘極結構504-1和504-2)、以及沿Y方向縱向延伸的多個源極/汲極接觸506(例如,源極/汲極接觸506-1和506-2)。主動區域502具有沿Y方向的主動區域間距PA5,且閘極結構504具有沿X方向的閘極間距PG5。每個主動區域502具有沿Y方向的主動區域寬度WA5,且每個閘極結構504具有沿X方向的閘極寬度WG5。為了在裝置區域102和外環104之間提供緩衝,閘極寬度WG5大於或等於閘極寬度WG1(顯示於第2圖中)並且小於閘極寬度WG4(顯示於第4圖中)。也就是說,閘極寬度WG1≤ 閘極寬度WG5 < 閘極寬度WG4。在一實施例中,閘極結構504的閘極寬度WG5基本上等於最大閘極寬度WGmax並且可以介於約30nm與約70nm之間。裝置區域102、第一緩衝部分110-1和外環104中的閘極間距的尺寸關係、源極/汲極接觸寬度的尺寸關係以及主動區域間距的尺寸關係可以類似於裝置區域102、第一緩衝部分110-1和外環104中的閘極寬度關係。也就是說,閘極間距PG1≤ 閘極間距PG5 < 閘極間距PG4,源極/汲極接觸寬度WD1≤ 源極/汲極接觸寬度WD5 < 源極/汲極接觸寬度WD4,主動區域間距PA1≤ 主動區域間距PA5 < 主動區域間距PA4。
由於形成在第一緩衝部分110-1中的裝置將不用於形成電路,並且由於第一緩衝部分110-1中裝置的尺寸和製程限制(例如,光微影限制),主動區域502、閘極結構504和源極/汲極接觸506可以被均勻分段。閘極結構504的分段的詳細描述將參考第7至8圖進一步描述。除了尺寸差異之外,第一緩衝部分110-1中的半導體裝置的結構和組成可以與裝置區域102中的半導體裝置200的結構和組成類似,因此為簡單起見,省略了與第一緩衝部分110-1中的半導體裝置的截面圖和半導體裝置的組成有關的描述。
雖然第一緩衝部分110-1佈置在裝置區域102與外環104之間以將裝置區域102與外環104隔離,但裝置區域102中的特徵(例如,閘極結構)繼續按比例縮小,並且第一緩衝部分110-1中的閘極結構504與裝置區域102中的閘極結構204之間仍然存在較大的圖案密度差異。為了增加緩衝區域105中的閘極結構的圖案密度,具有比第一緩衝部分110-1更大的圖案密度的第二緩衝部分108被引入並且設置在第一緩衝部分110-1和外環之間104。
然後參考第6圖,其包括緩衝區域105中的第二緩衝部分108-1的放大局部俯視圖。為了說明的目的,第二緩衝部分108-1顯示於第6圖中,並且類似的描述適用於其他三個第二緩衝部分108-2、108-3和108-4。在第6圖所示的實施例中,第二緩衝部分108-1包括沿X方向縱向延伸的多個主動區域602(例如,主動區域602-1和602-2)、沿Y方向縱向延伸的多個閘極結構604(例如,閘極結構604-1和604-2)、以及沿Y方向縱向延伸的多個源極/汲極接觸606(例如,源極/汲極接觸606-1和606-2)。在第6圖所示的實施例中,主動區域602具有沿Y方向的主動區域間距PA6,且閘極結構604具有沿X方向的閘極間距PG6。每個主動區域602具有主動區域寬度,且每個閘極結構604具有沿X方向的閘極寬度WG6。閘極寬度WG6大於或等於閘極寬度WG1並且小於閘極寬度WG5。也就是說,閘極寬度WG1≤ 閘極寬度WG6< 閘極寬度WG5。在一些實施例中,類似的尺寸關係也適用於裝置區域102、第二緩衝部分108-1和第一緩衝部分110-1中的閘極間距、源極/汲極接觸寬度和主動區域間距。也就是說,閘極間距PG1≤ 閘極間距PG6 < 閘極間距PG5,源極/汲極接觸寬度WD1≤ 源極/汲極接觸寬度WD6< 源極/汲極接觸寬度WD5,主動區域間距PA1≤ 主動區域間距PA6< 主動區域間距PA5。在一實施例中,閘極間距PG1與閘極間距PG6之間的間距差異小於閘極間距PG1與閘極間距PG5之間的間距差異。閘極間距PG1與閘極間距PG5之間的間距差異小於閘極間距PG1與閘極間距PG4之間的間距差異。
由於形成在第二緩衝部分108-1中的裝置將不用於形成電路,並且由於第二緩衝部分108-1中裝置的尺寸和製程限制(例如,光微影限制),主動區域602、閘極結構604和源極/汲極接觸606可以被均勻分段。閘極結構604的分段的詳細描述將參考第7至8圖進一步描述。除了尺寸差異之外,第二緩衝部分108-1中的半導體裝置的結構和組成可以與裝置區域102中的半導體裝置200的結構和組成類似,因此為簡單起見,省略了與第二緩衝部分108-1中的半導體裝置的截面圖和半導體裝置的組成有關的描述。
然後參考第7圖,其示出沿Y方向縱向延伸的密封結構101的一部分101Y的放大局部俯視圖。為簡單起見,第7圖中僅示出部分101Y中的閘極結構。應當理解的是,部分101Y也包括主動區域(例如,第4、5和6圖中所示的主動區域402、502和602)和源極/汲極接觸(例如,第4、5和6圖中所示的源極/汲極接觸406、506、606)。
在一實施例中,第一緩衝部分110-1中的閘極結構504的閘極寬度WG5基本上等於最大閘極寬度WGmax並且可以介於約40nm和約60nm之間,以在裝置區域102和外環104之間提供緩衝。外環104中的閘極結構404的閘極寬度WG4可以約為最大閘極寬度WGmax的兩倍(即,2*WGmax),以為裝置區域102提供令人滿意的保護。第二緩衝部分108-1中的閘極結構604的閘極寬度WG6基本上等於最小閘極寬度WGmin並且可以介於約1nm和約5nm之間,以增加緩衝區域105的平均圖案密度。由於閘極結構的尺寸關係,外環104中的裝置可能是半導體結構100中最不易碎的裝置,而第二緩衝部分108-1中的裝置(以及在一些實施例中的裝置區域102中的裝置)可能是最易碎,並且受到第一緩衝部分110-1和外環104的保護。在一些實施方式中,裝置區域102中的閘極結構204的閘極寬度WG1可以基本上等於最小閘極寬度WGmin以提供令人滿意的功能密度。在一些其他實施方式中,裝置區域102中的閘極結構204的閘極寬度WG1可以基本上等於最大閘極寬度WGmax以提供另一令人滿意的功能密度。在任一實施方式中,密封結構101中的閘極結構的佈置可以提供令人滿意的保護,並且具有令人滿意的圖案密度以減少與化學機械研磨(CMP)負載相關聯的可靠性問題。
在第7圖所示的實施例中,外環104的直線部分104S沿X方向跨越寬度W1,第二緩衝部分108-1沿X方向跨越寬度W2,且第一緩衝部分110-1沿X方向跨越寬度W3。寬度W1大於寬度W2和寬度W3,使得密封結構101將為裝置區域102提供令人滿意的保護。在一實施例中,寬度W1與部分101Y中的緩衝區域105的總寬度(即, W2+ W3)的比值(即,W1/(W2+W3))可以介於約1和約5之間,使得密封結構101將提供令人滿意的保護,同時確保有足夠的空間用於緩衝區域105。在第7圖所示的實施例中,寬度W2大於寬度W3以滿足設計規則,同時增加緩衝區域105中的平均圖案密度。在一實施例中,寬度W2與寬度W3的比值(即,W2/W3)可以介於約1.5和約3之間。
密封結構101的部分101Y的區域800被放大並顯示於第8圖中。須注意的是,為簡單起見,第8圖僅示出區域800中的閘極結構,並且省略主動區域(例如,主動區域402、502和602)和源極/汲極接觸(例如,源極/汲極接觸406、506和606)。如第8圖所示,第一緩衝部分110-1與第二緩衝部分108-1間隔開間距LS1,且第二緩衝部分108-1與外環104的直線部分104S間隔開間距LS2。如上面參考第4至6圖所描述的,外環104的直線部分104S中的閘極寬度WG4大於第一緩衝部分110-1中的閘極寬度WG5,且第一緩衝部分110-1中的閘極寬度WG5大於第二緩衝部分108-1中的閘極寬度WG6。也就是說,閘極寬度WG4和閘極寬度WG6之間的尺寸差異(即,WG4-WG6)大於閘極寬度WG5和閘極寬度WG6之間的尺寸差異(即,WG5-WG6)。為了保護第二緩衝部分108-1中的易碎裝置在製造過程(例如CMP製程)中不被嚴重損壞,間距LS2大於間距LS1。
如上面參考第4至6圖所描述的,外環104的閘極結構404圍繞緩衝區域105和裝置區域102連續延伸,且第一緩衝部分110-1的閘極結構504、第二緩衝部分108-1的閘極結構604由於製程限制(例如,光微影限制)和形態考慮而被分段。更具體地,如第8圖所示,第一緩衝部分110-1包括多個分段部分,例如分段部分810a、810b和810c。分段部分810a至810c中的每一者沿Y方向縱向延伸,且分段部分810a至810c基本上垂直對齊。兩個相鄰的分段部分(例如分段部分810a和810b)間隔開間距VS1。分段部分810a至810c中的每一者包括多個沿Y方向縱向延伸的閘極結構504,且每個閘極結構504包括在Y方向上的長度L1和在X方向上的寬度WG5。
第二緩衝部分108-1包括多個分段部分,例如分段部分820a和820b。分段部分820a和820b沿Y方向縱向延伸並且基本上致垂直對齊。兩個相鄰的分段部分(例如,分段部分820a和820b)間隔開間距VS2。分段部分820a和820b中的每一者包括多個閘極結構604,每個閘極結構604沿Y方向縱向延伸,且每個閘極結構604包括在Y方向上的長度L2和在X方向上的閘極寬度WG6。由於第二緩衝部分108-1中的部件尺寸較小(例如,WG6 < WG5),因此比第一緩衝部分110-1中的部件更易碎,所以間距VS2大於間距VS1,且長度L2小於長度L1。如第8圖所示,第二緩衝部分108-1的閘極圖案密度(即,預定區域中的閘極結構的數量)大於第一緩衝部分110-1的閘極圖案密度,並且第一緩衝部分110-1的閘極圖案密度大於外環104的閘極圖案密度。通過形成具有較大閘極圖案密度的第二緩衝部分108-1,可以增加緩衝區域105的平均閘極圖案密度,導致緩衝區域105和裝置區域102之間的較小圖案密度差異,從而減少與化學機械研磨負載相關的可靠性問題以及增加半導體結構100的可靠性。此外,包括具有較小尺寸的部件的第二緩衝部分108-1設置在第一緩衝部分110-1與具有較大尺寸且較不易碎的部件的外環104之間,因此第二緩衝部分108-1中的易碎部件可由第一緩衝部分110-1和外環104保護。
現在參考第9圖,其示出一個內角區106的放大俯視圖。為了說明的目的,內角區106-1顯示於第9圖中,並且類似的描述適用於其他三個內角區106-2、106-3和106-4。在第9圖所示的實施例中,內角區106-1的俯視圖包括多邊形。更具體地,多邊形包括類似於等腰梯形的主要部分910和與主要部分910相鄰的兩個輔助區域920和930。兩個輔助區域920和930中的每一者類似於直角梯形,並且包括兩個直角側邊(例如直角側邊920r)和一個非直角側邊(例如側邊920s)。內角區106-1的俯視圖形狀僅是一個示例,內角區106-1的俯視圖可以包括其他形狀。例如,由於密封結構101的不同配置,第13圖中所示的內角區106-1的俯視圖形狀不同於第9圖中所示的俯視圖形狀。緩衝區域105鄰近輔助區域的直角側邊920r和主要部分910的一個側邊設置。內角區106-1包括沿X方向延伸的多個主動區域902(例如,主動區域902-1和902-2)、沿Y方向延伸的多個閘極結構904(例如,閘極結構904-1和904-2)、以及沿Y方向延伸的多個源極/汲極接觸906(例如,源極/汲極接觸906-1和906-2)。主動區域902沿Y方向以主動區域間距PA9設置,閘極結構904沿X方向以閘極間距PG9設置,每個閘極結構904具有沿X方向的閘極寬度WG9,且每個源極/汲極接觸906具有沿X方向的源極/汲極接觸寬度WD9。內角區106-1中的半導體裝置的截面圖、半導體裝置的結構和組成與裝置區域102中的半導體裝置的截面圖、半導體裝置的結構和組成類似,因此為簡單起見,不再贅述。
現在參考第10圖,其示出密封結構101的一個角落部分101CP的放大俯視圖。如第10圖所示,角落部分101CP的放大俯視圖包括第一緩衝部分110-1的部分、第二緩衝部分108-1的部分、外環104的部分、內角域106-1的部分、以及外角區112-1的一部分。為簡單起見,僅示出角落部分101CP中的閘極結構,並且省略主動區域和源極/汲極接觸。
外角區112-1包括沿Y方向縱向延伸的多個閘極結構1004(例如,閘極結構1004-1和1004-2)。在一些其他實施例中,外角區112-1可以包括沿X方向縱向延伸的多個閘極結構。在一些其他實施方式中,外角區112-1可以包括沿不同於X方向和Y方向的一方向縱向延伸的多個閘極結構。在第10圖所示的實施例中,外角區112-1的俯視圖類似直角三角形,且外角區112-1中每兩個相鄰的閘極結構沿Y方向的長度不同。外角區112-1中的閘極結構(例如,閘極結構1004-1和1004-2)的閘極間距基本上等於外環104中的閘極結構404的閘極間距PG4。沿著X方向,外角區112-1中的每個閘極結構(例如,閘極結構1004-1和1004-2)的閘極寬度基本上等於外環104中的閘極結構404的閘極寬度WG4。在一實施例中,外角區112-1中的閘極結構1004的圖案密度基本上等於外環104中的閘極結構404的圖案密度並且小於內角區106-1中的閘極結構904的圖案密度。
角落部分101CP的一區域1100被放大並顯示於第11圖中。如第11圖所示,內角區106-1中的閘極結構904具有閘極間距PG9,且每個閘極結構904具有沿X方向的閘極寬度WG9。在一實施例中,閘極間距PG9等於第一緩衝部分110-1中的閘極結構504的閘極間距PG5,且閘極寬度WG9等於第一緩衝部分110-1中的閘極結構504的閘極寬度WG5。由於內角區106-1鄰近外環104的內角設置,因此內角區106-1中的每個閘極結構904具有遠小於第一緩衝部分110-1中的每個閘極結構504的長度L1(顯示於第8圖中)的長度L9。在一實施例中,長度L1與長度L9的比值(即,L1/L9)可以介於約5和約17之間。
繼續參考第11圖,第一緩衝部分110-1與內角區106-1垂直間隔開間距VS3。內角區106-1中的每兩個相鄰的閘極結構904垂直間隔開間距VS4。在一實施例中,間距VS4基本上等於間距VS3。第二緩衝部分108-1與內角區106-1垂直間隔開間距VS5。由於第二緩衝部分108-1中的半導體裝置的尺寸(例如,閘極寬度、閘極間距)小於內角區106-1中的半導體裝置的尺寸,因此間距VS5可以大於間距VS3,使得第二緩衝部分108-1中的小尺寸半導體裝置在各種製造過程(例如,化學機械研磨)期間將不會受到實質性損壞。
第12圖示出設置在第1圖中的半導體結構100上的一互連結構1200的截面圖。互連結構1200可以包括多於8個金屬層,例如在9個金屬層和14個金屬層之間。每個金屬層包括嵌入於金屬間介電(intermetal dielectric,IMD)層中的導線。互連結構1200還包括用於垂直互連不同金屬層中的導線的接觸通孔。互連結構1200中的導線和接觸通孔可以統稱為導電特徵。金屬間介電層可以包括例如原矽酸四乙酯(TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜矽氧化物等材料、硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜矽玻璃(BSG)、碳氧化矽及/或其他合適的介電材料。導線和接觸通孔可以包括銅(Cu)、氮化鈦(TiN)、鎢(W)、或釕(Ru)。
在一實施例中,互連結構1200具有第一區域1210,第一區域1210包括電連接到裝置區域102中的特徵(例如,閘極結構、源極/汲極)的導電特徵;第二區域1220,圍繞第一區域1210並具有電連接到第一緩衝部分110中的特徵的導電特徵;第三區域1230,圍繞第二區域1220並具有電連接到第二緩衝部分120中的特徵的導電特徵;以及第四區域1240,圍繞第三區域1230並且具有電連接到外環104中的特徵的導電特徵。在一實施例中,第二區域1220中的導電特徵的圖案密度小於第三區域1230中的導電特徵的圖案密度並且大於第四區域1240中的導電特徵的圖案密度。在一實施例中,第一區域1210中的導電特徵的圖案密度可以介於第二區域1220中的導電特徵的圖案密度與第三區域1230中的導電特徵的圖案密度之間。
在參考第1圖和第10圖描述的上述實施例中,每個內角區106直接鄰近密封環104的相應轉向部分104T設置。在一些其他實施方式中,密封環104的內角區106和轉向部分104T的配置可以不同。例如,第13圖示出另一示例性半導體結構100’的俯視圖,半導體結構100’包括裝置區域102和圍繞裝置區域102的密封結構101’。密封結構101’類似於參考第1至11圖所描述的密封結構101,除了密封結構101’還包括設置在內角區106與密封環104的轉向部分104T之間的多個中間角區1300(例如,中間角區1300-1、中間角區1300-2、中間角區1300-3和中間角區1300-4)。也就是說,內角區106與密封環104的轉向部分104T通過中間角區1300間隔開,且中間角區1300與外角區112通過密封環104的轉向部分104T間隔開。
第14圖示出密封結構101的一部分1400的閘極結構的放大部分俯視圖。如第14圖所示,部分1400的放大俯視圖包括中間角區1300-1的部分、外環104的直線部分104S的部分和轉向部分104T的部分。為簡單起見,僅示出部分1400中的閘極結構,並且省略主動區域和源極/汲極接觸。在第14圖所示的實施例中,中間角區1300-1包括多個閘極結構(例如,閘極結構1404)。這些閘極結構1404沿著與轉向部分104T中的閘極結構404的部分的縱向方向平行的一方向縱向延伸。中間角區1300-1中的閘極結構1404的閘極間距基本上等於外環104中的閘極結構404的閘極間距PG4。每個閘極結構1404的閘極寬度基本上等於外環104中的閘極結構404的閘極寬度WG4。在一實施例中,中間角區1300-1中的閘極結構1404的圖案密度基本上等於外環104中的閘極結構404的圖案密度並且小於內角區106-1中的閘極結構904的圖案密度。
雖然不旨在進行限制,但本揭露提供了許多益處。舉例來說,一種半導體結構包括裝置區域和圍繞裝置區域的密封結構。通過形成具有緩衝區域和密封環區域的密封結構,並將緩衝區域設置在裝置區域與密封環區域之間,提高了密封結構的密封能力。此外,通過形成緩衝區域以包括具有第一圖案密度的第一緩衝部分和具有大於第一圖案密度的圖案密度的第二緩衝部分,緩衝區域的平均圖案密度增加,導致裝置區域和緩衝區域之間的圖案密度差異減小。如此一來,可以減少與化學機械研磨(CMP)負載相關的可靠性問題,並且可以增加半導體結構的可靠性。
本揭露提供了許多不同的實施例。本文揭露了半導體結構及其製造方法。在一個示例性實施例中,本揭露涉及一種半導體結構。半導體結構包括:裝置區域,包括多個具有第一閘極間距P1的第一閘極結構;以及緩衝區域,與裝置區域相鄰設置。緩衝區域包括第一部分以及通過第一部分與裝置區域間隔開的第二部分。第一部分包括多個具有第二閘極間距P2的第二閘極結構。第二部分包括多個具有第三閘極間距P3的第三閘極結構。半導體結構還包括環區域,圍繞裝置區域並且通過緩衝區域與裝置區域間隔開。環區域包括多個具有第四閘極間距P4的第四閘極結構。第一閘極間距P1與第二閘極間距P2之差異小於第一閘極間距P1與第四閘極間距P4之差異。
在一些實施例中,第三閘極間距P3可以小於第二閘極間距P2。在一些實施例中,所述第二閘極結構中的每一者和所述第三閘極結構中的每一者沿第一方向縱向延伸,所述第二閘極結構中的每一者具有沿第一方向的第一長度L1,所述第三閘極結構中的每一者具有沿第一方向的第二長度L2,且第二長度L2可以小於第一長度L1。在一些實施例中,所述第二閘極結構中的每一者具有沿大致垂直於第一方向的第二方向的第一寬度W1,所述第三閘極結構中的每一者具有沿第二方向的第二寬度W2,且第二寬度W2小於第一寬度W1。在一些實施例中,所述第四閘極結構中的每一者具有沿第二方向的第三寬度W3,且第二寬度W2小於第三寬度W3。在一些實施例中,所述第四閘極結構中的每一者為連續閘極結構,並且可以包括沿第一方向縱向延伸的第一部分和沿第二方向縱向延伸的第二部分。
在另一示例性實施例中,本揭露涉及一種半導體結構。半導體結構包括:裝置區域,具有沿第一方向縱向延伸的第一側邊;以及密封結構,圍繞裝置區域。密封結構包括第一內部區域,第一內部區域包括與裝置區域的第一側邊相鄰設置的第一部分,第一內部區域的第一部分包括沿第一方向縱向延伸的第一複數個第一閘極結構(first plurality of first gate structures),且所述第一複數個第一閘極結構中的每一者具有沿大致垂直於第一方向的第二方向的第一寬度W1。密封結構還包括第二內部區域,第二內部區域通過第一內部區域與裝置區域間隔開,第二內部區域的第一部分包括沿第一方向縱向延伸的第一複數個第二閘極結構(first plurality of second gate structures),且所述第一複數個第二閘極結構中的每一者具有沿第二方向的第二寬度W2,其中第二寬度W2小於第一寬度W1。
在一些實施例中,第一內部區域的第一部分沿第二方向跨越第三寬度W3,第二內部區域的第一部分沿第二方向跨越第四寬度W4,其中第三寬度W3小於第四寬度W4。在一些實施例中,第一內部區域的第一部分還可以包括第二複數個第一閘極結構(second plurality of first gate structures),所述第二複數個第一閘極結構沿第一方向與所述第一複數個第一閘極結構對齊,其中所述第二複數個第一閘極結構與所述第一複數個第一閘極結構間隔開第一間距S1。在一些實施例中,第二內部區域的第一部分還可以包括第二複數個第二閘極結構(second plurality of second gate structures),所述第二複數個第二閘極結構沿第一方向與所述第一複數個第二閘極結構對齊,其中所述第二複數個第二閘極結構與所述第一複數個第二閘極結構間隔開第二間距S2,且第一間距S1小於第二間距S2。在一些實施例中,第一內部區域還可以包括第二部分,與裝置區域的第二側邊相鄰設置,第一內部區域的第二部分包括沿第二方向縱向延伸的第二複數個第一閘極結構(second plurality of first gate structures),所述第二複數個第一閘極結構與所述第一複數個第一閘極結構間隔開,第二內部區域還可以包括第二部分,通過第一內部區域的第二部分與裝置區域間隔開,第二內部區域的第二部分包括沿第二方向縱向延伸的第二複數個第二閘極結構(second plurality of second gate structures),其中所述第二複數個第二閘極結構與所述第一複數個第二閘極結構間隔開。在一些實施例中,密封結構還可以包括內角區域,內角區域包括第一內角,所述第二複數個第一閘極結構通過第一內角與所述第一複數個第一閘極結構間隔開,且所述第二複數個第二閘極結構通過第一內角與所述第一複數個第二閘極結構間隔開。在一些實施例中,第一內角可以包括沿第一方向縱向延伸的複數個第三閘極結構,且所述第三閘極結構中的每一者沿第一方向的長度L3小於所述第一複數個第一閘極結構中的每一者沿第一方向的長度L1。在一些實施例中,所述半導體結構還可以包括外環,圍繞裝置區域,其中外環通過內角區域或第一內部區域和第二內部區域的組合與裝置區域間隔開。在一些實施例中,外環可以包括複數個第四閘極結構,且所述第四閘極結構中的每一者沿第二內部區域和內角區域連續延伸。在一些實施例中,第一寬度W1小於所述第四閘極結構中的每一者沿第二方向的寬度W4。
在又另一示例性實施例中,本揭露涉及一種半導體結構。半導體結構包括裝置區域以及圍繞裝置區域的密封結構。密封結構包括:第一內部區域,包括沿第一方向縱向延伸的複數個第一閘極結構;第二內部區域,通過第一內部區域與裝置區域間隔開,第二內部區域包括沿第一方向縱向延伸的複數個第二閘極結構;以及外環區域,包括複數個第三閘極結構。外環區域的一部分通過第二內部區域與第一內部區域間隔開。所述第一閘極結構的閘極間距小於所述第三閘極結構的閘極間距。
在一些實施例中,所述第一閘極結構中的每一者具有沿大致垂直於第一方向的第二方向的第一閘極寬度W1,所述第二閘極結構中的每一者具有沿第二方向的第二閘極寬度W2,且第一閘極寬度W1大於第二閘極寬度W2。在一些實施例中,第二內部區域與第一內部區域間隔開第一間距S1,第二內部區域與外環區域間隔開第二間距S2,且第一間距S1小於第二間距S2。在一些實施例中,所述第二閘極結構的閘極間距小於所述第一閘極結構的閘極間距。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各個改變、置換或修改。
100, 100’:半導體結構 101, 101’:密封結構 101Y:部分 101CP:角落部分 102:裝置區域 104:密封環/外環 104S:直線部分 104T:轉向部分 105, 105’:緩衝區域 106, 106-1, 106-2, 106-3, 106-4:內角區 108, 108-1, 108-2, 108-3, 108-4:第二緩衝部分 110, 110-1, 110-2, 110-3, 110-4:第一緩衝部分 112, 112-1, 112-2, 112-3, 112-4:外角區 200:半導體裝置 201:基板 202, 202-1, 202-2:分段主動區域 202C:通道區域 202SD:源極/汲極區域 204, 204-1, 204-2:(分段)閘極結構 206, 206-1, 206-2:(分段)源極/汲極接觸 208:通道構件 210:源極/汲極特徵 212:矽化物層 214:接觸蝕刻停止層 216:層間介電層 400:半導體裝置 402, 402-1, 402-2:主動區域 404, 404-1, 404-2:閘極結構 406, 406-1, 406-2:源極/汲極接觸 502, 502-1, 502-2:主動區域 504, 504-1, 504-2:閘極結構 506, 506-1, 506-2:源極/汲極接觸 602, 602-1, 602-2:主動區域 604, 604-1, 604-2:閘極結構 606, 606-1, 606-2:源極/汲極接觸 800:區域 810a, 810b, 810c:分段部分 820a, 820b:分段部分 902, 902-1, 902-2:主動區域 904, 904-1, 904-2:閘極結構 906, 906-1, 906-2:源極/汲極接觸 910:主要部分 920:輔助區域 920r:直角側邊 920s:側邊 930:輔助區域 1004, 1004-1, 1004-2:閘極結構 1100:區域 1200:互連結構 1210:第一區域 1220:第二區域 1230:第三區域 1240:第四區域 1300, 1300-1, 1300-2, 1300-3, 1300-4:中間角區 1400:部分 1404:閘極結構 L1, L2, L9:長度 W1, W2, W3:寬度 LS1, LS2:間距 PA1, PA4, PA5, PA6, PA9:主動區域間距 PG1, PG4, PG5, PG6, PG9:閘極間距 VS1, VS2, VS3, VS5:間距 WA1, WA5:主動區域寬度 WD1, WD4, WD5, WD6, WD9:源極/汲極接觸寬度 WG1, WG4, WG5, WG6, WG9:閘極寬度 X, Y, Z:方向
根據以下的詳細說明並配合所附圖式做完整揭露。須強調的是,根據本產業的一般作業,圖示並未按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖示出根據本揭露的一或多個實施例,一示例性半導體結構的俯視圖,其包括裝置區域和圍繞裝置區域的密封結構。 第2圖示出根據本揭露的一或多個實施例,第1圖中的半導體結構的裝置區域的放大局部俯視圖。 第3圖示出根據本揭露的一或多個實施例,第1圖中的半導體結構的裝置區域中的半導體裝置的局部截面圖。 第4圖示出根據本揭露的一或多個實施例,第1圖中的半導體結構的密封結構的外環的放大局部俯視圖。 第5圖示出根據本揭露的一或多個實施例,第1圖中的半導體結構的密封結構的第一緩衝部分的放大局部俯視圖。 第6圖示出根據本揭露的一或多個實施例,第1圖中的半導體結構的密封結構的第二緩衝部分的放大局部俯視圖。 第7圖示出根據本揭露的一或多個實施例,第1圖中的半導體結構的密封結構的側邊部分中的閘極極構的放大局部俯視圖。 第8圖示出根據本揭露的一或多個實施例,第7圖中的側邊部分中的閘極結構的部分的放大局部俯視圖。 第9圖示出根據本揭露的一或多個實施例,第1圖中的半導體結構的密封結構的內角區的放大局部俯視圖。 第10圖示出根據本揭露的一或多個實施例,第1圖中的半導體結構的密封結構的角落部分中的閘極結構的放大局部俯視圖。 第11圖示出根據本揭露的一或多個實施例,第10圖中的角落部分中的閘極結構的部分的放大局部俯視圖。 第12圖示出根據本揭露的一或多個實施例,設置在第1圖中的半導體結構上的互連結構的截面圖。 第13圖示出根據本揭露的一或多個實施例,另一示例性半導體結構的俯視圖,其包括裝置區域和圍繞裝置區域的密封結構。 第14圖示出根據本揭露的一或多個實施例,第13圖中的半導體結構的密封結構的角落部分中的閘極結構的放大局部俯視圖。
104S:直線部分
108-1:第二緩衝部分
110-1:第一緩衝部分
404:閘極結構
504:閘極結構
604:閘極結構
800:區域
810a,810b,810c:分段部分
820a,820b:分段部分
L1,L2:長度
W2,W3:寬度
LS1,LS2:間距
PG4,PG5,PG6:閘極間距
VS1,VS2:間距
WG4,WG5,WG6:閘極寬度
X,Y,Z:方向

Claims (9)

  1. 一種半導體結構,包括:一裝置區域,包括複數個第一閘極結構,該些第一閘極結構具有一第一閘極間距;一緩衝區域,與該裝置區域相鄰設置,該緩衝區域包括:一第一部分,包括複數個第二閘極結構,該些第二閘極結構具有一第二閘極間距;以及一第二部分,通過該第一部分與該裝置區域間隔開,該第二部分包括複數個第三閘極結構,該些第三閘極結構具有一第三閘極間距;以及一環區域,圍繞該裝置區域並且通過該緩衝區域與該裝置區域間隔開,該環區域包括複數個第四閘極結構,該些第四閘極結構具有一第四閘極間距,其中該第一閘極間距與該第二閘極間距之差異小於該第一閘極間距與該第四閘極間距之差異,其中該第三閘極間距小於該第二閘極間距。
  2. 如請求項1之半導體結構,其中該些第二閘極結構中的每一者和該些第三閘極結構中的每一者沿一第一方向縱向延伸,其中該些第二閘極結構中的每一者具有沿該第一方向的一第一長度,其中該些第三閘極結構中的每一者具有沿該第一方向的一第二長度,該第二長度小於該第一長度,其中該些第二閘極結構中的每一者具有沿大致垂直於該第一方向的一第二方向的一第一寬度,其中該些第三閘極結構中的每一者具有沿該第二方向的一第二寬度,該第二寬度小於該第一寬度。
  3. 如請求項2之半導體結構,其中該些第四閘極結構中的每一者具有沿該第二方向的一第三寬度,該第二寬度小於該第三寬度。
  4. 一種半導體結構,包括:一裝置區域,具有沿一第一方向縱向延伸的一第一側邊;以及一密封結構,圍繞該裝置區域,該密封結構包括:一第一內部區域,包括與該裝置區域的該第一側邊相鄰設置的一第一部分,該第一內部區域的該第一部分包括沿該第一方向縱向延伸的第一複數個第一閘極結構,其中該些第一複數個第一閘極結構中的每一者具有沿大致垂直於該第一方向的一第二方向的一第一寬度;以及一第二內部區域,通過該第一內部區域與該裝置區域間隔開,其中該第二內部區域的一第一部分包括沿該第一方向縱向延伸的第一複數個第二閘極結構,且該些第一複數個第二閘極結構中的每一者具有沿該第二方向的一第二寬度,其中該第二寬度小於該第一寬度。
  5. 如請求項4之半導體結構,其中該第一內部區域的該第一部分更包括第二複數個第一閘極結構,該些第二複數個第一閘極結構沿該第一方向與該些第一複數個第一閘極結構對齊,其中該些第二複數個第一閘極結構與該些第一複數個第一閘極結構間隔開一第一間距,其中該第二內部區域的該第一部分更包括第二複數個第二閘極結構,該些第二複數個第二閘極結構沿該第一方向與該些第一複數個第二閘極結構對齊,其中該些第二複數個第二閘極結構與該些第一複數個第二閘極結構間隔開一第二間距,該第一間距小於該第二間距。
  6. 如請求項4之半導體結構,其中該第一內部區域更包括一第二部分,與該裝置區域的一第二側邊相鄰設置,該第一內部區域的該第二部分包括沿該第二方向縱向延伸的第二複數個第一閘極結構,該些第二複數個第一閘 極結構與該些第一複數個第一閘極結構間隔開,其中該第二內部區域更包括一第二部分,通過該第一內部區域的該第二部分與該裝置區域間隔開,該第二內部區域的該第二部分包括沿該第二方向縱向延伸的第二複數個第二閘極結構,其中該些第二複數個第二閘極結構與該些第一複數個第二閘極結構間隔開。
  7. 如請求項6之半導體結構,其中該密封結構更包括:一內角區域,包括一第一內角,其中該些第二複數個第一閘極結構通過該第一內角與該些第一複數個第一閘極結構間隔開,且該些第二複數個第二閘極結構通過該第一內角與該些第一複數個第二閘極結構間隔開,其中該第一內角包括沿該第一方向縱向延伸的複數個第三閘極結構,其中該些第三閘極結構中的每一者沿該第一方向的一長度小於該些第一複數個第一閘極結構中的每一者沿該第一方向的一長度。
  8. 如請求項7之半導體結構,更包括:一外環,圍繞該裝置區域,其中該外環通過該內角區域或該第一內部區域和該第二內部區域的一組合與該裝置區域間隔開,其中該外環包括複數個第四閘極結構,其中該些第四閘極結構中的每一者沿該第二內部區域和該內角區域連續延伸,其中該第一寬度小於該些第四閘極結構中的每一者沿該第二方向的一寬度。
  9. 一種半導體結構,包括:一裝置區域;以及一密封結構,圍繞該裝置區域,該密封結構包括:一第一內部區域,包括沿一第一方向縱向延伸的複數個第一閘極結構; 一第二內部區域,通過該第一內部區域與該裝置區域間隔開,該第二內部區域包括沿該第一方向縱向延伸的複數個第二閘極結構;以及一外環區域,包括複數個第三閘極結構,其中該外環區域的一部分通過該第二內部區域與該第一內部區域間隔開,其中該些第一閘極結構的一閘極間距小於該些第三閘極結構的一閘極間距。
TW111127143A 2021-07-29 2022-07-20 半導體結構 TWI832342B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163227189P 2021-07-29 2021-07-29
US63/227,189 2021-07-29
US17/737,768 US20230030448A1 (en) 2021-07-29 2022-05-05 Seal Structures
US17/737,768 2022-05-05

Publications (2)

Publication Number Publication Date
TW202322351A TW202322351A (zh) 2023-06-01
TWI832342B true TWI832342B (zh) 2024-02-11

Family

ID=84873576

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111127143A TWI832342B (zh) 2021-07-29 2022-07-20 半導體結構

Country Status (3)

Country Link
US (1) US20230030448A1 (zh)
CN (1) CN218333806U (zh)
TW (1) TWI832342B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11728338B2 (en) * 2021-07-09 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Seal structures

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201535729A (zh) * 2014-03-06 2015-09-16 Taiwan Semiconductor Mfg Co Ltd 半導體裝置及其形成方法及積體電路

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201535729A (zh) * 2014-03-06 2015-09-16 Taiwan Semiconductor Mfg Co Ltd 半導體裝置及其形成方法及積體電路

Also Published As

Publication number Publication date
CN218333806U (zh) 2023-01-17
US20230030448A1 (en) 2023-02-02
TW202322351A (zh) 2023-06-01

Similar Documents

Publication Publication Date Title
US11855094B2 (en) FinFET devices with dummy fins having multiple dielectric layers
US11532623B2 (en) Semiconductor structure having gate-all-around devices
TW201618302A (zh) 半導體裝置以及製造該半導體裝置的方法
TW202221899A (zh) 半導體裝置
TW202224185A (zh) 半導體裝置
TWI832342B (zh) 半導體結構
TWI822189B (zh) 積體電路晶片以及用於製造互連結構的方法
TWI814378B (zh) 積體電路晶片
US11728338B2 (en) Seal structures
CN219017657U (zh) 集成电路芯片及角落应力释放结构
US20230397502A1 (en) Seal structures
TWI822077B (zh) 積體電路晶片
US20230154870A1 (en) Dual side seal rings
US20230040287A1 (en) Seal ring patterns
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US20240071829A1 (en) Semiconductor structure and method for forming the same
KR20220015957A (ko) 반도체 구조체 및 그 형성 방법
KR20230133744A (ko) 메모리 구조물 및 그 형성 방법