TWI831968B - 虛擬半導體裝置製造環境中之製程窗的最佳化系統及方法 - Google Patents

虛擬半導體裝置製造環境中之製程窗的最佳化系統及方法 Download PDF

Info

Publication number
TWI831968B
TWI831968B TW109115374A TW109115374A TWI831968B TW I831968 B TWI831968 B TW I831968B TW 109115374 A TW109115374 A TW 109115374A TW 109115374 A TW109115374 A TW 109115374A TW I831968 B TWI831968 B TW I831968B
Authority
TW
Taiwan
Prior art keywords
virtual
optimization
computing device
variable parameters
manufacturing environment
Prior art date
Application number
TW109115374A
Other languages
English (en)
Other versions
TW202107325A (zh
Inventor
威廉 J 伊根
阿許曼 孔瓦爾
肯尼斯 B 格雷內爾
大衛 M 佛萊德
Original Assignee
美商科文特股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科文特股份有限公司 filed Critical 美商科文特股份有限公司
Publication of TW202107325A publication Critical patent/TW202107325A/zh
Application granted granted Critical
Publication of TWI831968B publication Critical patent/TWI831968B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/22Yield analysis or yield optimisation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

討論包含用以進行製程窗最佳化之分析模組之半導體裝置製造用的虛擬製造環境。

Description

虛擬半導體裝置製造環境中之製程窗的最佳化系統及方法
[相關申請案]本申請案主張2019年5月10日申請之名為「System and Method for Process Window Optimization in a Virtual Semiconductor Device Fabrication Environment」的美國專利臨時申請案US 62/846,402為優先權母案,將其所有內容包含於此作為參考。
本發明係關於包含用以進行製程窗最佳化之分析模組之半導體裝置製造用的虛擬製造環境。
積體電路(IC)實現了無數現代電子裝置的能力。為了使IC的發展更有效率,半導體製造商週期性地發展用於積體電路製造的共同製造處理或「技術」 (為了便於解釋,「技術」一詞在文中可用以指涉正在發展之半導體裝置結構用的製造處理)。
在整合裝置製造商(IDM)及獨立代工廠處的半導體發展機構花費大量資源發展於用以製造其自晶圓販售之晶片(IC)的處理操作整合程序(「晶圓」為半導體材料的薄片,其通常但並非總是由矽晶體所構成)。大部分的資源係花在製造實驗晶圓及相關量測、度量(「度量」係指在半導體業中進行之特別類型的量測)及特徵化結構,其目的皆在於確保整合處理能製造出期望的半導體裝置結構。此些實驗晶圓係用於試誤方案中以發展裝置結構之製造用的各別處理且亦發展整體的整合處理流程。由於先進技術節點處理流程日益增加之複雜度,大部分的實驗製造執行導致負面或無效的特徵化結果。此些實驗執行耗費時間(在「工廠」(製造環境)中進行幾個禮拜至幾個月)且昂貴。半導體技術的進步(包含鰭式電晶體、三閘極電晶體、高介電常數/金屬閘極電晶體、嵌式記憶體、及先進圖案化)已劇烈增加積體半導體製造處理的複雜度。使用此試誤實驗方法之技術發展的成本及所需時間同時增加。
業界已試著使用傳統的電腦輔助設計(CAD)工具及特殊技術CAD (TCAD)工具,模型化半導體裝置結構,期望能達到減少花費在製造實驗晶圓的精力的目標。已發現一般目的的機械CAD工具並不適合,因為其不能自動模仿真實工廠中發生的材料的添加、移除、及修飾處理。另一方面,TCAD工具為基於物理的模型平台,其能模擬在擴散及植入處理期間發生的材料組成改變,但並不能模擬在包含整合處理流程之其他處理期間所發生之所有的材料添加及移除效應。一般而言,3D裝置結構為TCAD的輸入而非輸出。又,由於處理之基於物理之模擬所需的數據及計算量,TCAD模擬實際上被限制至片上的極小區域,大部分的情況僅包含單一電晶體。在最先進的半導體製造技術中,大部分的整合挑戰顧慮在整合處理流程中可能相互遠離之處理之間的作用、複數不同裝置之間的作用、及包含全技術套件(電晶體、電阻器、電容器、記憶體等)之電路之間的作用。來自系統性及隨機效應兩者的結構故障通常為限制新處理技術節點快速上市的絆腳石。是以,需要不同於機械CAD或TCAD的模型平台及方法,以涵蓋大範圍的顧慮並以結構性預測的方式模型化整個整合處理流程。
半導體裝置結構的虛擬製造環境提供一個進行半導體處理發展的平台,其相較於傳統的試誤物理實驗具有較低成本及更高速度。相對於傳統的CAD及TCAD環境,虛擬製造環境能夠虛擬模型化整合處理流程並預測所有裝置及包含整個技術套件之電路的完整3D結構。當結合整合處理程序之敘述與主體設計時可以最簡單的形式描述虛擬製造、可以2D設計數據(光罩或佈局)的形式描述虛擬製造,並產生能預測自真實/物理製造執行所期望之結果的3D結構模型。3D結構模型包含複數材料層、植入、擴散等的精準幾何3D形狀,其包含晶片或晶片的一部分。虛擬製造係主要以幾何的方式完成,但幾何的涉入係由製造處理的物理所指示。藉著在抽象結構層次處進行模型(而非進行基於物理的模擬),可大幅加速結構模型的建立,致能電路層次區域規模的全技術模型。因此,使用虛擬製造環境能提供處理假設的快速驗證及整合處理程序與2D設計數據之間之複數相互關係的視覺化。
本發明之實施例提供一種半導體裝置製造用之虛擬製造環境,其包含用以進行製程窗最佳化的一分析模組。更具體而言,該分析模組讓一使用者能接取一虛擬製造環境以進行一製程窗最佳化(PWO),以分析及瞭解處理記錄(POR)之良率對複數參數標稱值的敏感度及窗尺寸。良率對每一參數之敏感度的分析係由該虛擬製造環境中的一分析模組所計算且結果係以圖形化的方式顯示。實施例讓使用者能藉著自POR調整參數標稱及被允許的範圍,在評估良率改善的搜尋空間內產生「假設推定(what-if)」分析。在一實施例中,PWO可計算在現行搜尋空間下能最大化良率的一參數組。
在一實施例中,一種在虛擬半導體製造環境中進行製程窗最佳化之計算裝置所執行之方法,其係由配有一或多個處理器的至少一計算裝置所執行,該方法包含,針對欲在計算裝置所產生之虛擬製造環境中虛擬製造之半導體裝置結構用的實驗設計(DOE)接收一選定組的2D設計數據及一處理程序。該處理程序包含複數處理步驟。該方法亦包含,接收在該DOE中複數處理步驟之一或多者用之一或多個經選定之變數參數的一或多個輸入因子,及基於該DOE在該虛擬製造環境中以均勻或近似均勻類型之分佈進行一模擬。該模擬包含複數虛擬製造執行,該虛擬製造執行建立該半導體裝置結構的複數3D模型。該方法更包含,藉由該虛擬製造環境中的一使用者介面,接收一或多個虛擬度量最佳化目標的一使用者選擇,該一或多個虛擬度量最佳化目標的每一選擇伴隨著一最小及最大的虛擬度量值。此外,該方法包含,藉著將一搜尋空間限制至滿足該虛擬度量最佳化目標之該複數最小及最大的虛擬度量值的複數結果以在該虛擬製造環境中識別一最佳化結果及顯示或匯出與該一或多個經選定之變數參數之每一者相關之該最佳化結果,該最佳化結果指示與該一或多個經選定之變數參數之每一者相關的一良率。
在另一實施例中,一虛擬製造系統包含配有一或多個處理器的至少一計算裝置,該至少一計算裝置係用以產生包含一分析模組的一虛擬製造環境。該虛擬製造環境係用以:針對欲虛擬製造之半導體裝置結構用的實驗設計(DOE)接收一選定組之2D設計數據及包含複數處理步驟的一處理程序;及接收在該DOE中複數處理步驟之一或多者用之一或多個經選定之變數參數的一或多個輸入因子。該虛擬製造環境係用以基於該DOE以均勻或近似均勻類型之分佈進行一模擬。該模擬包含複數虛擬製造執行,該虛擬製造執行建立該半導體裝置結構的複數3D模型。該虛擬製造環境係亦用以藉由一使用者介面,接收一或多個虛擬度量最佳化目標的一使用者選擇。該一或多個虛擬度量最佳化目標的每一選擇係伴隨著一最小及最大的虛擬度量值。又,該虛擬製造環境係用以藉著將一搜尋空間限制至滿足該虛擬度量最佳化目標之該複數最小及最大的虛擬度量值的複數結果,以識別一最佳化結果及顯示或匯出與該一或多個經選定之變數參數之每一者相關之該最佳化結果,該最佳化結果指示與該一或多個經選定之變數參數之每一者相關的一良率。該虛擬製造系統亦包含與該至少一計算裝置交流的一顯示表面。該顯示表面係用以以3D視圖顯示3D結構模型及顯示該最佳化結果。
本發明之實施例提供一種半導體裝置製造用之虛擬製造環境,其包含用以進行製程窗最佳化的分析模組。然而,在討論製程窗最佳化及其他實施例所提供之特徵前,先說明例示性之3D設計環境/虛擬製造環境,本發明之分析模組可整合至3D設計環境/虛擬製造環境中。 例示性之虛擬製造環境
圖1顯示適合實施本發明一實施例之例示性虛擬製造環境1。虛擬製造環境1包含可被使用者2接取之計算裝置10。計算裝置10係與顯示器120交流。顯示器120可為作為計算裝置10之一部分的顯示器螢幕,或可為分離的顯示器裝置或與計算裝置10交流的顯示表面。計算裝置10可為PC、筆記型電腦、平板計算裝置、伺服器、或具有一或多個處理器11且能支援虛擬製造應用程式70、3D模型引擎75及分析模組79(下面將更進一步說明)之操作的某些其他類型的計算裝置。處理器(複數處理器)可具有一或多個核心。計算裝置10亦可包含揮發性及非揮發性儲存裝置例如但不限於隨機存取記憶體(RAM)12、唯讀記憶體(ROM)13及硬碟14。計算裝置10亦可配有網路介面15以致能與其他計算裝置的交流。應明白,亦可使用非獨立計算裝置的計算裝置10作為具有平行工作之複數計算裝置或其他組合的計算系統。
計算裝置10可儲存及執行包含3D模型引擎75的虛擬製造應用程式70。3D模型引擎75可包含一或多個演算法如用以虛擬製造半導體裝置結構的演算法1(76)、演算法2(77)及演算法3(78)。3D模型引擎75可接受輸入數據20以進行能產生半導體裝置結構模型數據90的虛擬製造「執行」。虛擬製造應用程式70及3D模型引擎75可產生許多用以產生及顯示虛擬製造執行結果的使用者介面及畫面。例如,虛擬製造應用程式70及3D模型引擎75可顯示用以產生虛擬製造執行的佈局編輯器121、處理編輯器122及虛擬製造主控台123。虛擬製造應用程式70及3D模型引擎75亦可顯示表格、及分別顯示虛擬製造執行與3D結構模型結果的圖形化度量結果畫面124與3D畫面125,3D結構模型係由3D模型引擎75在半導體裝置結構之虛擬製造期間所產生。虛擬製造應用程式70亦可包含用以進行包含下面更將討論之製程窗最佳化之3D模型之分析的分析模組 79。
輸入數據20包含2D設計數據30及處理程序40。處理程序40可由複數處理步驟43、44、47、48、及49所組成。如文中更一步討論的,處理程序40亦可包含一或多個虛擬度量量測處理步驟45。處理程序40更可包含一或多個子程序,子程序包含複數處理步驟或複數虛擬度量量測處理步驟中的一或多者。2D設計數據30包含一或多層如層1(32)、層2(34)、及層3(36),一般而言此些層係以業界標準佈局形式如GDS II(圖案化設計系統版本2)或OASIS(開放式美術品系統互換標準)。
輸入數據20亦可包含具有材料類型及每一材料類型之特定材料之記錄的材料數據資料庫60,材料類型例如是材料類型1(62)與材料類型2(64)。處理程序中之複數處理步驟中的許多步驟可參考材料數據資料庫中的一或多種材料。每一材料具有一名稱及某些特性如現色。材料數據資料庫可被儲存在分離的數據結構中。材料數據資料庫可具有階級性,在階級性中材料可以類型及次類型加以分組分群。處理程序中的各別步驟可參考各別材料或母材料類別。材料數據資料庫中的階級性使參考材料數據資料庫的處理程序更容易受到修改。例如,在半導體裝置結構的虛擬製造中可在處理程序過程期間將複數種類的氧化物材料添加至結構模型。在添加特定氧化物之後,後續的步驟可改變該材料。若在材料數據資料庫中沒有階級性且添加一新類型氧化物材料的步驟被插入至現行的處理程序中,則必須修改所有可能影響該氧化物材料的後續步驟以包含該新類型之氧化物材料。當具有支持階級性之材料數據資料庫時,在特定種類之材料如氧化物上操作的步驟可僅參考母類型而不參考相同類型之材料的列表。接著,若添加一新類型氧化物材料的步驟被插入至處理程序中,毋須修改僅參考氧化物母類型的後續步驟。是以,階級式的材料讓處理程序對修改更有彈性。階級式材料的更進一步優點為,可產生及重新使用僅參考母材料類型的處理步驟及程序。
3D模型引擎75使用輸入數據20進行處理程序40所指定的操作/步驟的程序。如下面將更進一步解釋的,處理程序40可包含一或多個虛擬度量步驟45、49,虛擬度量步驟指示在虛擬製造執行期間在處理程序中的一個點,在此點處應取得結構元件的量測值。可利用先前添加至2D設計數據30中之一層的定位標記形狀來取得量測值。或者,量測位置可以其他替代方式而非使用定位標記形狀指定:如2D設計數據中之(x, y)座標、或在2D設計數據30中指出位置的某些其他方式。在虛擬製造執行期間進行處理程序40產生虛擬度量數據80及3D結構模型數據90。3D結構模型數據90可用以產生半導體裝置結構之結構模型之3D畫面,3D畫面可顯示於3D檢視器125上。虛擬度量數據80可受到處理然後以表格及圖形化度量結果畫面124的形式顯示予使用者2。
由於大量的結構尺寸對於整合技術如半導體裝置的成功而言是很關鍵的,因此找到許多用以製造裝置結構之內關聯處理步驟與被產生之結構之間的關係是很關鍵的。由於處理程序中一步驟所產生的結構修改可能會受到程序中之先前及後續步驟的影響,一特定步驟可以不明顯的方式影響一結構尺寸。虛擬製造環境能自裝置自動擷取已被產生的結構量測值。量測值的自動擷取係藉由下列方式完成:在處理程序中於處理中當量測至關重要的點處指定虛擬度量量測步驟。此虛擬度量量測用的定位標記形狀可被添加至設計數據中的一層並被虛擬度量量測步驟所指定。來自此虛擬度量量測的輸出數據可被用以提供與其他模型結果或與物理度量量測值的量化比較。此虛擬度量量測能力係於處理程序期間提供以在整合處理流程的正確點處擷取關鍵物理尺寸。
在裝置結構中之特定位置處提供虛擬度量量測數據的能力可提供優於傳統物理工廠量測技術的大幅改善。一般而言,在與產品晶粒相鄰的切割道或切割縫中所製造出的特定特徵化結構上進行工廠內物理量測。在大多數的情況中,必須設計此些特徵化結構以適配量測技術的限制如光點尺寸。因此,特徵化結構並不能完全代表產品晶粒上的真實結構。由於此些表異,工廠內量測值的使用者常面臨自特徵化結構上之量測值推斷產品結構上之結果的挑戰。在虛擬製造環境中,可將量測值添加至處理程序中指定點處的任何設計佈局,因而對正在建構之虛擬結構模型上之內關聯處理步驟的效應提供更多洞見。是以,能消除工廠內的量測特徵化結構及推斷產品結構上之結果的挑戰。
圖2顯示在虛擬製造環境中建立虛擬製造執行的例示性之虛擬製造主控台123。虛擬製造主控台123允許使用者指定正在被虛擬製造之半導體裝置結構用的處理程序202及佈局(2D設計數據)204。然而應明白,虛擬製造主控台亦可為基於文字的腳本編寫主控台,其提供使用者進入腳本編寫指令的方式,指令指定所需的輸入及發起建立結構模型或建立對應至處理程序中特定步驟用之複數參數值之範圍的一組結構模型。後者的情況被認為是虛擬實驗(下面將更進一步討論)。
圖3顯示虛擬製造環境中之例示性佈局編輯器。佈局編輯器121顯示虛擬製造主控台123中之使用者所指定的2D設計佈局。在佈局編輯器中,可使用顏色來顯示設計數據中的不同層。在每一層上以形狀或多邊形所圍繞的區域代表晶圓上光阻塗層在整合處理流程中的微影步驟中會受到曝光或被保護不受曝光的區域。可結合(布林)一或多層上的形狀以形成在微影步驟中使用的光罩。佈局編輯器121提供在任何層上插入、刪除及修改多邊形及在2D設計數據內插入、刪除或修改層的手段。可以僅為了包含指示虛擬度量量測位置之形狀或多邊形的目的而插入一層。矩形形狀302、304、306已被添加至插入層(以不同顏色指示)並標示虛擬度量量測的位置。如前所述,在虛擬製造環境中除了使用定位標記形狀之外可使用指定虛擬度量量測位置的其他方式。設計數據係與處理數據及材料數據資料庫一起使用以建立 3D結構模型。
佈局編輯器121中所顯示之被插入設計數據中的層可包含被插入之定位標記形狀。例如,定位標記形狀可為矩形,其長邊指示3D結構模型中的量測方向。例如,在圖3中,第一定位標記形狀302可標示虛擬度量量測用之雙重圖案化模蕊、第二定位標記形狀304可標示虛擬度量量測用之閘極堆疊、且第三定位標記形狀306可標示虛擬度量量測用之電晶體源極或汲極接觸件。
圖4顯示虛擬製造環境中之例示性處理編輯器122。使用者定義處理編輯器中的處理程序。處理程序為為了虛擬製造使用者所選定之結構而進行之處理步驟的順序列表。處理編輯器可為文字編輯器因此每一行或複數行之一群組係對應至一處理步驟,或處理編輯器可為圖4中所示之專門圖形化使用者介面。處理程序可為階級式的,這意味著可將複數處理步驟群組為次程序及次程序之次程序等。一般而言,處理程序中的每一步驟係對應至工廠中的一真實步驟。例如,一反應性離子蝕刻操作的次程序可包含旋塗光阻、圖案化光阻、及進行蝕刻操作。使用者針對適用操作類型之每一步驟或子步驟指定複數參數。複數參數中的某些者參考材料數據資料庫中的材料及2D設計數據中的層。例如,一原始沉積操作用的複數參數為受到沉積的材料、沉積的標稱厚度、及橫向對垂直方向之異向性或成長比。此原始沉積操作可用以模型化真實處理如化學汽相沉積(CVD)。類似地,原始蝕刻操作用之複數參數為光罩名稱(來自於設計數據)、受到操作影響之複數材料的列表、及異向性。
在處理程序中可能有數百個步驟且處理程序可包含次程序。例如,如圖4中所示,處理程序410可包含由複數處理步驟如經選擇之步驟413所構成的子程序412。可自可用之處理步驟402的數據庫選擇處理步驟。對於所選擇之步驟413而言,處理編輯器122讓使用者能指定所有所需的參數420。例如,使用者可自材料數據資料庫404的材料列表選擇材料並針對處理步驟413中的材料使用指定處理參數406。
處理程序中的一或多個步驟可為使用者所插入的虛擬度量步驟。例如,在處理程序412中插入步驟4.17「量測CD (CD代表關鍵尺寸)」(414)會造成:利用先前在2D設計數據中之一或多層上所插入的一或多個定位標記形狀在虛擬製造執行中的該點處取得一虛擬度量量測值。直接在製造程序中插入虛擬度量步驟允許在製造處理期間取得受關注之關鍵點處的虛擬度量量測值。由於虛擬製造中的許多步驟皆與最終結構的產生交互作用,因此對於處理研發者及結構設計者非常關注能判斷整合處理流程中之不同點處之結構之幾何特性如橫剖面尺寸及表面積的能力。
圖5顯示虛擬製造環境中用以產生虛擬度量量測數據的步驟的例示性程序。程序始於使用者選擇欲製造之半導體裝置結構(步驟502)。使用者可自設計數據檔案之複數可用組中選擇,然後在設計數據內選擇矩形區域。例如,使用者可選擇鰭式電晶體或被動電阻器或記憶胞。在決定/選擇欲製造之結構之後,使用者進入處理編輯器122中的處理程序(步驟504a)並選擇預期能得到期望結構的2D設計數據(步驟504b)。選擇性地,使用者可產生或修改佈局編輯器121中的設計數據。在處理編輯器中,使用者可在處理程序中插入一或多個虛擬度量步驟(步驟506a),虛擬度量步驟指定了在虛擬製造期間使用者欲在所涉及之結構中的特定位置處取得虛擬度量量測值的點。使用者可在佈局編輯器121中所顯示的2D設計數據中插入定位標記形狀(步驟506b),在虛擬度量步驟進行其量測時可使用定位標記形狀。定位標記形狀的重要性取決於所要求之量測的類型。例如,矩形形狀的長軸可指示在結構之橫剖面上取得長度量測值的方向及範圍,或矩形本身可指定欲量測之兩種材料之間之接觸面積的區域。應明白,在虛擬製造環境中,處理編輯器中的上述兩種步驟可在佈局編輯器中之步驟之前或之後進行。
在已將一或多個定位標記形狀添加至2D設計數據中之一或多個層 (步驟506b)且已將虛擬度量步驟(複數步驟)添加至處理程序(506a)之後,使用者利用虛擬製造主控台123建立虛擬製造執行(步驟(508)。在虛擬製造執行期間,以3D模型引擎75所指定的順序進行處理程序40中的複數處理步驟。當虛擬製造到達虛擬度量步驟時,對正在製造之結構中的特定元件進行虛擬「量測」。模型引擎所進行的計算取決於所要求之量測的本質,且大致上與工廠中的類似物理量測技術一致。例如,工廠中的關鍵尺寸掃描電子顯微鏡(CD-SEM)量測藉著偵測結構之上表面之位向的快速改變而鎖定側壁。類似地,在虛擬度量操作中,3D模型引擎擷取定位標記矩形所指定之區域中之結構的上表面,沿著其與一平面的交集探詢其表面,該平面係由矩形之長軸與斜率改變超過閾值(如5度)之垂直軸之間的交集所定義。斜率的大改變定義特徵部的面如結構之脊部的底部、頂部及側邊。已建立特徵部之底部、頂部及側邊的位置之後,在度量步驟所指定的垂直位置(底部、中間、或頂部)處計算特徵部之側壁之間的距離。當3D模型引擎建立結構模型時,其產生一或多種輸出。一類型之輸出為結構模型本身且可包含其在處理程序中之一或多個點處的狀態。在3D檢視器125中3D模型可被顯示予使用者(步驟512a)。3D模型引擎亦匯出虛擬度量數據(步驟510)。虛擬度量數據80可被匯出至自動數據分析工具以用於進一步處理、或虛擬度量數據80可經由使用者介面以表格與圖形化度量結果畫面124的形式或其他畫面顯示予使用者(步驟512b)。若在觀看或分析結構時發現其為令人滿意的(步驟513),虛擬製造執行結束(步驟514)。若3D模型引擎所產生的結構令人不滿意,使用者修改處理程序及/或2D設計數據(步驟516)並建立一新虛擬製造執行(步驟508)。
圖6顯示虛擬製造環境中之例示性之3D檢視器125。3D檢視器75可包含用以顯示3D模型引擎75所產生之3D模型的3D觀看畫面602。3D檢視器75可顯示處理程序中受到儲存的狀態604且允許特定狀態成為被選定之狀態606且顯示於3D觀看畫面中。3D檢視器提供功能性如放大/縮小、旋轉、平移、橫剖等。選擇性地,使用者可活化3D觀看畫面602中的橫剖面視圖並使用微型上視圖608操控橫剖面的位置。
來自3D模型引擎75的另一類型輸出為被包含於處理程序中之虛擬度量步驟所產生的數據。圖7顯示虛擬製造環境中複數虛擬度量量測步驟所產生之虛擬度量量測數據80的例示性顯示。虛擬度量量測結果數據80可以表格或圖形化形式顯示,其包含2D X-Y繪圖及多維圖。
例示性虛擬製造環境中所使用的技術為基於幾何的。因此建議利用來自物理製造之實驗結果來校正處理步驟輸入參數而使虛擬實驗更有可預測性。處理步驟結果之此類校正能造成包含全技術套件之所有結構的較佳模型精準度。可自特徵化結構或產品結構上之量測值、度量或其他物理特徵化方法,在各別處理步驟上執行校正。校正可藉由下列方式進行:比較模型結果(包含虛擬度量量測數據)與實體工廠中(在對應之特徵化或產品結構上)進行的對應量測或度量,接著調整模型參數俾使虛擬製造出之結構更匹配物理製造出之結構。利用模型處理參數的適當校正,虛擬製造環境變得在整個允許得設計空間內更能預測自物理製造所得到的結構。
圖8顯示虛擬製造環境中用以校正處理程序之步驟的例示性程序。程序包含在虛擬製造環境及對應之物理工廠環境中所採取的步驟。在虛擬製造環境中,使用者選擇欲校正之處理程序(針對欲虛擬製造之結構)並識別相關的處理參數(步驟802a)。使用者識別在實體工廠中製造執行期間量測用之一組特徵化或產品結構(步驟802b)。回到虛擬製造環境中,使用者進入處理編輯器中的處理程序(步驟804a),自可用的2D設計數據或針對佈局編輯器121中之目的所產生的2D設計數據選擇定義特徵化結構的2D設計數據(佈局)(步驟804b)。針對虛擬製造及真實特徵化,使用相同的設計數據。如上所討論的,使用者將一或多個虛擬度量步驟插入至處理程序中(步驟806a)並將量測定位標記形狀添加至2D設計數據(步驟806b)。使用者在虛擬製造主控台中建立虛擬工廠執行(步驟808),3D模型引擎建立3D模型並產生及匯出虛擬度量數據(步驟812a)。以與虛擬製造執行平行或錯開的方式,物理製造環境產生特徵化或產品結構(步驟810)並在此些結構上取得工廠內的影像與量測值(步驟812b)。接著使用者可比較在3D檢視器75中經產生之虛擬模型的3D畫面與物理裝置結構的工廠內影像(步驟814a)。又,可比較該組特徵化結構之量測值與因將虛擬度量步驟插入至處理程序所取得之虛擬度量量測值 (步驟814b)。在大多情況中,此比較係由使用者進行,但比較亦可由自動數據分析工具基於預先設定或互動獲得之標準進行。若虛擬與真實量測之畫面與影像之間有令人滿意的一致性(步驟815),認定處理程序已被校正(步驟816)。然而,若獲得令人不滿意的一致性(步驟815),使用者修改處理編輯器中之處理參數的數值(步驟818),並在虛擬製造主控台中建立一新的虛擬製造執行(步驟808)。接著程序重覆,直到達到令人滿意的一致性並達到校正。
應明白,在程序內有許多欲校正的不同參數。雖然上面的說明使用在處理程序插入虛擬度量步驟並關聯使用2D定位標記形狀或形狀以進行虛擬度量量測,但在虛擬製造環境中可使用其他技術。例如,可在完成製造之後於虛擬裝置結構上進行虛擬量測,接著在物理製造執行期間/之後比較虛擬量測值與自特徵化結構所取得之物理量測值。
雖然建立單一結構模型可能是很有價值的,但建立大量模型之虛擬製造有更高的價值。虛擬製造環境可致能使用者產生及執行虛擬實驗。在虛擬實驗中,可探索複數處理參數之複數值的範圍。可藉著在全處理程序中指定欲應用至各別處理之一組參數值(而非每一參數單一數值)而建立虛擬實驗。可以此方式明定單一處理程序或複數處理程序。接著,在虛擬實驗模式下執行的3D模型引擎75建立橫跨處理參數組的複數模型,同時使用上述之虛擬度量量測操作以擷取每一變異之度量量測數據。可使用此能力去模仿通常在實體工廠環境中進行的兩個基本類型實驗。首先,製造處理在本質上以隨機(非決定性)的方式變化。如文中所解釋的,每一虛擬製造執行用之基本決定性方法仍可藉由進行複數執行預測非決定性結果。虛擬實驗模式允許虛擬製造環境在每一處理參數之變異的整個統計範圍上及許多/所有處理參數中之變異的組合上進行模型化。再者,實體工廠中的實驗執行可能會指定在製造不同晶圓時可能會刻意變化的一組參數。虛擬實驗模式藉著在一參數組之複數特定變異上進行複數虛擬製造執行,致使虛擬製造環境能極佳地模仿此類實驗。
製造程序中的每一處理皆具有其固有變異。瞭解在複雜流程中所有匯總處理變異的效應是極困難的,尤其是在考慮到變異之組合的統計機率時尤其困難。一旦產生了虛擬實驗後,處理程序基本上係由處理敘述中所包含之數值處理參數的組合所描述。此些參數中的每一者可被其總變異(就標準差或西格瑪值)所特徵化,因此可被高斯分佈或其他適當機率分佈上的複數點所特徵化。若設計並執行虛擬實驗以檢驗處理變異的所有組合(每一高斯分佈上的複數點如±3西格瑪、±2西格瑪、±1西格瑪、及每一參數的標稱值),則來自程序中之虛擬度量步驟的所得圖形化及數值化輸出涵蓋技術的總變異空間。即使在此實驗研究中的每一情況皆被虛擬製造系統決定性地模型化,虛擬度量結果之整體仍包含統計分佈。可使用簡單的統計分析如在統計上不相關聯之參數的均方根(RSS)計算,以歸結測度實驗之每一情況的總變異。接著,可相對於總變異測度分析所有數值化及圖案化的虛擬度量輸出。
在物理工廠中的典型試誤實驗中,瞄準自標稱處理所得到之結構量測值,並藉著針對接續處理中必須預知之結構量測中之總變異指定過大(保守)的餘裕而考慮處理變異。相對地,在虛擬製造環境中的虛擬實驗可提供整合處理流程中任何點處針對結構量測之總變異包絡的量化預測。接著,結構量測之總變異包絡而非標稱值成為研發目標。此方法可確保在整合處理流程全程中之可令人接受的總結構餘裕但卻不犧牲關鍵的結構設計目標。瞄準總變異的此方法可達成次佳之標稱中間結構或最終結構,其次於(或在美學上令人較不愉悅的)藉著瞄準標稱處理所產生的標稱結構。然而,由於已考慮總處理變異之包絡且總處理變異之包絡在決定整合處理流程之穩健性與良率上更為重要,故此次最佳標稱處理並非是關鍵的。此方法為半導體技術發展中的典範轉移,從強調標稱處理轉移至強調總處理變異之包絡。
圖9顯示虛擬製造環境中用以建立及進行虛擬實驗之步驟的例示性程序,虛擬實驗產生複數半導體裝置結構模型用之虛擬度量量測數據。程序始於使用者選擇處理程序(先前已校正過以使結果更能預測結構)(步驟902a)並識別/產生2D設計數據(步驟902b)。使用者可選擇欲分析之處理參數變異(步驟904a)及/或欲分析之設計參數變異(步驟904b)。如上述使用者在處理程序中插入一或多個虛擬度量步驟(步驟906a)並將量測定位標記形狀添加至2D設計數據(步驟906b)。使用者可藉由專門的使用者介面即自動參數探測器126建立虛擬實驗。例示性之自動參數探測器係顯示於圖10中且可顯示並允許使用者改變欲改變的處理參數1002、1004、1006及欲以其對應之不同參數值1008建立的3D模型的列表。可在表格格式中指出虛擬實驗用的參數範圍。3D模型引擎75建立3D模型並匯出虛擬度量量測數據以進行評閱(步驟910)。虛擬實驗模式提供來自所有虛擬量測/度量操作的輸出數據處理。來自虛擬度量量測之輸出數據可被剖析並組合成一有用的形式(步驟912)。
利用此剖析與組合,可進行後續的量化及統計分析。可使用分離的輸出數據收集器模組110自包含虛擬實驗之虛擬製造執行的程序收集3D模型數據及虛擬度量量測結果,並以圖形化及表格形式呈現之。圖11顯示虛擬製造環境中虛擬實驗所產生之虛擬度量數據的例示性表格格式顯示。在表格形式的顯示中,顯示在虛擬實驗期間收集到的虛擬度量數據1102及虛擬製造執行1104的列表。
圖12顯示虛擬製造環境中之虛擬實驗所產生之虛擬度量數據的例示性2D X-Y圖形化繪圖。在圖10所繪示的實例中顯示,因變化處理程序之前步驟中之3個參數之淺溝槽(STI)段差高度的總變異。每一菱形1202代表一虛擬製造執行。亦顯示變異包絡1204,結論1206顯示下游處理模組必須支援約10.5 nm 之STI段差高度的總變異以達到進入變異之6西格瑪的穩健性。亦可以多維度圖形化格式顯示虛擬實驗結果。
一旦組合虛擬實驗之結果後,使用者可在3D檢視器評閱已產生的3D模型(步驟914a)並評閱針對每一虛擬製造執行所呈現的虛擬度量量測數據及測度(步驟914b)。取決於虛擬實驗的目的,為了建立能達到期望標稱結構模型之處理程序的目的、為了更進一步校正處理步驟輸入參數的目的、或為了最佳化處理程序以達到期望製程窗,使用者可分析來自3D模型引擎的輸出。
3D模型引擎75之建立複數參數值之範圍用之複數結構模型(包含虛擬實驗)的任務是非常需要大量計算的,因此若在單一計算裝置上進行可能需要極長的時間(許多天或星期)。為了提供虛擬製造的意向值,為了虛擬實驗建立模型必須比物理實驗更是許多倍。利用現行的電腦達成此目標必須剝削平行性的任何及所有機會。3D模型引擎75使用多核及/或處理器進行各別模型步驟。此外,針對一組中之不同參數值的複數結構模型係完全獨立的,因此可利用多核、複數處理器或複數系統平行建立。
虛擬製造環境中的3D模型引擎75可代表體素形式的下方結構模型。體素為基礎的3D像素。每一體素為相同尺寸的一立方體,且可包含一或多種材料或不包含材料。此領域中具有通常技術者應明白,3D模型引擎75亦可呈現其他形式的結構模型。例如,3D模型引擎可使用傳統之NURBS系的固態模型核心如在3D機械CAD工具中所使用的,基於數位體素表現的模型操作比傳統類比固態模型核心中的對應操作遠遠地更穩健。此類固態模型核心大致上仰賴大量的啟發式規則以處理各種幾何情況,當啟發式規則無法適當地預測情況時,模型操作可能會失敗。對NURBS系之固態模型核心造成問題的半導體結構模型的態樣包含沉積處理所產生之極薄膜層以及蝕刻前端傳播導致面融合及/或幾何碎片化。
虛擬製造環境可進行被包含在處理程序中的多蝕刻處理,其允許3D模型引擎75模型化廣泛範圍的處理及特定材料的蝕刻行為。處理流程中針對高度微縮之半導體裝置的圖案化操作通常利用電漿蝕刻加以進行。電漿蝕刻已知有許多不同的名稱:乾蝕刻、反應性離子蝕刻(RIE)、感應耦合電漿(ICP) 蝕刻等。廣泛變化的操作條件及化學品讓製程工程師能微調電漿蝕刻行為以選擇性地達到複數不同類別之材料中的多樣蝕刻物理作用。此行為彈性為當圖案化貫穿數層材料時達到期望3D結構的關鍵。通常涉及數種不同類型的物理作用,其包含但不限於:化學蝕刻、濺射、聚合物材料之沉積或再沉積、靜電充電、靜電聚焦、及遮蔽。此多樣性範圍的物理作用產生蝕刻行為的相應範圍因此得到結構形狀的相應範圍。
直接以充分的精準度模擬電漿蝕刻中所涉及的物理作用是極困難且緩慢的。多蝕刻處理步驟藉著利用下列者模擬電漿蝕刻以避免基於物理之模擬的困難:針對蝕刻類型及受到蝕刻之材料所特定之較小組的行為參數。這允許吾人捕捉到廣泛範圍之物理蝕刻行為但毋須直接模擬蝕刻處理的物理作用。例如,可模擬三個主要類型之蝕刻行為:等向性、錐度及濺射。亦可選擇性地模擬第四種類型之蝕刻行為即遮蔽。
基礎的(等向性)行為係由化學蝕刻所(物理性)造成,且無論可蝕刻之表面的局部位向為何,會自可蝕刻表面上的點在所有方向上皆以類似速率移除材料。可以單一輸入參數「橫向比值」模型化基礎行為,橫向比例控制橫向與垂直蝕刻速率之間的比值。例如,橫向比值1(1.0)代表蝕刻速率在所有方向上都是均勻的。小於1之橫向比值代表橫向上(或垂直表面上)的蝕刻速率比垂直方向上(或水平表面上)的蝕刻速率更慢。
錐度行為係由方向性蝕刻行為及聚合物沉積之組合所(物理性)造成的。聚合物沉積因方向性蝕刻處理的副作用而發生。在水平表面之蝕刻遠快過垂直表面之蝕刻的方向性蝕刻處理期間,聚合物可累積於近垂直之表面上。蝕刻與沉積之間的競爭會造成錐度的側壁輪廓。可利用單一輸入參數即錐度角度來模型化錐度行為。錐度角度描述了沉積與蝕刻速率達到平衡的關鍵角度。選擇性的第二參數即橫向比值對於基本行為而言具有上面所定義的相同意義。
濺射行為係指藉由能量性離子之轟擊而直接物理移除材料,其會導致突出邊緣(凸緣)甚至在某些情況中會導致角落的優先移除。可利用兩個參數模型化濺射:最大濺射良率的角度、及相對於垂直蝕刻速率的濺射率。
遮蔽係指局部高度變化而造成之方向性離子通量的減少,能有效地減少某些結構的蝕刻速率。此效應在某些情況中可能會很明顯,造成胞體各處不同的蝕刻速率。可利用單一參數模型化遮蔽,此參數可說明能量離子相對於垂直軸的入射角度。
為了模型化多材料之多物理蝕刻,必須使上述之輸入參數形成為虛擬製造環境中的適當數值模型演算法。數值模型演算法包含單一材料與多材料之速度函數及表面演進技術。單一材料速度函數定義蝕刻速度為局部表面位向(即表面法方向)的函數,且係以經驗方式決定以產生期望的蝕刻行為。亦應注意,單一材料速度函數可組合多種蝕刻行為;例如,錐度與濺射蝕刻兩者皆包含與基礎(等向性)蝕刻相關的參數。材料速度函數為單一材料速度函數的組合,且計算局部蝕刻速度為局部表面位向與局部材料類型兩者的函數。蝕刻比值參數定義可蝕刻材料的相對蝕刻速率且為單一材料速度上的乘數。
當定義了速度函數後,可使用適當的表面演進技術去定位及演進三維中的可蝕刻表面的位置。可蝕刻之表面根據評估速度函數所決定之局部純量速度沿著其局部法方向平流或移動。純量速度必須在可蝕刻之表面上的關注點處計算,且當可蝕刻之表面的幾何特徵演進時必須週期性地重新計算純量速度。
用以模擬虛擬製造環境中之多蝕刻處理的數值演算法可使用許多不同類型的表面演進技術。可利用任何適合的數值空間離散化來表現移動表面。可使用明確的前端追蹤方法:實例包含線方法、點線方法(2D)及多角形表面(3D)。亦可使用交替隱性呈現表現如距離場、流體體積或體素。可使用任何適合的時間相依數值技術,沿著時間推進移動表面。
可將選擇性之磊晶處理包含至用以虛擬製造半導體裝置結構的處理程序中。選擇性之磊晶處理虛擬模型化在半導體裝置結構之結晶基板表面之上部上的結晶材料層的磊晶成長。選擇性之磊晶係廣泛用於當代的半導體處理流程中,通常是為了給予電晶體通道機械應力而改善效能。磊晶成長的關鍵特性是其與結晶方向的相依性。半導體裝置通常在單晶矽晶圓上製造;即原子以重覆性晶格結構在大部分晶圓上方連續延伸的矽材料。矽晶結構為異向性的(即並非在所有方向上都是對稱的),且矽表面在幾個特定結晶方向上較穩定。此些方向係由主要結晶平面家族(利用其米勒指標識別為<100>、<110>及<111>)所定義,且對於成長特性具有最強的影響。藉著變化磊晶處理中的壓力、溫度及化學前驅物,工程師可控制三個主平面的相對成長速率。次要平面如<211>、<311>、<411>上的成長速率亦可改變,但在決定磊晶成長結構的最終形狀上通常沒有影響。
虛擬製造環境可使用表面演進演算法模型化磊晶成長。其上發生磊晶成長(成長表面)的表面根據純量平流速度平流或移動。在選定的點處基於局部表面法方向及固定輸入參數計算成長速率,成長速率對於距離與時間而言皆為局部的且沿著其法方向的表面移動。成長表面可利用任何適合的數值空間離散化呈現。可使用明確的前端追蹤方法:實例包含線方法、點線方法(2D)及多角形表面(3D)。亦可使用交替隱性表面呈現如距離函數、流體體積或體素。可使用任何適合的時間相依數值技術,沿著時間推進移動表面。
虛擬製造環境中的選擇性之磊晶處理使用三個主要平面家族<100>、<110>及<111>的成長速率作為固定輸入參數。此些輸入參數定義了與其相關平面之任一者相對準之表面的成長速率。更進一步的輸入參數可包含相鄰之非結晶性之材料上的成長速率。在計算磊晶成長速率時亦可考慮3D模型座標系統與晶圓之晶格之間的關係。3D模型座標系統通常與2D設計數據使用相同的X與Y軸且Z軸通常垂直於晶圓的表面。亦可使用替代性的座標系統。在真實的晶圓上,晶格的位向係由圓形晶圓之邊緣上的「平」或「缺口」所指示。可使用缺口作為使2D設計數據位向沿著相對於晶格之期望方向的參考點。指出缺口(或平)類型及方向的輸入參數可定義晶格的位向以及晶圓相對於2D設計數據的相關結晶平面。應注意,此關係可以3D模型座標系統與晶格之座標系統之間的座標轉換加以描述。
利用主要平面家族的成長速率及已知晶格的位向可計算出成長表面上每一處的磊晶成長速率。對成長表面之法方向與主要平面方向對準的區域指派該主平面的速度。對成長表面不與主要平面方向對準的區域而言,必須藉由相鄰主平面方向之間的內插找到適合的速度。又,磊晶成長在結晶材料之邊界處的行為也很重要。通常在數個前處理步驟之後才進行磊晶成長,在這些前處理步驟中會沉積及圖案化非結晶性之材料。此些非結晶性之材料可能與結晶材料相鄰因此鄰近磊晶成長。相鄰材料之非結晶性的實例為二氧化矽、氮化矽、或半導體處理中常見的任何其他材料。在某些情況中,磊晶成長沿著相鄰之非結晶性之材料緩慢爬行(過度成長),但在其他情況中不會如此。可利用定義其上發生過度成長之相鄰材料(過度成長材料)及沿著過度成長材料成長表面的爬行速度之組合的固定輸入參數,模型化過度成長行為。過度成長速度修改在過度成長材料之表面處的磊晶成長速率,俾使成長表面以特定速度沿著過度成長材料移動。此外,成長表面沿著過度成長材料移動的速度可取決於過度成長材料表面與成長表面之間的角度。若兩表面之間的角度大於一閾值角度,可忽略過度成長速度。
在虛擬製造環境中可進行設計規則檢查(DRC)或光學規則檢查(ORC)。DRC與ORC通常係由專門的軟體在2D設計數據上進行,作為準備將2D設計數據轉換為光微影光罩的處理的一部分。此類檢查進行的目的在於識別出佈局中可能會造成不作動或作動不良之晶片的錯誤。在添加光學效應之補償如光學近接修正(OPC)之後亦進行檢查。典型的設計規則(出版為設計手冊並編碼至DRC檔(DRC deck)中)為簡單的2D標準,其意在避免基本上本質為3D的問題。然而,隨著半導體處理技術的日益複雜,設計手冊已成長為千頁的文件且具有幾千條編碼與解釋用的2D設計規則。在許多情況中,單一3D故障機制/考量可能會驅動數百條 2D設計規則。此些2D設計規則的研發需要對於整合處理流程之3D本質以及所得結構的大量假設。
已自可導致過度保守設計之相對簡單的計算發展出2D DRC。例如,考慮2D設計規則需要保證金屬內連線層與下方通孔之間的最小接觸面積。通孔為兩內連線層(亦稱為金屬層)之間的垂直導電連接件,或一內連線層與一裝置如電晶體、電阻器或電容器之間的垂直連接件。
需要許多額外的2D DRC來滿足3D中極容易描述的標準:金屬線與通孔之間的接觸面積必須要超過特定閾值。當吾人考慮到複數製造變異可能會影響接觸面積時,2D DRC情況變得甚至更複雜,上述之製造變異包含微影步驟期間之過度或不足曝光、光罩的錯誤登錄、通孔層之平坦化(藉由化學機械研磨(CMP))、電漿蝕刻所產生的側壁錐度。將所有此些統計變異皆以驅動2D DRC的簡單公式加以包含是不可行的,因此DRC比必要的更嚴格以避免製造變異的影響。此些過度嚴格的2D DRC可能會造成次最佳設計而浪費晶粒上的面積。
相對於2D DRC環境,虛擬製造環境可在毋須對2D轉譯為3D進行假設的情況下,直接在3D中進行檢查如最小線寬、特徵部之間的最小距離、及接觸件的最小面積。直接在3D中進行檢查在文中被稱為「3D DRC」。3D DRC的一優點為,其所需的檢查數遠少於2D環境所需的數目。因此,其檢查比2D檢查更容易研發也更穩健。又,利用遠遠較少之3D規格的組合,虛擬製造環境可針對處理參數中之統計變異的範圍進行檢查。
應明白3D-DRC係不同於亦可在虛擬製造環境中進行的虛擬量測/度量操作。虛擬量測度量操作模仿工廠中的真實量測與度量操作,藉此指定量測位置且度量值如距離值或面積為輸出。另一方面,對於3D DRC而言,指定幾何標準並期望得到標準內的位置及數值。即,位置為3D DRC操作的輸出而非輸入。例如,虛擬度量操作可指明在2D設計數據中之定位標記所指示的特定位置處的氧化物薄膜厚度量測,但最小膜層厚度的3D DRC可能要求在3D模型中任何處之位置(複數位置)中氧化物膜層厚度係小於特定閾值。接著可搜尋3D結構模型,尋找滿足特定最小尺寸標準的位置。類似地,3D DRC亦可使結構模型受到搜尋,觀察是否滿足最大尺寸標準。因此,此類型之3D DRC提供虛擬量測/度量操作無法提供之識別未預期之故障原因的優點。
3D-DRC的實例包含: •   電淨隔絕:找到經選定之導體之間的最短距離。一個導體為一塊狀物,其可包含一或多種導電材料(一「塊狀物」為3D結構模型中的一離散體積區域(技術上為3-流形。一塊狀物可由單一材料或多種材料所構成); •   最小分離:找到複數選定塊狀物之一群組中任何一對塊狀物之間的最短距離; •   最小線寬:經由複數選定塊狀物之一群組中任何塊狀物找到最短距離; •   最小膜層厚度:經由複數選定塊狀物之一群組中包含一層材料的任何塊狀物找到最短距離; •   最小接觸面積:找到複數選定塊狀物之所有成對塊狀物之間的最小接觸面積。
可基於構成材料(複數材料)、導電率、或其他特性選擇塊狀物。3D DRC檢查中的每一檢查可藉著指定閾值而加以延伸。例如,指定最小線寬檢查的閾值產生最小線寬小於閾值之複數位置的列表。此領域中具有通常技術者當能明白,可定義此本質的其他檢查。分析模組
在一實施例中,虛擬製造環境包含分析模組。設計分析模組以模仿半導體製程整合工程師在使用情況中所遇到的工作流程。半導體製程整合工程師遇到且可藉由分析模組整的例示性使用情況包含但不限於,關鍵參數識別、處理模型校正、變異性分析、及製程窗最佳化。在關鍵參數識別中,分析模組可找到對結果影響最大的處理步驟/參數(校正、缺陷模式等)。在處理模型校正中,可調整處理參數以使3D模型匹配來自物理工廠的量測值,量測值例如是但不限於穿透式電子顯微鏡(TEM)數據、或處理目標。在變異性分析中,分析模組可藉由例如但不限於針對規格限制設定預估結構或電參數的變異性,協助使用者分析及瞭解針對一組虛擬3D模型所獲得之度量數據的變異性。在製程窗最佳化中,分析模組可分析及顯示虛擬製造環境中的資訊,協助使用者瞭解POR之良率對參數標稱值的敏感度及窗尺寸,並在調整參數標稱值及允許範圍(始於POR)時協助使用者評估良率改善情況。
在一實施例中,分析模組係整合至虛擬製造環境中,造成藉由第三方統計解決方案無法達到的改善與新功率。在一實施例中,UI與演算法可依使用情況組織且針對每一使用情況循著左側UI、逐步進行的流程。此設計可強勢引導使用者(其可能缺乏統計訓練)進行正確的分析步驟,因此可避免分析中的錯誤。分析模組亦可包含統計分析引擎,其使用一組分析演算法以正確地分析每一特定的使用情況。分析結果可以多種形式提供及/或顯示予使用者或第三方軟體。
分析模組的輸入可包含但不限於選擇分析類型,選擇分析類型可由使用情況所組織(例如,識別關鍵參數、最佳化、校正、變異性分析、及製程窗最佳化)。額外的例示性輸入可包含受到關注的處理參數(如被指定為標稱值及/或範圍)及受到關注的目標(如度量值、結構搜尋、DTC檢查、電性分析值)。在一實施例中,輸入值可為參考3D模型檔案。在某些實施例中,分析模組可進行執行列表之生成以建立實驗之實驗設計(DOE)(如篩選D.O.E.、全因子D.O.E.、蒙地卡羅模擬)然後執行執行列表,且可使用叢集計算以增加執行期間的效率。來自執行的輸出可包含離群值偵測及統計分析結果如決定參數重要性/排行。輸出亦可包含探索圖(如行為圖、響應表面)及間接最佳化。在一實施例中,亦可將結果匯出至第三方工具以進行進一步的分析。
D.O.E.為在特定參數設定組合處計算實驗數以較少的實驗精力獲得較多資訊的方法。蒙地卡羅模擬為D.O.E.選項,其允許使用常態或均勻分佈隨機產生參數分組。如下面將更進一步說明,在製程窗最佳化的情況中,在一實施例中以常態分佈產生參數設定。在一實施例中,UI允許使用者輸入常態分佈之參數的平均與標準差、或均勻分佈之參數的最小與最大值,以及因此而產生的隨機值。在一實施例中,針對D.O.E. 參數,使用者亦可輸入期望之虛擬製造執行的次數。製程窗最佳化
針對半導體製造處理的所有步驟判斷出最高可能良率以使製造商在考慮到運作工廠之昂貴成本後能增加獲得的可能,是很重要的。只有通過最終測試的晶粒才能販售,而其他未通過者皆為經濟上的浪費。整體良率可定義如下:
晶圓-工廠良率 x 晶圓-撿選良率 x 封裝良率 = 整體良率,
晶圓-工廠良率、晶圓-撿選良率、及封裝良率皆可以百分比來表示。 例如,晶圓-工廠良率可由所生產之晶圓的數量除以起始之晶圓的數量來決定。類似地,晶圓-撿選良率可被表示為功能正常的(好的)晶粒的數量除以晶圓上的總晶粒的數量。類似地,封裝良率可被表示為通過最終測試之封裝的數量除以開始進入封裝之晶粒的數量。在一實例中,若晶圓-工廠良率為95%、晶圓-撿選良率為85%、且封裝良率為97%,則整體良率為95% x 85% x 97% = 78%。
此外,晶圓-工廠良率可被拆分為用以製造晶粒之每一單元處理或步驟的產品良率。這可被稱為站點良率。站點進行許多不同的操作如場氧化、源極/汲極遮覆、源極/汲極摻雜、閘極區遮覆、沉積金屬層等。例如,若一晶粒製造處理具有100個用以製造晶粒的處理步驟,為了符合95%晶圓-工廠良率的目標,此些100個處理步驟(站點)中的每一者皆須具有 99.9487198%的平均良率。
量測晶圓-工廠良率的一技術在每一晶粒上使用通過/失敗評估的結構度量形式,而藉由每一晶粒的電性/功能效能量測晶圓-撿選良率。在業界中,當討論「良率」一詞時通常是指晶圓-撿選良率。然而,亦可使用其他詞如「規格內」 (指百分比落在規格內)、「處理良率」、及「處理限制良率」。
每一處理步驟皆具有變異性,且在傳統上量測此些步驟係針對控制步驟的參數及其結果輸出兩者的平均值(標稱值)與標準差進行量測,參數例如是氣體壓力而結果輸出例如是蝕刻深度。針對輸出也有允許的規格範圍。
在P. Van Zant的第六版微晶片製造(McGraw-Hill Education, 2014)中可找到良率的一般性討論。
製程窗最佳化的任務可被認為是:針對一組具有輸入與輸出變異的處理、可能的輸入範圍、及允許的輸出範圍(規格),決定能最大化良率的每一參數的最佳設定(標稱值與標準差)。(此些最佳設定本身可具有範圍)。文中所述的PWO尋找在虛擬製造環境中能最大化規格內良率的參數組/範圍,其係藉由下列方式所計算:決定其虛擬度量或虛擬電性效能係落在使用者輸入之規格範圍內的執行的部分或經調整的部分。總處理空間(搜尋空間)可由蒙地卡羅模擬產生。
進行製程窗最佳化的傳統方法苦於許多缺點。製程工程師通常需要在數天內完成分析,但藉由傳統模擬可能需要數月才能產生足夠大量的虛擬晶圓。因此,必須以次方的程度減少執行次數或虛擬製造時間。另一問題是,蒙地卡羅模擬用的高斯分佈是業界的標準作法,但此類分佈會將製造出的虛擬晶圓偏向至太靠近搜尋空間的中心,搜尋空間的中心為參數標稱值所在之處(標稱值係對應至輸入參數的期望值)。取決於使用者如何定義空間的變異,利用高斯分佈會建立出很少或沒有遠離搜尋空間的中心的晶圓,這意味著由於缺乏均勻呈現而錯失重要的良率、訊。產生極大量的虛擬晶圓如超過100萬片僅稍微有助於此問題,但需要太久的時間。第三個問題是,根據定義,製程窗是蒙地卡羅模擬所搜尋之總處理空間中的部分子組別。當樣本尺寸減少時,計算出之良率的不確定性增加。因此,當製程窗變窄時,較少的虛擬晶圓被包含於製程窗中因此良率的不確定性增加。當基於窄製程窗中之少量虛擬晶圓預估良率時,這是重要的問題,因為工程師可能會被引導去相信其已經找到能提供高良率的製程窗,但事實上可能並非如此。若未將良率值的不確定性提供給工程師,工程師不會知道該值是否精準而足以信任。更進一步的問題在於缺乏有用、易於閱讀的圖形化結果顯示。此外,進行製程窗最佳化的現行方法並未整合至虛擬製造環境中且需要大量的統計/程式語言知識。這使得許多身為製程工程師但卻不諳統計/程式語言的使用者無法進行PWO分析。
本發明之實施例提供一種製程窗最佳化的方案,其能解決傳統技術可能會遭遇的問題。如文中更一步討論的,實施例提供非標準方案,產生蒙地卡羅 DOE以產生初始搜尋空間。使用均勻分佈而非蒙地卡羅DOE用的高斯分佈。不若自高斯蒙地卡羅所產生的結果組,此均勻分佈提供橫跨搜尋空間之粗略均勻分佈的執行。在搜尋空間較遠邊緣處的參數(執行)組(極不可能在高斯蒙地卡羅中產生)在均勻蒙地卡羅中變得遠遠較可能發生。是以,不會忽略搜尋空間中的潛在重要區域,在現行作法中潛在重要區域係仰賴高斯分佈。由於執行係粗略均勻分佈,因此不需要大量的執行總數(如超過100萬片)即可達到搜尋空間之較遠邊緣處的小數目執行。例如,在一實施例中,均勻蒙地卡羅所需的執行總數可減少至100至10,000之間。虛擬製造執行之次數的減少可導致計算時間的對應大幅減少,而符合使用者的時間要求。實施例更藉著提供信心區間(CI)解決良率的不確定性的問題。具有大量執行之製程窗的良率值是精準的;包含少量執行之製程窗的良率值是遠遠較不確定的。文中所說明的製程窗最佳化技術提供在特定數目執行下期望落在95%內的CI 範圍良率。良率的此CI亦讓使用者能快速學習,搜尋空間用的執行總數是否夠大(若不夠大,對許多參數而言CI是極廣的)。又,實施例讓使用者能視覺評估良率對參數標稱值的敏感度及窗尺寸並在UI內進行「假定推測」分析。最後,進行文中所述之製程窗最佳化技術的分析模組係整合至虛擬製造環境中。即便工程師缺乏統計知識,分析模組讓工程師能進行PWO,分析模組亦避免外部軟體無能力正確處理問題。在一實施例中,將統計及數學演算法隱匿在使用者介面下面而不向使用者顯示,其被設計為精靈模式藉由步驟引導使用者獲得解決方案。
如前所述,實施例讓使用者能評估虛擬製造環境而進行PWO,以分析及瞭解處理記錄(POR)之良率對參數標稱值的敏感度及窗尺寸。在一實施例中,利用均勻分佈的蒙地卡羅模擬產生搜尋空間,以基於特定D.O.E用之指定輸入參數進行複數虛擬製造執行。每一虛擬製造執行皆為能產生一虛擬晶圓的全3D模型。虛擬製造環境中的分析模組計算良率對每一參數的敏感度分析,且以圖案化方式顯示結果。實施例讓使用者能在搜尋空間產生「假設推定」分析,藉著調整參數標稱值及允許範圍(始於POR)而評估良率改善。在一實施例中,PWO可計算在給定的現行搜尋空間下能最大化良率的參數組。
圖13顯示在一例示性實施例中用以進行製程窗最佳化之步驟的程序。程序始於在使用者指示期望進行製程窗最佳化之後虛擬製造環境與分析模組針對D.O.E.接收選定之組(deck)。該組為2D設計數據及包含製造受到關注之半導體裝置結構所需的複數步驟之處理程序。該組可為先前使用者選擇用於物理或虛擬製造之先前已存在的組。該組亦可以程式方式選擇。或者,使用者可藉由圖形化使用者介面(或其他使用者介面)至少部分手動選擇2D設計數據及處理程序,圖形化使用者介面(或其他使用者介面)係由虛擬製造環境所提供並用以在該組中選擇及指定各別的元件及相關參數。在一實施例中,使用者可針D.O.E指定虛擬製造執行的次數。例如,在一實施例中,使用者可指定介於100至10,000之間的執行次數。
圖13的程序繼續,接收針對D.O.E.中之複數處理步驟之一或多個步驟中之一或多個經選定之變數參數用的輸入因子(步驟1304)。變數參數可為對設計及/或使用者而言尤其重要的參數。在一實施例中,先前分析模組可利用一些技術以程式方式識別出經選定之變數參數作為重要/關鍵變數參數,上述技術係載於名為「System and Method for Key Parameter Identification, Process Model Calibration, and Variability Analysis in a Virtual Semiconductor Device Fabrication Environment」的美國專利申請案US 16/010,537中,將其所有內容包含於此作為參考。以程式方式所識別之重要(關鍵)參數可顯示或以分析模組所提供的其他方式提供予使用者,作為進行變數參數選擇的參考或用以程式選擇經選定之變數參數而進行PWO。或者,在另一實施例中,使用者可藉由虛擬製造環境中的使用者介面識別經選定之變數參數。在某些實施例中,輸入因子可包含搜尋空間的上限與下限值及每一經選定之變數參數的POR規格。在一實施例中,如下面將更進一步討論的,上限與下限值成為用以產生蒙地卡羅模擬的最小及最大的值。POR規格定義了實體工廠及/或虛擬製造環境中的現行「最佳」處理。POR設定可包含分佈(均勻或常態)的標稱值、類型、及標準差或寬度(取決於所選擇的分佈)。此值可能例如對應至裝置的蝕刻速率。POR數據提供用以計算下面將討論之起始/POR良率所需的必要資訊。
圖14A-14B顯示在例示性實施例中適合用以接收輸入因子及POR值的例示性使用者介面。例如,圖14A顯示包含左窗格的圖形化使用者介面1400,左窗格顯示在進行受到突顯之「選擇輸入因子」之製程窗最佳化中的現行步驟。主窗1420列出來自選定之組的處理步驟1421、針對每一處理的相關變數名稱及預設值。經選定之變數參數係列於使用者介面的右窗格1424中。例如,在圖14A中,顯示在虛擬製造執行的三個分別處理步驟的三個分離變數參數。在微影模蕊步驟2.19(1422)中,已選擇變數參數「微影.尺寸偏差.x偏差」並顯示在右窗格1432中。在步驟2.1.15中,已選擇鰭式間隔壁氧化物用的沉積步驟1423、「厚度」變數參數並顯示在右窗格1433中。在虛擬製造處理的步驟2.2.9.2.2中,已選擇多蝕刻錐度步驟1424、變數參數「材料(‘矽/’).蝕刻類型.錐度.側壁角度」並顯示在右窗格1434中。一旦選擇了變數參數之後,虛擬製造環境提供圖形化使用者介面1450如圖14B中所顯示者,圖形化使用者介面1450讓使用者能針對每一選定之變數參數提供最小值1452與最大值1454。亦顯示相關的POR值1456a、1456b、及1456c。如前所述,POR值代表最小/最大範圍內的起始點且代表用以製造受到關注之半導體裝置結構之現行預測最佳值。
回到圖13,在接收到輸入因子之後,分析模組回應使用者的執行指令而在虛擬製造環境中基於D.O.E.執行模擬。模擬進行複數虛擬製造執行,複數虛擬製造執行建立半導體裝置結構的3D模型(步驟1306)。在一例示性實施例中,模擬為針對每一參數利用均勻分佈的蒙地卡羅模擬。實施例可使用蒙地卡羅模擬產生以均勻方式取樣(極端參數值可能是靠近中心或允許範圍中任何處的值)的搜尋空間。利用參數之均勻分佈達到此目標。這使得吾人能以數百至數千次執行而非數萬至數百萬次執行便進行PWO,數萬至數百萬次執行為當利用常態分佈之參數(在該情況中,在極端處的執行遠遠較少,因此必須大幅增加總執行次數以更佳地對邊緣區域取樣)產生搜尋空間時的需求。工程師常假設處理參數是常態分佈的。執行的機率及其相關的良率係取決於搜尋空間之取樣分佈與參數分佈的捲積。藉著針對搜尋空間使用均勻分佈,實施例能避免在極端處進行太少次數的執行且亦可計算參數與搜尋空間分佈(已利用均勻分佈所產生)的捲積,因為搜尋空間中的每一點皆具有相等的機率。
在替代性的實施例中,可使用以幾近或確切均勻樣本產生搜尋空間的其他方法取代來自蒙地卡羅模擬的均勻分佈隨機數。例如,可使用點生成方法如具有生成程序如霍爾頓程序、索博爾程序、或福萊程序的準蒙地卡羅。在此類方案中,搜尋空間的分佈必須是已知的且可與參數的常態分佈捲積以產生執行的機率及其相關的良率。
一旦完成模擬(已建立3D模型且針對每一執行計算虛擬度量)之後,分析模組藉由虛擬製造環境中的使用者介面接收一或多個虛擬度量最佳化目標的使用者選擇(步驟1308)。虛擬度量最佳化目標的每一選擇係伴隨著最小及最大的虛擬度量值的規格。圖15顯示在一例示性實施例中適合用以接收虛擬度量最佳化目標的例示性使用者介面1500。使用者介面讓使用者能藉著針對已選擇之虛擬度量目標指定可接受的數值範圍以縮減初始搜尋空間。例如在圖15中,使用者針對半導體鰭結構的上部、中間、及底部的關鍵尺寸已選了三個虛擬度量目標即鰭CD_上部1502、鰭CD_中間1504、及鰭CD_底部1506及其對應的相關最小值1510與最大值1520。
回到圖13,在步驟1310中,分析模組藉著將搜尋空間限制至亦滿足虛擬度量最佳化目標之最小值及最大值的結果,可識別一最佳化結果,指出與每一經選定之變數參數相關之良率。一旦識別之後,可在虛擬製造環境中將最佳化結果顯示予使用者或匯出供儲存或被第三方/其他軟體進一步使用(步驟1312)。
在一實施例中,為了識別最佳化結果,分析模組進行單變數參數敏感度分析。在一實施例中,單變數參數敏感度分析仰賴下列三項:1)提供初始搜尋空間之蒙地卡羅模擬結果;2)經接受之輸入因子所定義的輸入窗;及3)虛擬度量最佳化目標之最小值及最大值所提供的目標範圍。在一實施例中,經接受之輸入因子所定義的輸入窗可以兩種方式定義。針對來自均勻分佈的POR規格,輸入窗可被定義為[標稱值 -寬度/2,標稱值 +寬度/2],但針對常態分佈 POR規格,輸入窗可被定義為[標稱值 - 3*標準差,標稱 + 3*標準差]。工程師常將處理參數視為是常態分佈來加以處理,但在某些情況中可能不希望使用這些的假設,在此些情況中均勻分佈是恰當的。
例如,側壁角度參數可具有5 ± 3×1或2至8的初始窗。其搜尋空間係自0至10,因此可移動窗而具有低至3(其中窗的較低-3σ端點會是在最小值0處而窗的較高+3 σ端點會是在6處)或高至7(參數窗的較高+3σ端點會是在10處而窗的較低-3σ端點會是在4處)之標稱值。
良率係基於輸入窗及目標範圍。在一實施例中,良率Y係定義為:
Y = 總和(Pi)/總和(Pj)  =  100 * As /At
其中Pj為一執行將落入輸入窗內(取決於所選擇的分佈,執行值係落在標稱值 ± 3σ或標稱值 ±寬度/2)的機率,Pi為一執行落入滿足經接受之虛擬度量最佳化目標值所定義之目標範圍之輸入窗內的機率。As 為針對使用者所定義之POR分佈而調整之成功虛擬製造執行的次數,At 為針對使用者所定義之POR分佈而調整之總執行的次數。換言之,為了決定良率,分析模組自模擬製造模型決定有多少次的虛擬製造執行落入輸入窗內又滿足使用者所提供之虛擬度量最佳化目標範圍,然後將此次數除以落入輸入窗內的總執行次數。又,在任何參數具有使用者所選擇之常態分佈時,分析模組調整機率。
可藉由虛擬製造環境所提供的複數使用者介面將分析模組所決定的單變數參數敏感度分析資訊提供予虛擬製造環境的使用者,讓使用者能觀看資訊也能進行更進一步的操作。圖16A顯示在一例示性實施例中用以顯示單變數參數敏感度值的例示性使用者介面。圖16A所示之圖形化使用者介面的主窗 1600提供包含良率對每一參數之敏感度資訊的表1602(圖16B顯示更多細節),而在右側的窗顯示與表中之經選定之變數參數相關之良率相關資訊的兩圖1604、1606)。
在一實施例中,圖16A與圖16B中所示之敏感度表1602包含和每一選定之變數參數相關的下列資訊。以色塊標示的輸入被顯示於表格右側的圖中且可包含下列者:
POR標稱良率(1610):良率除以輸入窗,基於來自經接受之輸入因子的POR標稱值所定義;
POR標稱值(1612):針對在經接受之輸入因子中所定義的每一參數的POR標稱值;
POR寬度/標準差(1614):在經接受之輸入因子中所定義的POR寬度及POR標準差;
良率@POR標稱值&50%寬度/標準差(1616): 在寬度 = 0.5(POR寬度)或標準差 = 0.5(POR標準差)之POR標稱值處所計算獲得的良率;
良率@POR標稱值&75%寬度/標準差(1618): 在寬度 = 0.75(POR寬度)或標準差 = 0.75(POR標準差)之POR標稱值處所計算獲得的良率;
良率@ POR標稱值& 90%寬度/標準差(1620): 在寬度 = 0.9(POR寬度)或標準差 = 0.9 (POR標準差)之POR標稱值處所計算獲得的良率;
最佳良率(1622):使用者藉著移動經選定之輸入之標稱值但將所有其他輸入因子之標稱值維持在其POR標稱值處,可獲得之最大良率;及
最佳標稱值(1624):對應至經選定之輸入之最佳良率的標稱值。
在圖16B中,所顯示之POR標稱良率約為54%,這表示藉著最佳化參數標稱值可更進一步改善良率。在圖16B中亦注意到,在50%與90%之間調整輸入窗之寬度對改善良率而言似乎只有輕微的助益。
在圖16C-16E中更詳細顯示在例示性之實施例中用以針對經選定之參數變數顯示單變數參數敏感度值之圖的使用,圖16C-16E分別顯示圖16A-16B之表中所列之每一變數參數的良率資訊。例如,圖16C-16E中所示之標稱對良率之圖1650a、1650b及1650c係針對每一輸入參數所產生且針對敏感度表中所選擇的參數顯示。在圖16C中,圖1650a顯示,良率隨著側壁角度減少而減少且良率對寬度較不敏感。在圖16D中,圖1650b顯示,良率與x偏差之間具有拋物線關係且良率對寬度較不敏感。在圖16E中,圖1650c顯示,良率隨著厚度增加而減少且良率針對寬度具有兩個位準。
亦可在圖上識別出良率的信心區間。經選定之變數參數輸入的繪圖顯示下列條件所得之良率:所有標稱值皆落在可容許之標稱值範圍內且將POR寬度或POR標準差維持在其原始選定值處。將所有其他輸入之POR標稱值、POR寬度及POR標準差維持在其原始值處。在一實施例中,為了探索繪圖以找到特定點處的結果,使用者可使滑鼠在圖形化使用者介面中的關注點上方徘徊,窗(數據端)係顯示於繪式的左上角處,包含下列資訊: - POR良率 - POR標稱值 - POR分佈類型 - POR標準差或POR寬度 - 標稱值處的良率 - 良率的信心區間,顯示為中間之良率圖的任一側的上與下線 - 所示之標稱值 - 標稱點附近之輸入窗
如圖16C-16E中所示,亦可針對每一輸入參數產生窗尺寸對良率的圖1660a、1660b及1660c。針對減少之寬度/標準值,在POR標稱附近計算良率,限制性的案例為輸入窗接近0之寬度或標準差。對應的良率係顯示於Y軸。在X軸上,「標準差/寬度(基於分佈類型)」說明了標稱值附近之區間的尺寸。(點下檢查標準化之尺寸的方框以顯示在POR寬度或POR標準差之特定部分處的良率,介於0與1之間)。
在一實施例中,如圖17A與17B中所示可提供圖形化使用者介面以提供良率管理者工具。圖17A在一例示性實施例中用以在進行製程窗最佳化之前顯示直方圖形式之與經選定之參數變數相關的良率結果的例示性使用者介面1700。圖17A之良率管理者讓使用者能針對不同之目標範圍與輸入參數標稱值與寬度或標準差的組合,視覺化、最大化、及計算良率。圖17B顯示在一例示性實施例中用以在進行製程窗最佳化之後顯示直方圖形式1720之與經選定之參數變數相關的良率結果的例示性使用者介面。圖17A-17B以直方圖形式顯示目標表1704中受到點擊之目標1706的相關資訊。X軸顯示落在特定目標範圍內的目標值,特定目標範圍係基於經接受之虛擬度量最佳化目標(最小/最大)值所定義。Y軸顯示目標值出現在良率計算所包含之執行中的次數。圖17A-17B中之窗格的左下側的目標表包含目標名稱、原始良率(基於所有輸入之POR標稱值及POR寬度/標準差所計算)、及新良率(基於使用者介面之右側上之欄位中所指定的設定所計算)。在使用者介面右側上,輸入欄位及滑桿允許針對經選定之輸入參數操縱標稱值及窗寬度或標準差。使用者可移動滑桿或在滑桿下方的方框中輸入期望值。在更新數值後,使用者可點擊窗格下方處的「重新計算良率」按鈕以觀看針對新選定之標稱值及/或寬度/標準差的良率。重覆先前用以決定良率的計算,但使用使用者所調整的標稱值、標準差/寬度取代原始POR值。「最大良率」 按鈕基於目標表格選擇中所包含的目標計算最大良率。在一實施例中,滑桿調整以反應出對應至最大整體良率的標稱值,但不調整寬度/標準差。如圖17B中所示,按下最大良率按鈕1750會啟動分析模組去識別出,當調整如右窗格中之滑桿區域中所示之最佳標稱值時的100%整體良率。應明白,分析模組可能無法調整每一D.O.E.而達到100%之整體良率。
本發明之實施例中之部分或全部可以一或多個電腦可讀程式或碼的形式體現於一或多個非暫態媒體上或中。媒體可為但不限於硬碟、光碟、數位多功能光碟、快閃記憶體、PROM、RAM、ROM、或磁帶。一般而言,可以任何計算語言實施電腦可讀程式或碼。
由於可在不脫離本發明範疇的情況下進行某些改變,故上文及附圖中所包含的所有內容皆應被解讀為例示性而非字面意義。本領域中具有通常知識者當明白,可在不脫離本發明範疇的情況下改變步驟之程序及圖中所示的結構,文中的例示皆為本發明之許多可能展現中的單一實例。
本發明之例示性實施例的上述說明提供了例示及說明,但意不在排他或將本發明限制至所揭示的精確形式。鑑於上述教示可進行修改及變化,且可自實施本發明而獲得此類修改及變化。例如,雖然已說明一系列的動作,但在其他與本發明原理相符的實施側中可修改動作的順序。又,可平行進行非相依性之動作。
1:虛擬製造環境 2:使用者 10:計算裝置 11:處理器 12:隨機存取記憶體 13:唯讀記憶體 14:硬碟 15:網路介面 20:輸入數據 30:2D設計數據 32:層1 34:層2 36:層3 40:處理程序 42:程序 43:處理步驟 44:處理步驟 45:量測處理步驟 46:子程序 47:處理步驟 48:處理步驟 49:量測處理步驟 60:材料數據資料庫 62:材料類型1 64:材料類型2 70:虛擬製造應用程式 75:分析引擎 76:演算法1 77:演算法2 78:演算法3 79:分析模組 80:虛擬度量數據 90:結構模型數據 110:輸出數據收集器模組 120:顯示器 121:佈局編輯器 122:處理編輯器 123:虛擬製造主控台 124:畫面 125:3D畫面/檢視器 126:自動參數探測器 202:處理程序 204:佈局 302:形狀 304:形狀 306:形狀 404:材料數據資料庫 406:處理參數 410:處理程序 412:子程序 413:步驟 414:步驟 420:參數 502:步驟 504a:步驟 504b:步驟 506a:步驟 506b:步驟 508:步驟 510:步驟 512a:步驟 512b:步驟 513:步驟 514:步驟 516:步驟 602:觀看畫面 604:狀態 606:狀態 608:上視圖 802a:步驟 802b:步驟 804a:步驟 804b:步驟 806a:步驟 806b:步驟 808:步驟 810:步驟 812a:步驟 812b:步驟 814a:步驟 814b:步驟 815:步驟 816:步驟 818:步驟 902a:步驟 902b:步驟 904a:步驟 904b:步驟 906a:步驟 906b:步驟 910:步驟 912:步驟 914a:步驟 914b:步驟 1002:處理參數 1004:處理參數 1006:處理參數 1102:虛擬度量數據 1104:虛擬製造執行 1202:虛擬製造執行 1204:變異包絡 1206:結論 1302:步驟 1304:步驟 1306:步驟 1308:步驟 1310:步驟 1312:步驟 1400:使用者介面 1420:主窗 1421:步驟 1422:步驟 1423:步驟 1424:右窗格/步驟 1432:右窗格 1433:右窗格 1434:右窗格 1450:使用者介面 1452:最小值 1454:最大值 1456a:POR值 1456b:POR值 1456c:POR值 1500:使用者介面 1502:鰭CD_上部 1504:鰭CD_中間 1506:鰭CD_底部 1510:最小值 1520:最大值 1600:主窗 1602:表 1604:圖 1606:圖 1610:POR標稱良率 1612:POR標稱值 1614:POR寬度/標準差 1616:良率@POR標稱值&50%寬度/標準差 1618:良率@POR標稱值&75%寬度/標準差 1620:良率@POR標稱值&90%寬度/標準差 1622:最佳良率 1624:最佳標稱值 1650a:圖 1650b:圖 1650c:圖 1660a:圖 1660b:圖 1660c:圖 1700:使用者介面 1704:目標表 1706:目標 1720:直方圖形式 1750:最大良率按鈕
被包含於並構成說明書之一部分的附圖例示本發明的一或多個實施例且協助解釋本發明。在附圖中:
圖1顯示適合實施例本發明之一實施例之例示性虛擬製造環境;
圖2顯示虛擬製造環境中之例示性虛擬製造主控台;
圖3顯示虛擬製造環境中之例示性佈局編輯器;
圖4顯示虛擬製造環境中之例示性處理編輯器;
圖5顯示虛擬製造環境中用以產生虛擬度量量測數據之步驟的例示性程序;
圖6顯示虛擬製造環境中之例示性3D檢視器;
圖7顯示虛擬製造環境中虛擬度量量測數據之例示性顯示;
圖8顯示虛擬製造環境中用以校正虛擬製造環境中之處理程序之步驟的例示性程序;
圖9顯示虛擬製造環境中用以建立及進行虛擬實驗以產生複數半導體裝置結構模型用之虛擬度量量測數據之步驟的例示性之程序;
圖10顯示虛擬製造環境中用以提供虛擬實驗用之處理參數之例示性參數探測器視圖;
圖11顯示虛擬製造環境中在虛擬實驗中所產生之虛擬度量數據的例示性表格形式顯示;
圖12顯示虛擬製造環境中在虛擬實驗中所產生之虛擬度量數據的例示性圖形化顯示;
圖13顯示在一例示性實施例中用以進行製程窗最佳化之步驟的程序;
圖14A-14B顯示在一例示性實施例中適合用以接收輸入因子及指定POR值的例示性使用者介面;
圖15顯示在一例示性實施例中於虛擬製造環境中適合用以接收虛擬度量最佳化目標值的例示性使用者介面;
圖16A顯示在一例示性實施例中用以顯示單變數參數敏感度值的例示性使用者介面;
圖16B顯示在一例示性實施例中用以顯示表格形式之單變數參數敏感度值的例示性使用者介面;
圖16C-16E顯示在一例示性實施例中用以顯示經選定之參數變數之畫面形式之單變數參數敏感度值的例示性使用者介面;
圖17A顯示在一例示性實施例中用以在進行製程窗最佳化之前顯示直方圖形式之與經選定之參數變數相關的良率結果的例示性使用者介面;及
圖17B顯示在一例示性實施例中用以在進行製程窗最佳化之後顯示直方圖形式之與經選定之參數變數相關的良率結果的例示性使用者介面。
1302:步驟
1304:步驟
1306:步驟
1308:步驟
1310:步驟
1312:步驟

Claims (22)

  1. 一種容納計算裝置可執行之指令的非暫態電腦可讀媒體,該指令係用以在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化,在指令受到執行時使配有一或多個處理器的至少一計算裝置進行:針對欲在該計算裝置所產生之該虛擬製造環境中虛擬製造之一半導體裝置結構用的一實驗設計(DOE),接收一選定組的2D設計數據及包含複數處理步驟的一處理程序;接收在該DOE中該複數處理步驟之一或多者用之一或多個經選定之變數參數的一或多個輸入因子;基於該DOE在該虛擬製造環境中以一均勻或近似均勻類型之一分佈進行一模擬,該模擬包含複數虛擬製造執行,該複數虛擬製造執行建立該半導體裝置結構的複數3D模型;藉由該虛擬製造環境中的一使用者介面,接收一或多個虛擬度量最佳化目標的一使用者選擇,該一或多個虛擬度量最佳化目標的每一選擇伴隨著一最小及最大的虛擬度量值;藉著將一搜尋空間限制至滿足該虛擬度量最佳化目標之該複數最小及最大的虛擬度量值的複數結果,以在該虛擬製造環境中識別一最佳化結果,該最佳化結果指示與該一或多個經選定之變數參數之每一者相關的一良率;及顯示或匯出與該一或多個經選定之變數參數之每一者相關之該最佳化結果。
  2. 如請求項1之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該模擬為一蒙地卡羅模擬,且接收該一或多個輸入因子包含針對該一或多個經選定之變數參數之每一者接收一上限與下限值及一處理記錄(POR)標稱值的一規格,當該指令受到執行時更使該至少一計算裝置進行: 當使該一或多個經選定之變數參數之數值在其上限與下限值之間改變而使該一或多個經選定之變數參數之剩餘參數維持在其各自的該POR標稱值處時,顯示該最佳化結果,該最佳化結果指示與該一或多個經選定之變數參數之每一者相關的良率。
  3. 如請求項2之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該指令受到執行時更使該至少一計算裝置進行:當使用各別之該POR標稱值時,顯示一預先最佳化的結果,該預先最佳化的結果係來自於指示與該一或多個經選定之變數參數之每一者相關的良率的該蒙地卡羅模擬的結果,該預先最佳化的結果在顯示該最佳化結果之前顯示。
  4. 如請求項1之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該一或多個經選定之變數參數係於接收該一或多個輸入因子之前於該虛擬製造環境中以程式方式識別出。
  5. 如請求項1之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該一或多個經選定之變數參數為使用者所選定的,且藉由該虛擬製造環境中所產生的一使用者介面所接收。
  6. 如請求項1之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該指令受到執行時更使該至少一計算裝置進行:針對該最佳化結果中所指示的該良率顯示一信心區間。
  7. 如請求項1之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該指令受到執行時更使該至少一計算裝置進行:針對該DOE接收該虛擬製造執行的一使用者選定次數。
  8. 如請求項1之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該虛擬度量最佳化目標係基於該模擬之進行,且在該模擬之進行之後自複數可用之虛擬度量目標的顯示列表所選出。
  9. 如請求項1之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該一或多個經選定之變數參數之每一者的該最佳化結果係以表格或繪圖形式顯示。
  10. 如請求項1之容納計算裝置可執行之指令的非暫態電腦可讀媒體,其中該一或多個經選定之變數參數之每一者的該最佳化結果係以直方圖形式顯示。
  11. 一種在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,該方法以包含一或多個處理器的至少一計算裝置進行,該方法包含:針對欲在該計算裝置所產生之該虛擬製造環境中虛擬製造之一半導體裝置結構用的一實驗設計(DOE),接收一選定組的2D設計數據及包含複數處理步驟的一處理程序;接收在該DOE中該複數處理步驟之一或多者用之一或多個經選定之變數參數的一或多個輸入因子;基於該DOE在該虛擬製造環境中以一均勻或近似均勻類型之一分佈進行一模擬,該模擬包含複數虛擬製造執行,該複數虛擬製造執行建立該半導體裝置結構的複數3D模型;藉由該虛擬製造環境中的一使用者介面,接收一或多個虛擬度量最佳化目標的一使用者選擇,該一或多個虛擬度量最佳化目標的每一選擇伴隨著一最小及最大的虛擬度量值;藉著將一搜尋空間限制至滿足該虛擬度量最佳化目標之該複數最小及最大的虛擬度量值的複數結果,以在該虛擬製造環境中識別一最佳化結果,該最佳化結果指示與該一或多個經選定之變數參數之每一者相關的一良率;及 顯示或輸出與該一或多個經選定之變數參數之每一者相關之該最佳化結果。
  12. 如請求項11之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,其中該模擬為一蒙地卡羅模擬,且接收該一或多個輸入因子包含針對該一或多個經選定之變數參數之每一者接收一上限與下限值及一處理記錄(POR)標稱值的一規格,該方法更包含:當使該一或多個經選定之變數參數之數值在其上限與下限值之間改變而使該一或多個經選定之變數參數之剩餘參數維持在其各自的該POR標稱值處時,顯示該最佳化結果,該最佳化結果指示與該一或多個經選定之變數參數之每一者相關的良率。
  13. 如請求項12之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,該方法更包含:當使用各別之該POR標稱值時,顯示一預先最佳化的結果,該預先最佳化的結果係來自於指示與該一或多個經選定之變數參數之每一者相關的良率的該蒙地卡羅模擬的結果,該預先最佳化的結果在顯示該最佳化結果之前顯示。
  14. 如請求項11之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,其中該一或多個經選定之變數參數係於接收該一或多個輸入因子之前於該虛擬製造環境中以程式方式識別出。
  15. 如請求項11之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,其中該一或多個經選定之變數參數為使用者所選定的,且藉由該虛擬製造環境中所產生的一使用者介面所接收。
  16. 如請求項11之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,該方法更包含:針對該最佳化結果中所指示的該良率顯示一信心區間。
  17. 如請求項11之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,該方法更包含:針對該DOE接收該虛擬製造執行的一使用者選定次數。
  18. 如請求項11之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,其中該虛擬度量最佳化目標係基於該模擬之進行,且在該模擬之進行之後自複數可用之虛擬度量目標的顯示列表所選出。
  19. 如請求項11之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,其中該一或多個經選定之變數參數之每一者的該最佳化結果係以表格或繪圖形式顯示。
  20. 如請求項11之在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化之計算裝置所執行之方法,其中該一或多個經選定之變數參數之每一者的該最佳化結果係以直方圖形式顯示。
  21. 一種虛擬製造系統,包含:至少一計算裝置,配有一或多個處理器且用以產生半導體裝置製造用之一虛擬製造環境,該虛擬製造環境包含一分析模組且用以進行:針對欲虛擬製造之一半導體裝置結構用的一實驗設計(DOE),接收一選定組的2D設計數據及包含複數處理步驟的一處理程序;接收在該DOE中該複數處理步驟之一或多者用之一或多個經選定之變數參數的一或多個輸入因子;基於該DOE以一均勻或近似均勻類型之一分佈進行一模擬,該模擬包含複數虛擬製造執行,該複數虛擬製造執行建立該半導體裝置結構的複數3D模型; 藉由一使用者介面,接收一或多個虛擬度量最佳化目標的一使用者選擇,該一或多個虛擬度量最佳化目標的每一選擇伴隨著一最小及最大的虛擬度量值;藉著將一搜尋空間限制至滿足該虛擬度量最佳化目標之該複數最小及最大的虛擬度量值的複數結果,以識別一最佳化結果,該最佳化結果指示與該一或多個經選定之變數參數之每一者相關的一良率;及顯示或匯出與該一或多個經選定之變數參數之每一者相關之該最佳化結果;及一顯示器表面,與該至少一計算裝置交流,該顯示器表面係用以顯示在一3D畫面中的該複數3D結構模型及該最佳化結果。
  22. 一種容納計算裝置可執行之指令的非暫態電腦可讀媒體,該指令係用以在半導體裝置製造用之虛擬製造環境中進行製程窗最佳化,在該指令受到執行時使配有一或多個處理器的至少一計算裝置進行:針對欲在該計算裝置所產生之該虛擬製造環境中虛擬製造之一半導體裝置結構,接收一選定組的2D設計數據及包含複數處理步驟的一處理程序;接收該複數處理步驟之一或多者用之一或多個經選定之變數參數的一或多個輸入因子;使用該一或多個輸入因子,在該虛擬製造環境中以一均勻或近似均勻類型之一分佈進行一模擬,該模擬包含複數虛擬製造執行,該複數虛擬製造執行建立該半導體裝置結構的複數3D模型;藉由該虛擬製造環境中的一使用者介面,接收一或多個虛擬度量最佳化目標的一使用者選擇;藉著將針對最佳化處理參數的一搜尋空間限制至滿足該虛擬度量最佳化目標之複數最小及最大的虛擬度量值的複數結果,以識別該虛擬製造環 境中與一最佳化製程窗對應的一最佳化結果,該最佳化結果指示與該一或多個經選定之變數參數之每一者相關的一良率,該一或多個經選定之變數參數各自與該複數處理步驟之一或多者相關聯;及顯示或匯出與該一或多個經選定之變數參數之每一者相關之該最佳化結果。
TW109115374A 2019-05-10 2020-05-08 虛擬半導體裝置製造環境中之製程窗的最佳化系統及方法 TWI831968B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962846402P 2019-05-10 2019-05-10
US62/846,402 2019-05-10

Publications (2)

Publication Number Publication Date
TW202107325A TW202107325A (zh) 2021-02-16
TWI831968B true TWI831968B (zh) 2024-02-11

Family

ID=73047401

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109115374A TWI831968B (zh) 2019-05-10 2020-05-08 虛擬半導體裝置製造環境中之製程窗的最佳化系統及方法

Country Status (2)

Country Link
US (1) US20200356711A1 (zh)
TW (1) TWI831968B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11144701B2 (en) 2017-06-18 2021-10-12 Coventor, Inc. System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment
KR102580012B1 (ko) * 2018-02-16 2023-09-18 코벤터, 인크. 충진 분율 복셀 데이터 (fill-fraction voxel data) 로부터 복수 재료 메시 생성을 위한 시스템 및 방법
US11196503B2 (en) * 2020-02-20 2021-12-07 X Development Llc Techniques of robust inverse design that account for manufacturing variabilities due to operating conditions
US20210331287A1 (en) * 2020-04-22 2021-10-28 Industrial Technology Research Institute Grinding and polishing simulation method and system and grinding and polishing process transferring method
US20220075916A1 (en) * 2020-09-07 2022-03-10 Kla Corporation System and method for accelerating physical simulation models during microelectronic device fabrication
TWI786566B (zh) * 2021-03-11 2022-12-11 南亞科技股份有限公司 半導體結構製造方法與半導體結構製造系統
TWI769829B (zh) * 2021-05-21 2022-07-01 崛智科技有限公司 積體電路輔助設計裝置與方法以及電性效能梯度模型建構方法
US11869756B2 (en) * 2021-06-17 2024-01-09 Tokyo Electron Limited Virtual metrology enhanced plasma process optimization method
CN115338873B (zh) * 2022-10-19 2022-12-16 四川爱麓智能科技有限公司 一种基于多参多维距离场数字化的机器人运动规划方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200849052A (en) * 2007-03-20 2008-12-16 Sony Corp Method of designing semiconductor integrated circuit, apparatus for designing semiconductor integrated circuit, recording medium, and mask manufacturing method
US20110153271A1 (en) * 2009-12-23 2011-06-23 Cadence Design Systems, Inc. Methods and systems for high sigma yield estimation
US20140282328A1 (en) * 2013-03-14 2014-09-18 Coventor, Inc. Design rule checks in 3-d virtual fabrication environment
TW201913849A (zh) * 2017-08-30 2019-04-01 南韓商三星電子股份有限公司 預測半導體積體電路的良率的裝置及使用所述裝置製造半導體元件的方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200849052A (en) * 2007-03-20 2008-12-16 Sony Corp Method of designing semiconductor integrated circuit, apparatus for designing semiconductor integrated circuit, recording medium, and mask manufacturing method
US20110153271A1 (en) * 2009-12-23 2011-06-23 Cadence Design Systems, Inc. Methods and systems for high sigma yield estimation
US20140282328A1 (en) * 2013-03-14 2014-09-18 Coventor, Inc. Design rule checks in 3-d virtual fabrication environment
TW201913849A (zh) * 2017-08-30 2019-04-01 南韓商三星電子股份有限公司 預測半導體積體電路的良率的裝置及使用所述裝置製造半導體元件的方法

Also Published As

Publication number Publication date
US20200356711A1 (en) 2020-11-12
TW202107325A (zh) 2021-02-16

Similar Documents

Publication Publication Date Title
TWI831968B (zh) 虛擬半導體裝置製造環境中之製程窗的最佳化系統及方法
JP7266138B2 (ja) 仮想半導体デバイス製作環境においてプロセスモデル較正を実行するためのシステムと方法
TWI779743B (zh) 用於半導體裝置結構之虛擬製造環境之非暫態電腦可讀媒體、計算裝置實施方法以及虛擬製造系統
TWI628552B (zh) 用於三維虛擬製造環境中的設計規則檢查之非暫態電腦可讀媒體、方法及系統
TWI616765B (zh) 於三維虛擬製造環境中使用材料特定行爲參數之多蝕刻製程
TWI601025B (zh) 於三維虛擬製造環境中將磊晶生長模型化之系統與方法
US20230252211A1 (en) Systems and methods for determining specification limits in a semiconductor device virtual fabrication environment
US10885253B2 (en) System and method for determining dimensional range of repairable defects by deposition and etching in a virtual fabrication environment