TWI851237B - 非暫態電腦可讀媒體、用於處理模型校正之計算裝置實施方法、與虛擬製造系統 - Google Patents

非暫態電腦可讀媒體、用於處理模型校正之計算裝置實施方法、與虛擬製造系統 Download PDF

Info

Publication number
TWI851237B
TWI851237B TW112119637A TW112119637A TWI851237B TW I851237 B TWI851237 B TW I851237B TW 112119637 A TW112119637 A TW 112119637A TW 112119637 A TW112119637 A TW 112119637A TW I851237 B TWI851237 B TW I851237B
Authority
TW
Taiwan
Prior art keywords
virtual
analysis
targets
virtual manufacturing
user
Prior art date
Application number
TW112119637A
Other languages
English (en)
Other versions
TW202338589A (zh
Inventor
威廉 J 伊根
肯尼斯 B 格雷內爾
大衛 M 佛萊德
阿許曼 孔瓦爾
Original Assignee
美商科文特股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科文特股份有限公司 filed Critical 美商科文特股份有限公司
Publication of TW202338589A publication Critical patent/TW202338589A/zh
Application granted granted Critical
Publication of TWI851237B publication Critical patent/TWI851237B/zh

Links

Images

Abstract

本文討論用於半導體裝置製造的虛擬製造環境,其包含用於執行關鍵參數辨識、處理模型校正、及變異度分析的分析模組。

Description

非暫態電腦可讀媒體、用於處理模型校正之計算裝置實施方法、與虛擬製造系統
本文關於半導體裝置製造的虛擬製造環境,更具體而言,本文關於在虛擬半導體裝置製造環境中用於關鍵參數辨識、處理模型校正、及變異度分析的系統及方法。 [相關申請案]
此申請案主張於2017年6月18日申請、標題為“System and Method for Analyzing Process Variation in a Virtual Fabrication Environment For Improved Process Integration”之美國臨時專利申請案第62/521,506號以及於2018年2月15日申請、標題為“System and Method for Process Model Calibration in a Virtual Fabrication Environment”之美國臨時專利申請案第62/631,022號的優先權及權利,兩申請案的全部內容於此藉由參照納入本案揭示內容。
積體電路(IC)實施現代電子裝置的無數功能。為了使IC的發展更有效率,半導體製造商將定期地開發待用於其積體電路之生產的共同製造製程或「技術」(為了易於解釋,術語「技術」可在本文用以意指正在開發之半導體裝置結構的製造製程)。
整合裝置製造商(IDM)及獨立代工廠的半導體開發組織耗費大量資源開發用以自晶圓(「晶圓」係半導體材料的薄片,通常但不總是由矽晶體所構成))製造其所銷售的晶片(IC)之處理操作的整合序列。大部分資源耗費在製造實驗晶圓及相關測量、計量(「計量」意指在半導體工業中進行之專門類型的測量)及特徵結構,全為了確保整合製程產生所需的半導體裝置結構。這些實驗晶圓在試誤方案中使用,以開發用於裝置結構之製造的個別處理且亦開發全部的整合處理流程。由於先進技術節點處理流程之增加的複雜性,大部分的實驗性製造運行導致負面或無特徵結果。這些實驗性運行持續時間長(在「晶圓廠」(製造環境)中數週至數月)且昂貴。最近的半導體技術進步(包含FinFET、三閘極、高K/金屬閘極、嵌入式記憶體及先進圖案化)已明顯地增加整合之半導體製造製程的複雜性。使用此試誤實驗方法之技術發展的成本及持續時間已同時增加。
在減少花費於製造實驗晶圓上的努力之目標下,已嘗試使用習知機械式電腦輔助設計(CAD)工具及專門技術CAD(TCAD)工具以建構半導體裝置結構的模型。已發現通用機械式CAD工具不適合,因為其無法自動模仿實際晶圓廠中發生的材料添加、移除、及修改製程。另一方面,TCAD工具係物理基礎的模型化平台,其模擬擴散與植入製程期間發生的材料組成變化,但不模擬包含整合的處理流程之其他製程期間發生的所有材料添加及移除作用。通常,3D裝置結構係對於TCAD的輸入,而非輸出。此外,由於製程之物理基礎的模擬所需之數據及計算的量,所以TCAD模擬實務上被侷限在晶片上非常小的區域,大部分僅包含單一電晶體。在先進的半導體製造技術中,大部分的整合挑戰關於可能廣泛分散於整合處理流程中的製程與包含完整技術套組(電晶體、電阻器、電容器、記憶體等)之多個不同裝置和電路間的相互作用。由系統及隨機效果兩者造成的結構失效通常係對於新製程技術節點之上市時間(Time-to-Market)的限制者。就此而言,需要與機械式CAD或TCAD不同的模型化平台及方法以應付更大範圍的關注,且以結構性預測的方式模型化整個整合處理流程。
用於半導體裝置結構的虛擬製造環境提供用於以比利用習知試誤物理實驗可能產生者更低的成本及更高的速度執行半導體製程開發的平台。與習知的CAD及TCAD環境相反,虛擬製造環境能夠虛擬式建構整合處理流程的模型及預測包含完整技術套組之所有裝置及電路的完整3D結構。虛擬製造可以其最簡單的形式描述為將整合處理序列的描述與呈2D設計數據(遮罩或布局)之形式的主題設計結合,並產生預測從真實/實體製造運行預期之結果的3D結構模型。3D結構模型包括包含晶片或晶片的一部分之多層材料、植入物、擴散物等之幾何上準確的3D形狀。虛擬製造以主要是幾何的方式完成,然而所涉及的幾何形狀由製造製程的物理性指示。藉由在抽象的結構層面(而不是物理基礎的模擬)執行模型化,可顯著地加速結構模型的建構,實現以電路層級區域尺度全面技術模型化。因此,虛擬製造環境的使用因此提供製程假設的快速驗證、及整合處理序列與2D設計數據間之複雜相互關係的視覺化。
本發明的實施例提供用於半導體裝置製造的虛擬製造環境,其包含用於辨識關鍵參數及用於執行處理模型校正及變異度分析的分析模組。更特別地,對於關鍵參數辨識,分析模組辨識最強烈影響製造處理之結果的處理步驟及/或參數。在處理模型校正中,分析模組調整處理參數以使在虛擬製造環境中正產生的3D模型匹配來自諸如穿透電子顯微術(TEM)數據或處理目標的實體晶圓廠的測量。對於變異度分析,分析模組幫助使用者分析及理解針對虛擬製造環境中產生之虛擬3D模型的集合而獲得之計量數據的變異度。
在一實施例中,非暫態電腦可讀媒體持有用於虛擬半導體製造環境中之關鍵參數辨識之電腦可執行的指令。當被執行時,該等指令致使至少一計算裝置針對將在計算裝置所產生的虛擬製造環境中虛擬製造的半導體裝置結構、接收2D設計數據的選擇及包含多個處理的處理序列。當被執行時,該等指令進一步基於使用2D設計數據及處理序列的實驗設計(DOE),使用計算裝置執行針對半導體裝置結構的虛擬製造運行。該複數虛擬製造運行建立多個3D模型。當被執行時,該等指令亦致使至少一計算裝置接收針對半導體裝置結構之一或更多目標的使用者辨識值、及執行虛擬製造環境中的分析模組以在自虛擬製造運行產生之3D模型中之一或更多目標的測量數據中辨識一或更多離群值。當被執行時,該等指令進一步接收使用者選擇,以自3D模型中之一或更多目標的測量數據增加或移除所辨識之一或更多離群值的其中一或更多者,該選擇係經由虛擬製造環境中提供的使用者介面接收。當被執行時,該等指令在自測量數據增加或移除選定的離群值之後,使用分析模組對一或更多目標的測量數據額外地執行迴歸分析,並基於迴歸分析的結果使用分析模組辨識一或更多關鍵參數。顯示或匯出所辨識之一或更多關鍵參數的辨識值。
在另一實施例中,在虛擬半導體製造環境中用於關鍵參數辨識的方法包含針對將在計算裝置所產生的虛擬製造環境中虛擬製造的半導體裝置結構、接收2D設計數據的選擇及包含多個處理的處理序列。該方法進一步基於使用2D設計數據及處理序列的實驗設計(DOE),使用計算裝置執行針對半導體裝置結構的複數虛擬製造運行。該複數虛擬製造運行建立多個3D模型。該方法額外地接收針對半導體裝置結構之一或更多目標的使用者辨識值,並執行虛擬製造環境中的分析模組以在自虛擬製造運行產生之3D模型中之一或更多目標的測量數據中辨識一或更多離群值。該方法亦接收使用者選擇以自3D模型中之一或更多目標的測量數據增加或移除所辨識之一或更多離群值的其中一或更多者。該選擇係經由虛擬製造環境中提供的使用者介面接收。此外,該方法在自測量數據增加或移除選定的離群值之後,使用分析模組對一或更多目標的測量數據執行迴歸分析,並基於迴歸分析的結果使用分析模組辨識一或更多關鍵參數。顯示或匯出所辨識之一或更多關鍵參數的辨識值。
在一實施例中,一種虛擬製造系統包含計算裝置,該計算裝置配備有處理器且配置成產生包含分析模組的虛擬製造環境。該虛擬製造環境針對待虛擬製造的半導體裝置結構接收2D設計數據的選擇及包含多個處理的處理序列、及基於使用2D設計數據及處理序列的實驗設計(DOE)執行針對半導體裝置結構的虛擬製造運行。該虛擬製造運行建立多個3D模型。該虛擬製造環境接收針對半導體裝置結構之一或更多目標的使用者辨識值,執行虛擬製造環境中的分析模組以在自虛擬製造運行產生之3D模型中之一或更多目標的測量數據中辨識一或更多離群值,並接收使用者選擇以自3D模型中之一或更多目標的測量數據增加或移除所辨識之一或更多離群值的其中一或更多者,該選擇係經由虛擬製造環境中提供的使用者介面接收。該虛擬製造環境在自測量數據增加或移除選定的離群值之後,使用分析模組對一或更多目標的測量數據執行迴歸分析,基於迴歸分析的結果使用分析模組辨識一或更多關鍵參數,並顯示或匯出所辨識之一或更多關鍵參數的辨識值。該虛擬製造系統更包含與計算裝置通訊的顯示表面。該顯示表面配置成顯示3D視圖中的3D結構模型。
本發明的實施例提供用於半導體裝置製造的虛擬製造環境,其包含用於辨識關鍵參數及用於執行處理模型校正及變異度分析的分析模組。然而,在討論關鍵參數辨識、處理模型校正、最佳化、變異度分析、及由實施例提供的其他特徵之前,首先描述本發明之分析模組可整合至其中的示例性3D設計環境/虛擬製造環境。 示例虛擬製造環境
圖1描繪適合用於實施本發明之實施例的示例性虛擬製造環境1。虛擬製造環境1包含由使用者2存取的計算裝置10。計算裝置10與顯示器120通訊。顯示器120可為計算裝置10之一部分的顯示螢幕或可為與計算裝置10通訊之獨立的顯示裝置或顯示表面。計算裝置10可為配備一或更多處理器11且能夠支援虛擬製造應用程式70、3D模型化引擎75、及分析模組79(下面進一步描述)之操作的PC、膝上型電腦、平板計算裝置、伺服器、或一些其他類型的計算裝置。處理器可具有一或更多核心。計算裝置10亦可包含揮發性及非揮發性儲存器,諸如但不限於隨機存取記憶體(RAM)12、唯讀記憶體(ROM)13、及硬碟14。計算裝置10亦可配備網路介面15以實現與其他計算裝置的通訊。吾人應察知,取代孤立計算裝置的情況,計算裝置10亦可實施為具有以並行或其他組合運作之多個計算裝置的計算系統。
計算裝置10可儲存及執行包含3D模型化引擎75的虛擬製造應用程式70。3D模型化引擎75可包含用於虛擬式製造半導體裝置結構的一或更多演算法,諸如演算法1(76)、演算法2(77)、及演算法3(78)。3D模型化引擎75可接受輸入數據20以執行產生半導體裝置結構模型數據90的虛擬製造「運行」。虛擬製造應用程式70及3D模型化引擎75可產生用以建立及顯示虛擬製造運行之結果的若干使用者介面及視圖。舉例而言,虛擬製造應用程式70及3D模型化引擎75可顯示用以建立虛擬製造運行的布局編輯器121、處理編輯器122、及虛擬製造控制台123。虛擬製造應用程式70及3D模型化引擎75亦可顯示表格及圖形計量結果視圖124及3D檢視器125,用於在半導體裝置結構的虛擬製造期間分別顯示由3D模型化引擎75產生的虛擬製造運行及3D結構模型的結果。虛擬製造應用程式70亦可包含分析模組79,用於執行如下面進一步討論之3D模型的分析。
輸入數據20包含2D設計數據30及處理序列40兩者。處理序列40可由多個處理步驟43、44、47、及48所構成。如此處進一步描述,處理序列40亦可包含一或更多虛擬計量測量處理步驟45。處理序列40可進一步包含一或更多子序列46,其包含處理步驟或虛擬計量測量處理步驟的其中一或更多者。2D設計數據30包含一或更多層,諸如層1(32)、層2(34)、及層3(36),通常以諸如GDS II(圖形設計系統版本2)或OASIS(開放式原圖系統交換標準)的工業標準布局格式提供。
輸入數據20亦可包含材料數據庫60,材料數據庫60包含諸如材料類型1(62)和材料類型2(64)之材料類型及針對每一材料類型之特定材料的記錄。處理序列中之處理步驟的許多者可相關於材料數據庫中的一或更多材料。每一材質具有名稱及一些屬性,諸如渲染顏色。材料數據庫可儲存在獨立的資料結構中。材料數據庫可具有階層,其中材料可按類型及子類型分組。處理序列中的個別步驟可相關於個別材料或上代材料類型。材料數據庫中的階層使參考材料數據庫的處理序列能夠更容易地被修改。舉例而言,在半導體裝置結構的虛擬製造中,可在處理序列期間將諸多類型的氧化物材料添加至結構模型。在添加特定氧化物之後,後續步驟可改變該材料。若材料數據庫中無階層且將添加新類型之氧化物材料的步驟插入既有的處理序列中,便亦必須修改可能影響氧化物材料的所有後續步驟以包含新類型的氧化物材料。在使用支援階層之材料數據庫的情況下,運作於某類材料(諸如氧化物)的步驟可僅關聯於上代類型而非相同類型的材料清單。接著,若將添加新類型氧化物材料的步驟插入處理序列中,便不需修改僅關於氧化物上代類型的後續步驟。因此,階層材料使得處理序列對修改更具彈性。階層材料的進一步益處係可產生及再次使用僅關聯於上代材料類型的庫存處理步驟及序列。
3D模型化引擎75使用輸入數據20以執行由處理序列40指定之操作/步驟的序列。如下面進一步說明,處理序列40可包含在虛擬製造運行期間指示處理序列中應採取結構元件測量之一點的一或更多虛擬計量步驟45、49。可使用先前增加至2D設計數據30中之層的定位器形狀進行測量。或者,可藉由諸如2D設計數據中的(x,y)坐標之替代手段或一些指定2D設計數據30中之位置的其他手段指定,來代替透過定位器形狀的使用。在虛擬製造運行期間處理序列40的執行產生虛擬計量數據80及3D結構模型數據90。3D結構模型數據90可用以產生可在3D檢視器125中顯示之半導體裝置結構之結構模型的3D視圖。虛擬計量數據80可受處理並以表格及圖形計量結果視圖124呈現給使用者2。
由於對諸如半導體裝置之整合技術的成功為至關重要的大量結構尺寸,因此找到在用以製造裝置結構之許多相互關聯的處理步驟與所產生結構間的關係是至關重要的。因為由處理序列中之步驟產生的結構修改可能受序列中的先前及後續步驟所影響,因此特定步驟可能以不明顯的方式影響結構尺寸。虛擬製造環境實現自動擷取來自正被產生之裝置的結構測量。測量結果的自動擷取係藉由在處理序列中之處理中測量結果為關鍵性的時間點指定虛擬計量測量步驟而完成。用於此虛擬計量測量的定位器形狀可增加至設計數據中的層且由虛擬計量測量步驟指定。來自此虛擬計量測量的輸出數據可用以提供對其他模型化結果或實體計量測量的定量比較。此虛擬計量測量能力係於處理序列期間提供以在整合處理流程中的正確點處擷取關鍵實體尺寸。
提供裝置結構中之指定位置處的虛擬計量測量數據的能力提供相對於習知實體晶圓廠測量技術的顯著改良。通常,實體之晶圓廠內的測量係於毗鄰產物晶粒、在切割道或鋸槽縫(saw kerfs)內製造的特定特徵結構上完成。在大多數情況下,需要將這些特徵結構設計成接納測量技術的限制,諸如光斑大小。因此,特徵結構並不完全代表產物晶粒上的實際結構。由於這些差異,晶圓廠內之測量的使用者通常面臨自特徵結構的測量結果推論產品結構上之結果的挑戰。在虛擬製造環境中,可在處理序列中之指定點將測量結果增加至任何設計布局,從而針對相互關聯的處理步驟對正建構的虛擬結構模型之影響提供較佳的見解。就此而言,消除測量特徵結構及推論產品結構上的結果之晶圓廠內的挑戰。
圖2描繪示例虛擬製造控制台123,以在虛擬製造環境中設定虛擬製造運行。虛擬製造控制台123允許使用者指定用於正被虛擬製造之半導體裝置結構的處理序列202及布局(2D設計數據)204。然而,吾人應察知虛擬製造控制台亦可為基於文字的腳本式控制台,其提供使用者輸入腳本式指令的手段,該腳本式指令指定所需的輸入並啟動建立結構模型、或建立對應於用於處理序列中之特定步驟之一系列參數值之結構模型的集合。後者情況係視為虛擬實驗(進一步討論於下)。
圖3描繪虛擬製造環境中的示例布局編輯器。在虛擬製造控制台123中,布局編輯器121顯示由使用者指定的2D設計布局。在布局編輯器中,顏色可用以描繪設計數據中的不同層。每一層上由形狀或多邊形圍起的區域表示晶圓上之光阻塗層在整合處理流程中的光微影步驟期間可曝露於光或避光的區域。一或更多層上的形狀可加以組合(進行布林運算)以形成在光微影步驟中使用的遮罩。布局編輯器121提供在任何層上插入、刪除、和修改多邊形及插入、刪除、或修改2D設計數據內之層的手段。可僅為了包含指示虛擬計量測量之位置的形狀或多邊形之目的而插入層。矩形形狀302、304、306已添加至所插入的層(由不同顏色標示)並標記虛擬計量測量的位置。如上所述,除了定位器形狀的使用之外,亦可在虛擬製造環境中利用指定用於虛擬計量測量之位置的其他方法。設計數據係與處理數據及材料數據庫結合使用以建立3D結構模型。
在布局編輯器121中顯示之設計數據中之所插入的層可包含已插入的定位器形狀。舉例而言,定位器形狀可為矩形,矩形的較長邊指示3D結構模型中之測量的方向。舉例而言,在圖3中,第一定位器形狀302可標記用於虛擬計量測量的雙重圖案化心軸,第二定位器形狀304可標記用於虛擬計量測量的閘極堆疊,而第三定位器形狀306可標記用於虛擬計量測量之電晶體的源極或汲極接點。
圖4描繪虛擬製造環境中的示例處理編輯器122。使用者在處理編輯器中定義處理序列。處理序列係為了虛擬製造使用者所選的結構而進行的處理步驟之有序清單。處理編輯器可為文字編輯器而使得每行或行的群組對應於一處理步驟、或諸如圖4中所描繪的專門圖形使用者介面。處理序列可為階層式的,意味著可將處理步驟分組成子序列和子序列的子序列等。通常,處理序列中的每一步驟對應於晶圓廠中的實際步驟。舉例而言,用於反應性離子蝕刻操作的子序列可能包含旋塗光阻、使光阻圖案化、及執行蝕刻操作的步驟。使用者針對每一步驟或子步驟指定適合操作類型的參數。該等參數的其中一些者係參照材料數據庫中的材料及2D設計數據中的層。舉例而言,沉積操作基元的參數係所沉積的材料、沉積物的標稱厚度、及橫向方向上之生長相對於垂直方向上之生長的非等向性或比率。此沉積操作基元可用以模型化諸如化學氣相沉積(CVD)的實際處理。類似地,蝕刻操作基元的參數係遮罩名稱(來自設計數據)、受操作影響的材料清單、及非等向性。
處理序列中可有數以百計的步驟,且處理序列可包含子序列。舉例而言,如圖4中所描繪,處理序列410可包含由多個處理步驟(諸如所選的步驟413)構成的子序列412。處理步驟可選自可用之處理步驟的程式庫402。對於所選的步驟413而言,處理編輯器122使得使用者能夠指定所有需要的參數420。舉例而言,使用者可能能夠自材料數據庫404中的材料清單選擇一材料並針對在處理步驟413中之該材料的使用指定處理參數406。
處理序列中的一或更多步驟可為由使用者插入的虛擬計量步驟。舉例而言,在子序列412中插入步驟4.17「測量CD」(414)(其中CD表示臨界尺寸)將導致虛擬計量測量在使用先前於2D設計數據中之一或更多層上插入的一或更多定位器形狀在虛擬製造運行中的該點處進行。在製造序列中直接插入虛擬計量步驟允許虛擬計量測量在製造處理期間在所關注的關鍵點處進行。由於虛擬製造中的許多步驟在最終結構的產生中相互作用,因此在整合處理流程中的不同點處決定結構之幾何特性(諸如橫剖面尺寸及表面積)的能力係製程開發者及結構設計者相當關注的。
圖5描繪虛擬製造環境中用以產生虛擬計量測量數據之步驟的示例序列。序列始於使用者選擇待製造的半導體裝置結構(步驟502)。使用者可自設計數據檔案的多個可用集合中選擇且接著選擇該設計數據內的矩形區域。舉例而言,使用者可選擇FinFET或被動式電阻器或記憶體單元。在待製造之結構的判定/選擇之後,使用者在處理編輯器122中輸入處理序列(步驟504a)並選擇預期產生所需結構的2D設計數據(步驟504b)。選用性地,使用者可在布局編輯器121中產生或修改設計數據。在處理編輯器中,使用者可在處理序列中插入一或更多虛擬計量步驟(步驟506a),該一或更多虛擬計量步驟指定虛擬製造期間使用者想要在不斷發展的結構中的指定位置處進行虛擬計量測量的點。使用者可在布局編輯器121中顯示的2D設計數據中插入定位器形狀,該定位器形狀將被虛擬計量步驟使用以執行其測量(步驟506b)。定位器形狀的重要性取決於所要求之測量的類型。舉例而言,矩形形狀的較長軸線可標示對結構的橫剖面待進行長度測量的方向及範圍,或矩形本身可指定待測量的兩材料間之接觸區的區域。吾人將察知在虛擬製造環境中,上述處理編輯器中的兩個步驟可在布局編輯器中的步驟之前執行,反之亦然。
在已將一或更多定位器形狀增加至2D設計數據中的一或更多層(步驟506b)且已將虛擬計量步驟增加至處理序列(步驟506a)之後,使用者利用虛擬製造控制台123設定虛擬製造運行(步驟508)。在虛擬製造運行期間,處理序列40中的處理步驟係以由3D模型化引擎75指定的順序執行。當虛擬製造到達虛擬計量步驟時,執行正被製造的結構中之指定元件的虛擬「測量」。由模型化引擎完成的計算取決於所要求之測量的性質,並大致與晶圓廠中的類似實體測量技術一致。舉例而言,晶圓廠中之臨界尺寸掃描式電子顯微鏡(CD-SEM)測量藉由偵測結構之頂部表面之定向上的快速變化而定位側壁。類似地,在虛擬計量操作中,3D模型化引擎擷取在由定位器矩形指定的區域中之結構的頂部表面、沿其與由矩形的較長軸線與垂直軸線之交叉定義的平面的交線針對超過閾值(例如5度)的斜率變化而偵測表面。斜率的大變化界定特徵部的複數面,諸如結構中之脊的底部、頂部、及側面。在已建立特徵部之底部、頂部、及側面之位置的情況下,特徵部的側面之間的距離在由計量步驟指定的垂直位置(底部、中間、或頂部)處計算。3D模型化引擎在其建立結構模型時產生一或更多類型的輸出。輸出的一類型為結構模型本身,且可包含結構模組在處理序列中之一或更多點處的狀態。可在3D檢視器125中對使用者顯示3D模型(步驟512a)。3D模型化引擎亦匯出虛擬計量數據(步驟510)。虛擬計量數據80可被匯出至自動數據分析工具以供進一步處理、或可經由諸如表格及圖形計量結果視圖124或其他視圖的使用者介面向使用者展示(步驟512b)。若結構在被檢視或被分析時令人滿意(步驟513),則虛擬製造運行結束(步驟514)。若由3D模型化引擎產生的結構不令人滿意,則使用者修改處理序列及/或2D設計數據(步驟516),並設定新的虛擬製造運行(步驟508)。
圖6描繪虛擬製造環境中的示例3D檢視器125。3D檢視器125可包含3D視圖畫布602,用於顯示由3D模型化引擎產生的3D模型。3D檢視器125可顯示處理序列中的保存狀態604並允許特定狀態606被選擇及出現在3D視圖畫布中。3D檢視器提供諸如放大/縮小、旋轉、平移、橫剖面等的功能。選用性地,使用者可在3D視圖畫布602中啟用橫剖面視圖並使用小型頂視圖608操縱橫剖面的位置。
來自3D模型化引擎75之另一類型的輸出係由包含在處理序列中之虛擬計量步驟產生的數據。圖7描繪由虛擬製造環境中的多個虛擬計量測量步驟產生之虛擬計量數據80的示例性顯示。虛擬計量數據80可以包含2D X-Y圖及多維圖像的表格或圖形形式顯示。
示例虛擬製造環境中使用的技術係基於幾何學。因此用來自實體製造的實際實驗結果校正處理步驟輸入參數以使虛擬實驗更具預測性是明智的。處理步驟的如此校正導致包含整個技術套組之所有結構之改善的模型化準確度。可對來自特徵結構或產品結構上的測量、計量、或其他實體特徵方法的個別處理步驟執行校正。校正可藉由以下操作進行:將模型化結果(包含虛擬計量測量數據)與相應之實體晶圓廠中進行的測量或計量比較(在相應的特徵或產品結構上),並隨後調整模型化參數而使得所得之虛擬製造的結構較佳地匹配實體製造的結構。在適當校正模型化處理參數的情況下,虛擬製造環境變得更能預測遍及整個被允許的設計空間之由實體製造產生的結構。
圖8描繪校正虛擬製造環境中之處理序列之步驟的示例序列。該序列包含在虛擬製造環境及相應實體晶圓廠環境兩者中進行的步驟。在虛擬製造環境中,使用者選擇待校正的處理序列(針對待虛擬製造的結構)並辨識相關的處理參數(步驟802a)。在實體晶圓廠中,使用者在製造運行期間辨識特徵或產品結構的集合以供測量(步驟802b)。返回虛擬製造環境中,使用者在處理編輯器中輸入處理序列(步驟804a),且定義特徵結構的2D設計數據(布局)係自可用的2D設計數據選擇或為了在布局編輯器121中的目的而產生(步驟804b)。將相同的設計數據用於虛擬製造及實際特徵化。如上所討論,使用者在處理序列中插入一或更多虛擬計量步驟(步驟806a)並將測量定位器形狀增加至2D設計數據(步驟806b)。使用者在虛擬製造控制台中設定虛擬晶圓廠運行(步驟808),且3D模型化引擎建立3D模型,並產生及匯出虛擬計量數據(步驟812a)。與虛擬製造運行並行或偏移,實體製造環境產生特徵或產品結構(步驟810),並對這些結構取得晶圓廠中的影像及測量結果(步驟812b)。使用者可接著將3D檢視器125中產生之虛擬模型的3D視圖與實體裝置結構之晶圓廠中的影像比較(步驟814a)。此外,可將特徵結構測量結果的集合與由於插入處理序列中之虛擬計量步驟而獲得的虛擬計量測量結果比較(步驟814b)。在大部分的情況下,此比較將由使用者進行,但或者,該比較可基於預先定義或交互式請求準則由自動化數據分析工具進行。若視圖和影像與虛擬和實際測量結果之間有令人滿意的一致性(步驟815),便認為處理序列係經校正的(步驟816)。然而,若沒有令人滿意的一致性(步驟815),則使用者修改處理編輯器中之處理參數的數值(步驟818),且在虛擬製造控制台中設定新的虛擬製造運行(步驟808)。序列接著疊代,直至達到令人滿意的一致性且達成校正。
吾人應察知在序列內可有可被校正的若干不同參數。雖然以上描述論及處理序列中之虛擬計量步驟之插入的使用及2D定位器形狀的相關使用以執行虛擬計量測量,但在虛擬製造環境中可使用其他技術。舉例而言,虛擬測量可在製造完成之後在虛擬裝置結構上執行,且接著與在實體製造運行期間/之後對特徵結構進行的實體測量比較。
儘管建立單一結構模型可為有用的,但建立大量模型的虛擬製造有更高的價值。虛擬製造環境可使得使用者能夠產生並運行虛擬實驗。在虛擬實驗中,可探查處理參數的一系列數值。虛擬實驗可藉由在完整處理序列中指定待應用於個別處理之參數值的集合(而非每參數單一值)而設定。可以此方式指定單一處理序列或多個處理序列。以虛擬實驗模式執行的3D模型化引擎75接著建立跨處理參數集合的多個模型,始終利用上述的虛擬計量測量操作以針對每一變異擷取計量測量數據。此能力可用以模擬通常在實體晶圓廠環境中執行的兩基本類型的實驗。首先,製造製程以隨機(非確定性)的方式自然地變化。如本文所解釋,對於每一虛擬製造運行使用的基本確定性方法,所述方法仍然可藉由進行多個運行而預測非確定性的結果。虛擬實驗模式允許虛擬製造環境針對每一處理參數透過變異的整個統計範圍及許多/所有處理參數之變異的組合模型化。其次,實體晶圓廠中的實驗運行可在製造不同晶圓時指定將被刻意改變之參數的集合。虛擬實驗模式使虛擬製造環境亦能夠藉由對參數集合的特定變異執行多個虛擬製造運行而模仿此類型的實驗。
製造序列中的每一處理具有其自身固有的變異。要理解複雜流程中之所有合計的處理變異之影響係非常困難的,尤其是在考慮變異之組合的統計機率時。一旦建立虛擬實驗,處理序列實質上即藉由包含在製程描述中之數值處理參數的組合來描述。這些參數的每一者可藉由其總變異(就標準差或西格瑪值而言)、及因而藉由高斯分布或其他適當機率分布中的多個點為特徵。若設計並執行虛擬實驗來檢查處理變異的所有組合(每一高斯分布上的多個點,例如:每一參數的±3西格瑪、±2西格瑪、±1西格瑪、及標稱值),則來自序列中的虛擬計量步驟的所得圖形及數字輸出涵蓋該技術的總變異空間。即使此實驗研究中的每一情況係由虛擬製造系統確定性地模型化,虛擬計量結果的集合仍包含統計分布。簡單的統計分析(諸如統計上不相關之參數的和方根(RSS)計算)可用以將總變異度量歸屬於實驗的每一情況。接著,可相對於總變異度量分析所有虛擬計量輸出(數值及圖形兩者)。
在實體晶圓廠中的典型試誤實驗實務中,以因標稱製程產生的結構測量為目標,且處理變異係藉由指明後續製程中必須預期之結構測量中之總變異(總結構餘裕)的過大(保守)餘裕而加以掌握。相反地,虛擬製造環境中的虛擬實驗可針對整合處理流程中之任何點處的結構測量提供總變異包絡的定量預測。取代標稱值,結構測量的總變異包絡可接著成為開發目標。此方法可在不犧牲關鍵結構設計目標的情況下確保整個整合處理流程之可接受的總結構餘裕。以總變異為目標的此方法可造成標稱的中間或最終結構,其比起原本由以標稱處理為目標而產生的標稱結構較不理想(或較不美觀)。然而,此次優的標稱製程並非關鍵的,因為已掌握總處理變異的包絡且其在判定整合處理流程的穩健性及良率上更重要。此方法係半導體技術開發中的典範轉移,從著重於標稱製程至著重於總處理變異的包絡。
圖9描繪虛擬製造環境中之步驟的示例序列,其用以針對多個半導體裝置結構模型設定並執行產生虛擬計量測量數據的虛擬實驗。該序列始於使用者選擇一處理序列(該處理序列可能先前已校正以使結果更具結構預測性(步驟902a))並辨識/產生2D設計數據(步驟902b)。使用者可選擇處理參數變異以進行分析(步驟904a)及/或設計參數變異以進行分析(步驟904b)。使用者將一或更多虛擬計量步驟插入如上所述的處理序列中(步驟906a),且將測量定位器形狀增加至2D設計數據(步驟906b)。使用者可借助於專門的使用者介面、自動參數總覽126而設定虛擬實驗(步驟908)。圖10描繪示例自動參數總覽,且可顯示並允許使用者改變待改變的處理參數1002、1004、1006及待使用該等參數之對應的不同參數值1008建立之3D模型的清單。用於虛擬實驗的參數範圍可以表格格式加以指定。3D模型化引擎75建立3D模型並匯出虛擬計量測量數據以供查核(步驟910)。虛擬實驗模式提供來自所有虛擬測量/計量操作的輸出數據處理。可將來自虛擬計量測量的輸出數據予以解析並集合成有用的形式(步驟912)。
在此解析及集合的情況下,可進行隨後的定量及統計分析。獨立的輸出數據收集器模組110可用以自包含虛擬實驗之虛擬製造運行的序列收集3D模型數據及虛擬計量測量結果、並以圖形及表格格式呈現該3D模型數據及虛擬計量測量結果。圖11描繪由虛擬製造環境中之虛擬實驗產生的虛擬計量數據的示例表格格式化顯示。在該表格格式化顯示中,可顯示在虛擬實驗期間收集的虛擬計量數據1102及虛擬製造運行的清單1104。
圖12描繪由虛擬製造環境中之虛擬實驗產生的虛擬計量數據之示例性2D X-Y圖形繪示。在圖10中描繪的示例中,顯示由於改變處理序列之先前步驟中的3個參數而導致的淺溝槽隔離(STI)台階高度中的總變異。每個菱形1202表示一虛擬製造運行。變異包絡1204亦顯示為所描繪的結論1206:下游處理模組必須支援STI台階高度中大約10.5 nm的總變異,以在整個6西格瑪之輸入的變異中達到穩健性。虛擬實驗結果亦可以多維圖形格式顯示。
一旦集合虛擬實驗的結果,使用者可查核已在3D檢視器中產生的3D模型(步驟914a)並查核針對每一虛擬製造運行呈現的虛擬計量測量數據及度量(步驟914b)。依據虛擬實驗之目的,為了開發達成所需標稱結構模型之處理序列的目的,使用者可分析來自3D模型化引擎的輸出,以進一步校正處理步驟輸入參數、或最佳化處理序列以達成所需的製程窗。
3D模型化引擎75之針對一系列參數值(包含虛擬實驗)建構多結構模型的作業在計算上係非常密集的,且因此若在單一計算裝置上執行可能需要極長的時間(許多天或週)。為了提供虛擬製造的所欲數值,用於虛擬實驗的模型建立必須比實體實驗快許多倍地發生。使用現今的電腦達成此目標需利用任何及所有並行機會。3D模型化引擎75使用多核心及/或處理器以執行個別的模型化步驟。此外,一集合中不同參數值的結構模型係完全獨立的,且因此可使用多核心、多處理器、或多個系統並行地建立。
虛擬製造環境中的3D模型化引擎75可以立體像素(voxel)的形式表示下方結構模型。立體像素實質上係3D像素。每一立體像素係相同大小的立方體,且可包含一或更多材料、或不含材料。精於本技術領域之人士將察知3D模型化引擎75亦可以其他格式表示結構模型。舉例而言,3D模型化引擎可使用諸如3D機械式CAD工具中使用之習知基於NURBS的固體模型化內核,然而基於數位立體像素表示法的模型化操作遠比習知類比固體模型化內核中的對應操作穩健。如此固體模型化內核通常依靠大量試探規則以處理諸多幾何情況,且在試探規則未正確預期情況時模型化操作可能失敗。造成基於NURBS之固體模型化內核之問題之半導體結構模型化的態樣包含藉由沉積製程產生的極薄層、及蝕刻前緣的延伸(其導致合併面及/或幾何形狀的破裂)。
虛擬製造環境可使包含在處理序列中的多蝕刻處理能夠執行,其允許3D模型化引擎75模型化寬範圍的處理及材料特定的蝕刻行為。用於高度縮放之半導體裝置之處理流程中的圖案化操作經常使用電漿蝕刻執行。電漿蝕刻以許多不同名稱為人所知:乾式蝕刻、反應性離子蝕刻(RIE)、感應耦合電漿(ICP)蝕刻等。諸多操作條件及化學品允許製程工程師微調電漿蝕刻行為,以選擇性地達成多個不同類別材料中的不同蝕刻物理過程。當圖案化穿過一些材料層時,此行為彈性係達成所需3D結構的關鍵。一些不同類型的物性通常涉及包含但不限於:化學蝕刻、濺射、聚合材料的沉積或再沉積、靜電充電、靜電聚焦、及遮蔽。此多範圍的物理過程產生相稱範圍的蝕刻行為且因此產生相稱範圍的結構形狀。
以足夠準確性直接模擬電漿蝕刻中涉及的物理過程係非常困難且緩慢的。多重蝕刻處理步驟藉由使用減少之行為參數的集合模擬電漿蝕刻而避免基於物理過程之模擬的難處,該行為參數係特定針對蝕刻的類型及被蝕刻的材料。此允許獲得寬範圍的物理蝕刻行為而無需直接模擬蝕刻處理的物理過程。舉例而言,可模擬三個主要類型的蝕刻行為:等向的、漸縮的、及濺射。亦可選用性地模擬第四類型的蝕刻行為:遮蔽。
基本(等向的)行為係由化學蝕刻所致(物理性地)且導致材料自可蝕刻表面上的點在所有方向上以類似的速率被移除,而不管可蝕刻表面的局部定向為何。基本行為可使用控制橫向與垂直蝕刻速率間之比率的單一輸入參數「橫向比率」模型化。舉例而言,橫向比率數值一(1.0)表示蝕刻速率在所有方向上係均勻的。小於一的橫向比率數值表示橫向方向上(垂直表面上)的蝕刻速率比垂直方向上(水平表面上)的蝕刻速率慢。
漸縮行為係由定向蝕刻行為及聚合物沉積的組合所致(物理性地)。聚合物沉積發生為定向性蝕刻處理的副作用。在蝕刻水平表面比垂直表面快得多的定向性蝕刻製程期間,聚合物可在接近垂直的表面上累積。蝕刻與沉積間的此競爭導致漸縮的側壁輪廓。漸縮行為可使用單一輸入參數‒錐角‒模型化。錐角描述沉積及蝕刻速率平衡所在的臨界角。選用性的第二參數‒橫向比率‒具有如上文針對基本行為所定義之相同的含義。
濺射行為意指材料經由高能離子之轟擊的直接物理移除,且導致突出邊緣(凸邊緣)及在一些情況下角隅的優先移除。濺射可使用兩個參數模型化:最大濺射率的角度、及相對於垂直蝕刻之速率的濺射速率。
遮蔽意指由局部高度變化造成之定向性離子通量的減少,而有效地減少對於一些結構的蝕刻速率。此效應在一些情況下可為顯著的,而導致單元範圍不同的蝕刻速率。遮蔽可使用單一參數模型化,以描述高能離子相對於垂直軸的入射角。
為了模型化多材料、多物理過程的蝕刻,必須在虛擬製造環境中將上述輸入參數形成為合適的數值模型化演算法。該數值模型化演算法包含單一材料和多材料速度函數及表面發展技術。單一材料速度函數將蝕刻速度定義為局部表面定向(即,表面法線方向)的函數且憑經驗判定,以產生所需的蝕刻行為。亦注意單一材料速度函數可結合多類型的蝕刻行為;例如漸縮及濺射蝕刻兩者包含與基本(等向的)蝕刻相關聯的參數。多材料速度函數係單一材料速度函數的組合,且將局部蝕刻速度計算為局部表面定向及局部材料類型兩者的函數。蝕刻比率參數定義可蝕刻材料的相對蝕刻速率且為單一材料速度的倍增因數。
在定義速度函數的情況下,合適的表面發展技術可用以在三個維度上定位並發展可蝕刻表面的位置。根據藉由評估速度函數判定的局部純量速度,可蝕刻表面係在其局部法線方向上平流或移動。純量速度必須在可蝕刻表面上之關注點處計算且必須隨可蝕刻表面的幾何形狀發展而週期性地重新計算。
在虛擬製造環境中用於模擬多蝕刻製程的數值演算法可使用若干不同類型的表面發展技術。移動的表面可使用任何合適的數值空間離散化表示。可使用顯性面追蹤方法(explicit front tracking method):示例包含字符串方法(string method)、點線方法(2D)、及多邊形表面(3D)。亦可使用替代的隱性表面表示法,諸如:距離場、流體的體積、或立體像素。任何合適的時間相依數值技術可用以使移動的表面及時前進。
選擇性的磊晶處理可被包含在用以虛擬製造半導體裝置結構的處理序列中。選擇性的磊晶處理虛擬式模型化半導體裝置結構之結晶基板表面的頂部上之結晶材料層的磊晶生長。選擇性的磊晶在現代的半導體處理流程中廣泛受到使用,通常為了在電晶體通道上施加機械式應力以改善效能的目的。磊晶生長的關鍵特性係其對於結晶方向的相依性。半導體裝置通常在單晶矽晶圓(即,具有以重複晶格結構排列之原子的矽材料,其在大部分的晶圓上係連續的)上製造。矽結晶結構係非等向性的(即,在所有方向上不對稱),且矽表面在一些特定結晶方向上更穩定。這些方向由主要晶面族定義(使用其米勒指數辨識為<100>、<110>、及<111>),且對生長特性有最強烈的影響。藉由改變磊晶處理中的壓力、溫度、及化學前驅物,工程師可控制三個主要平面的相對生長速率。次要平面(例如,<211>、<311>、<411>)上的生長速率亦改變,但對判定磊晶式生長結構的最終形狀通常不影響。
虛擬製造環境可使用表面發展演算法以模型化磊晶生長。磊晶生長發生於其上的表面(生長表面)係根據純量平流速度而平流或移動。生長速率係基於局部表面法線方向及固定的輸入參數在所選的點處計算、在距離及時間兩者上係局部的、且使表面在其法線方向上移動。生長中的表面可使用任何合適的數值空間離散化表示。可使用顯性面追蹤方法:示例包含字符串方法(string method)、點線方法(2D)、及多邊形表面(3D)。亦可使用替代的隱性表面表示法,諸如:距離函數、流體的體積、或立體像素。任何合適的時間相依數值技術可用以使生長中的表面及時前進。
虛擬製造環境中的選擇性磊晶處理利用三個主要平面族<100>、<110>、及<111>的生長速率作為固定的輸入參數。這些輸入參數定義與相關聯平面之其中任一者對準之表面的生長速率。另外的輸入參數可包含在相鄰的非晶狀材料上的生長速率。當計算磊晶生長速率時,亦可考慮3D模型化座標系統與晶圓之晶格間的關係。3D模型化座標系統通常使用與2D設計數據相同的X及Y軸,且Z軸通常垂直於晶圓的表面。亦可使用替代的坐標系統。在實際晶圓上,藉由別的圓形晶圓之邊緣上的「平坦部」或「凹口」標示晶格的定向。凹口可用作參考點以使2D設計數據相對於晶格定向在期望的方向上。指定凹口(或平坦部)類型及方向的輸入參數可相對於2D設計數據定義晶圓的晶格及相關聯之結晶平面的定向。應注意,此關係可描述為3D模型座標系統與晶格的座標系統間的座標轉換。
在使用主要平面族的生長速率且知道晶格的定向之情況下,可計算生長表面上之每一處的磊晶生長速率。具有與主要平面方向對準之法線方向的生長表面之區域被指派該主要平面的速度。對於未與主要平面方向對準之生長表面的區域,必須藉由在相鄰的主要平面方向之間內插而找出適當的速度。此外,結晶材料之邊界處之磊晶生長的行為亦可為重要的。磊晶生長常常在一些非結晶材料已沉積且圖案化的先前處理步驟之後執行。這些非結晶材料可毗鄰結晶材料,且因此緊臨磊晶生長。非結晶毗鄰材料的示例為二氧化矽、矽氮化物、或半導體處理中常見的任何其他材料。在一些情況下,磊晶生長沿毗鄰的非結晶材料緩慢地潛變(過度生長),但在其他情況下則不然。可使用定義過度生長發生在其上之毗鄰材料(過度生長材料)之集合的固定輸入參數、及生長表面沿過度生長材料潛變的速度模型化過度生長行為。過度生長速度修正過度生長材料之表面處的磊晶生長速率,使得生長表面以指定的速度沿過度生長材料移動。此外,生長表面沿過度生長材料移動的速度可取決於過度生長材料表面與生長表面之間的角度。若該兩表面之間的角度大於閾值角度,則可忽略過度生長速度。
設計規則檢查(DRC)或光學規則檢查(ORC)可在虛擬製造環境中執行。DRC及ORC典型已由專門軟體對2D設計數據執行作為為了轉換成光微影遮罩而準備2D設計數據之處理的一部分。為了辨識布局中將導致非功能性或工作不良之晶片的錯誤之目的而執行如此檢查。該檢查亦在添加對諸如光學鄰近校正(OPC)之光學效應的補償之後執行。典型設計規則(如設計手冊中所公佈及DRC驗證檔(deck)中所編碼)係簡單的2D準則,旨在防止基本上為3D本質的問題。然而,在半導體處理技術日益複雜的情況下,設計手冊已發展成有數以千計2D設計規則待編纂並解釋的上千頁文件。在許多情況下,單一3D失效機制/關注可驅使數以百計的2D設計規則。這些2D設計規則的發展需要關於整合處理流程及所得結構之3D本質的顯著假設。
2D DRC係發展自可能導致過度保守設計的相對簡單計算。舉例而言,考慮確保金屬互連層上的線與下方通孔間的最小接觸面積所需的2D設計規則。通孔係兩個互連層(亦稱為金屬層)間的垂直導電連接器,或在互連層與諸如電晶體、電阻器或電容器的裝置間的垂直連接器。
需要許多額外的2D DRC以滿足在3D中非常容易說明的準則:金屬線與通孔間的接觸面積必須超過指定閾值數值。2D DRC情形在吾人考慮多個製造變異可能影響接觸面積時變得更加複雜,該製造變異包含微影步驟期間的曝光過度或曝光不足、遮罩的偏移、通孔層的平坦化(經由化學機械拋光(CMP))、及藉由電漿蝕刻產生的側壁漸縮。在驅使2D DRC的簡單公式中包含所有這些統計變異係不可行的,因此DRC比防止製造變異所需的更嚴格。這些過度嚴格的2D DRC可能導致在晶粒上有浪費區域的次優設計。
與2D DRC環境相比,虛擬製造環境可在不做出關於從2D至3D的轉換之假設的情況下直接在3D中執行檢查,諸如:最小線寬度、特徵部間的最小空間、及最小接觸面積。在3D中直接執行的檢查在本文稱為「3D DRC」。3D DRC的一益處係所需的檢查數目顯著小於2D環境中所需的數目。因此,該檢查比2D檢查穩健且易於發展。此外,在使用更小的3D規則集合之情況下,虛擬製造環境可針對處理參數的一系列統計變異執行檢查。
吾人應察知3D DRC不同於亦可在虛擬製造環境中執行的虛擬測量/計量操作。虛擬測量計量操作模仿晶圓廠中的實際測量及計量操作,藉以指定測量位置並輸出諸如距離值或面積的度量。另一方面,對於3D DRC而言,指定幾何準則且該準則的位置及數值係受到期望的。亦即,位置係3D DRC操作的輸出而非輸入。舉例而言,虛擬計量操作可指定在由2D設計數據中的定位器指示之特定位置處的氧化物膜厚度測量值,而用於最小層厚度的3D DRC可請求3D模型中之氧化物膜厚度小於指定閾值數值之任一處的位置。3D結構模型接著可搜尋滿足指定之最小尺寸準則的位置。類似地,3D DRC亦可使結構模型被搜尋以查看是否滿足最大尺寸準則。此類型的3D DRC因此提供利用用於辨識非預期的失效原因之虛擬測量/計量操作無法獲得的益處。
3D DRC的示例包含: •電網隔離:找出所選導體之間的最短距離。導體係可由一或更多導電材料構成的集總(「集總」係3D結構模型內的離散體積區域(技術上,3歧管)。集總可由單一材料或多材料所構成); •最小間隔:找出所選的集總之群組中的任何對之間的最短距離; •最小線寬度:找出通過所選的集總之群組中之任何集總的最短距離; •最小層厚度:找出通過包括一層材料之集總之集合中之任何集總的最短距離; •最小接觸面積:找出所選之集總的所有對之間的最小接觸面積。
集總可根據組成材料、電導率、或其他性質加以選擇。3D DRC檢查的其中每一者可藉由指定閾值數值加以擴展。舉例而言,指定最小線寬度檢查的閾值數值產生最小線寬度小於閾值數值之位置的清單。精於本技術領域之人士將察知可定義此本質的其他檢查。 分析模組
在一實施例中,虛擬製造環境包含分析模組。分析模組旨在模擬半導體製程整合商遭遇之使用案例中的工作流程。半導體製程整合商所遭遇並由分析模組處理的示例使用案例可包含但不限於關鍵參數辨識、處理模型校正、及變異度分析。在關鍵參數辨識中,分析模組可找出最強烈影響結果的處理步驟/參數(校正、缺陷模式等)。在處理模型校正中,可將處理參數調整成使3D模型匹配來自實體晶圓廠的測量,諸如但不限於穿透電子顯微術(TEM)數據或處理目標。在變異度分析中,分析模組可諸如但不限於藉由估計規格限制設定之結構或電性參數的變異度來幫助使用者分析及理解針對虛擬3D模型之集合獲得之計量數據的變異度。
本文描述的分析模組可經由應用於虛擬半導體製造環境中的參數和設定的實驗設計或蒙地卡羅模擬而產生處理變異,且接著針對使用者執行自動統計分析、最佳化、及視覺化。被分析的數據可包含輸入處理參數的設置及(但不限於)虛擬製造環境中產生之3D虛擬半導體結構上評估的計量、結構搜尋、DTC檢查、及電性分析。實施例利用經選擇並定制的統計方法來解決問題,並處理虛擬半導體製造特有的問題及校正將結果數據匯出至習知第三方統計工具時可能發生的錯誤。
實施例亦提供用於實驗設計之更有效的技術,因為本發明之虛擬半導體製造環境建構3D模型的特定方式造成不具有其他實驗設計方法必須解決的某些常見問題。舉例而言,若不改變驗證檔及參數設定,則虛擬半導體製造環境中每次將產生相同的3D模型。因此,3D模型輸出沒有隨機分量,且不需執行實驗設計中隨機化、複製、及阻斷的三個常見作業。
在一實施例中,將分析模組整合至虛擬製造環境中,致使無法經由第三方統計解決方案獲得之改進的及新的功能。在一實施例中,UI及演算法可藉由使用案例、及接著針對每一使用案例的左側、逐步流程UI加以安排。此設計可強烈地指引使用者(可能缺乏統計訓練者)執行正確的分析步驟,使得其避免分析中的錯誤。分析模組亦可包含統計分析引擎,其使用一組分析演算法正確地分析每一特定使用案例。分析模組可解決第三方統計軟體未正確處理的問題,諸如多重共線性及離群值(討論於下),且如前所述避免使用不需要的方法,例如在實驗設計期間的隨機化。可將分析的結果以諸多格式提供給使用者或第三方軟體。
圖13描繪示例實施例中的示例分析流程。分析模組的輸入可包含但不限於分析之類型的選擇,其可藉由使用案例(例如辨識關鍵參數、最佳化、校正、變異度分析)安排。額外的示例輸入包含受關注的處理參數(例如指定作為標稱值及/或範圍)及受關注的目標(例如:計量值、結構搜尋、DTC檢查、電性分析值)。在一實施例中,輸入值可為對於3D模型檔案的參照。分析模組可執行運行列表產生以設定試驗性的實驗設計(DOE)(例如:篩選D.O.E.、全因素D.O.E.、蒙地卡羅模擬),後接運行列表執行且可利用叢集計算以提高執行期間的效率。來自執行的輸出可包含離群值偵測及統計分析結果,諸如判定參數顯著性/排序。輸出亦可包含探索圖(例如二變量圖、回應面)及間接最佳化。在一實施例中,亦可將結果匯出至第三方工具以供進一步分析。 關鍵參數辨識
使用如本文所述之分析模組的實施例之一示例使用案例係關鍵參數辨識。在關鍵參數辨識中,分析模組接收包含2D布局及處理步驟之驗證檔的使用者選擇。關鍵參數辨識使用案例之目的係判定哪些參數與目標相關並影響目標。接著,將這些參數排序以顯示其相對重要性。在一實施例中,使用案例具有七個步驟:
1)挑選實驗設計;
2)選擇參數以將使用者選擇層級改變及輸入至設計中;
3)產生設計並運行(必要時匯出);
4)選擇計量目標;
5)設定迴歸選項;
6)自DOE結果數據選擇經辨識的離群值以供增加或移除;及
7)運行迴歸並查看結果。辨識重要/關鍵的參數。
在此實施例中,第一步驟係實驗設計(DOE)步驟的選擇,亦稱為實驗設計。D.O.E.係用於在特定之參數組合的設定下計算實驗數目、使得更多訊息花費更少實驗努力而獲得的方法。分析模組提供三個方法產生實驗設計以對參數空間取樣:全因素設計、限定性篩選設計(DSD)、及蒙地卡羅模擬。圖14A描繪虛擬製造環境中提供的示例性UI 1400,用於進行實驗設計1402之類型的選擇。
全因素設計係最經典的實驗設計。產生所有可能的組合。當參數的數目較小(大約從2至7)時,最佳地使用全因素設計。對於所選擇的每一參數設定,使用者經由UI輸入層級的數目及這些層級的數值。在一實施例中,針對每一參數設定可輸入至多10個層級。
限定性篩選設計(DSD)係參數的數目較大或運行的成本(時間)高時使用的篩選設計。對於相同數目的參數而言,DSD比全因素設計產生少得多的運行。實施例可僅針對連續的變數實施DSD增強的方法。在一實施例中,對於DSD而言,對於每一參數僅有被指定的三個層級。
蒙地卡羅模擬係允許使用常態或均勻的分布隨機產生參數設定的D.O.E.選項。在一實施例中,UI允許使用者輸入常態分布參數的平均值及標準差、或均勻分布參數的最小值及最大值,並相應地產生隨機值。在一實施例中,使用者亦可鍵入所需之運行的數目。
圖14B描繪使用者可針對設計中被更改的每一參數指定層級之實施例中的示例性UI 1410。圖14B顯示選擇全因素DOE之參數的螢幕截圖。左窗格包含驗證檔中之參數的列表1412。每一者可被選擇並增加至右窗格。在那裡,使用者針對每一層級輸入所需之層級1414的數目及數值1416。舉例而言,若已選擇三個參數且其分別具有3、2、及4個層級,則其將產生3*2*4=24個運行。
在一實施例中,先前步驟中已產生的D.O.E.係由虛擬半導體製造環境以批次模式運行,因而針對DOE中的每一運行產生3D模型。D.O.E.亦可匯出至csv或其他類型的檔案。
在關鍵參數辨識工作流程的第四步驟中,可由使用者選擇計量目標以獲得由DOE產生之3D模型上的測量。圖14C中描繪用於進行計量目標1422之選擇的示例性UI 1420。
為了執行關鍵參數辨識,在工作流程的第五步驟中建立迴歸模型。在圖14D中,在示例實施例中,UI 1430使得使用者能夠選擇主要因素及交叉項1432是否僅建立具有主要效應(原始參數)的迴歸模型、或建立完整的二次模型。在另一實施例中,自動選擇迴歸模型的類型。在一實施例中,可針對任一類型的迴歸模型提供內定選項,且內定選項可由使用者改變。在另一實施例中,可針對更知識淵博的使用者提供額外的選項。這些額外的選項1434可包含共線性測試截止及逐步線性迴歸的兩個進入/退出p值截止。共線性測試實現多重共線性變數的正確處理及自虛擬半導體製造環境內正被執行的統計分析之離群值的正確辨識及排除。多重共線性發生於多個迴歸模型中的二或更多預測變數/獨立變數高度相關之時,使得可以高度準確性自其他變數預測一變數。二次模型的擬合通常產生多重共線性變數,而實施例解決此問題,如下進一步描述。
在自實驗設計產生之3D模型的集合中,一或更多3D模型可具有包含在某些方面不尋常、將不利地影響或妨礙(正確的)統計分析之數據值(離群值)的目標(計量、CD等)。分析模組針對使用者辨識離群值。在圖14E中,在示例實施例中,UI 1440使得使用者能夠自經辨識的離群值1442中選擇,以判定在執行統計分析時何者應自目標數據中省略。在此步驟中,有四個類型的離群值,其在目標中受測試。空單元格‒若運行失效,則針對目標回傳空數據單元格(無法建立3D模型)。在統計分析期間,將此類型的運行自動標記為待移除的離群值且無法由使用者回復。NOVAL‒若運行完成但無法計算目標測量結果,則回傳文本值「NOVAL」。在統計分析期間,將此類型的運行自動標記為待移除的離群值且無法由使用者回復。定值‒目標的多個值可為相同的。若目標的許多結果係相同的,此將妨礙或扭曲統計模型化。對目標數據測試以藉由將其與中位數比較而檢查某些量的數據(例如數據的50%以上)是否為相同的/不變的。這些運行被刪除。若所有目標數據皆為相同,則通報錯誤。統計離群值‒這些為距數據的中心足夠遠之數據點,其可能需自分析排除。中位數絕對離差(MAD)方法可用以統計上測試每個數據點是否為離群值。考慮到MAD=中位數(|x-中位數(x)|),可將標準差的穩健等值計算為SM=1.4826*MAD。超過MAD±K*SM的數據值(其中內定K=3且相當於3個標準差)可被視為離群值且標記以供使用者檢查。在一實施例中,使用者可將這些離群值的其中任一者放回至分析中。吾人應察知在使用此處討論的設計類型時,在不受關注的測量數據中可能有離群值,即:DSD、全因素或蒙地卡羅模擬,因為根據定義這些數據點係在範圍內,除非使用者在設定層級/範圍中已造成打字或其他錯誤。
在移除離群值之後,可針對目標對數據執行諸多類型的統計分析。舉例而言,在一實施例中,分析模組可針對迴歸模型(若選擇平方/交叉項)做出輸入參數。此允許在x參數與目標y之間的擬合基本彎曲關係。變數X的集合可擬合至線性迴歸模型,且方程式可以線性代數符號表示為:X*b=y,其中X係具有n列(運行)及k欄(變數)的矩陣。在一實施例中,分析模組亦可針對所有可能的輸入變數對執行多重共線性檢查、計算相關係數r、及移除具有|r|>0.9之每對的一參數(此截止可由使用者調整)。此修復大多數情況下的多重共線性問題。
在一實施例中,分析模組亦可執行未定矩陣檢查以檢查X是否為未定(k>n)。若有比數據點(運行)多的變數,便無足夠的數據利用標準方程式找出唯一迴歸解(演算法無法回傳答案)。有兩個解決方案:1)刪除變數(僅使用主要效應而非完整的第2階模型),或2)使用如主成分迴歸的方法。在一實施例中,分析模組應用第一類型的解決方案以刪除變數。若k>p,便移除平方及交叉項並再次檢查。若X仍為未定,迴歸便無法執行,且將錯誤回傳給使用者。
分析模組可進一步對數據進行數字檢查。在離群值刪除之後,根據使用者選擇的設計及其大小,可能沒有留下足夠的運行花費在迴歸上。在一實施例中,檢查係判定運行的數目n是否<10,在該情況下,沒有足夠的數據,且將錯誤回傳給使用者。
在一實施例中,分析模組可執行逐步線性迴歸。可使用前向方法:初始模型僅包含截距(β 0權重)且針對統計顯著性測試所有變數,以查看哪一者(若有的話)應進入模型。一旦選擇變數,比如變數x 3,則測試所有其餘變數以包含在新模型中。此處理持續直到沒有變數符合入選標準(p值<0.05,使用者可調整)。模型中的變數亦受到測試以用於移除(p值>0.10,使用者可調整)。
在一實施例中,分析模組可執行相對重要性計算以辨識關鍵參數。若產生具有二或更多統計上顯著之參數的模型,則僅使用這些變數計算新的線性迴歸,但在其已被自動縮放之後。為了將變數自動縮放,自所有數據點減去變數的平均值,且接著將所得的值除以變數的原始標準差。此使得所有變量的平均值為0且標準差為1。這樣做的原因係變數尺度。變數可在0至1的範圍內,而另一變數可在50至80的範圍內。迴歸中的重要性(權重的大小,β值)受變數尺度所影響。若吾人想藉由檢查β值知道哪些變數更重要,必須將迴歸模型中的變數轉換為具有相同的變異數,此為自動縮放所完成。
結果可經由使用者介面1450以諸多不同格式呈現給使用者,諸如但不限於具有註解的圖1452及如圖14F所描繪的表格1454。該圖係預測目標相對於實際目標的圖。在一實施例中,其可使用以下者註解:r 2(迴歸平方相關係數,範圍從0至1,表示由模型解釋之目標變異的分率)、均方根誤差(RMSE,預測之準確性的測量)、及n(迴歸模型中使用之數據點/運行的實際數目)。在一實施例中,迴歸結果的輸出表格1454可具有五欄,如圖14G中以較大表單所示。欄1:參數名稱。這些是原始變數、與平方及交叉項(若包含的話)的名稱。欄2:顯著變數的p值。欄3:迴歸權重(β)。欄4:相對權重。使用自動縮放的變數計算用於迴歸的迴歸權重(β)。這些可用以將顯著參數排序。舉例而言,當計算相對重要性時,可判定參數「蝕刻4」(Etch4)橫向比率比「蝕刻1」(Etch1)蝕刻比率更重要。欄5:狀態。在一實施例中,有四個可能的結果:不顯著的、顯著的、被移除的高共線性者、及被移除之未定者。在一實施例中,顯著參數具有指出給定的處理參數對於所選擇的計量有多重要之非零權重及縮放的重要性。
圖15中進一步總結此關鍵參數辨識的方法,其描繪執行之步驟的序列以辨識示例實施例中的關鍵參數。該序列始於由虛擬製造環境接收驗證檔的使用者辨識(布局數據及處理步驟)(步驟1500)。接著對於受關注的半導體裝置針對D.O.E.執行多個虛擬製造運行(步驟1502)。在一實施例中,經由虛擬製造環境中提供的使用者介面接收使用者選擇的D.O.E.類型及額外關於D.O.E.的輸入選擇。或者,在另一實施例中,由虛擬製造環境自動選擇D.O.E.的類型及D.O.E.參數。使用者選擇的目標(例如:計量測量、結構搜尋、DTC檢查及/或電性分析)被接收(步驟1504),且分析模組辨識由如上所述的虛擬製造運行產生之目標數據中的離群值(步驟1506)。將辨識的離群值顯示給使用者,且接著經由所提供的使用者介面接收將離群值的其中一或更多者增加回目標數據中或自目標數據移除離群值的使用者選擇(步驟1508)。接著藉由分析模組使用在離群值決定之後之調整的目標數據,以執行迴歸分析以針對D.O.E.辨識一或更多關鍵參數(步驟1510)。接著對使用者顯示經辨識之關鍵參數的指示(例如:列表、圖、圖表),或可將經辨識的關鍵參數匯出至第三方應用程式以供額外的處理(步驟1512)。 處理模型校正
分析模組亦可執行處理模型校正。在處理模型校正中,於虛擬製造環境中調整處理步驟參數及設定,以使自虛擬製造運行產生的虛擬3D模型匹配實體製造環境中產生的實體半導體。一旦經校正,可改變虛擬半導體製造環境中的參數及其設定,以引入3D模型中的變化並提供何者製程變化將改善諸多半導體特性的見解。在一實施例中,提供精靈使用者介面以引導使用者經由使虛擬3D模型最佳化的處理以匹配實體半導體。使用者選擇測量目標及其期望值、使目標的重要性進行加權(若有多個目標)、設定參數界限、運行一或更多試驗、及接收最佳化的參數值和相應的測量目標結果。
在校正努力中調整處理參數的習知虛擬製造環境缺少使適當處理模型校正能夠進行的系統級元件。此外,許多半導體製程整合工程師幾乎沒有統計的知識。因此,這些工程師藉由以原始的嘗試錯誤方式(通常經由一次一因子(OFAT)方法)調整參數而執行處理模型校正。當其找到任何解決方案時,此方法係耗時的且提供品質差的解決方案。OFAT方法保證無法找到最佳的參數集合,因為其沒有考慮參數之間任何交互作用的影響。
為了解決這些問題,實施例針對使用者(例如可能具有有限的或無統計知識的半導體製程整合商)提供使用整合至虛擬製造環境中之分析模組的自動統計分析、最佳化、及視覺化。更特別地,實施例提供解決校正問題的編程方法而不使未經統計訓練的工程師混淆。分析模組中的統計分析引擎在幾乎沒有使用者輸入的情況下,利用分析演算法的集合以分析每一特定使用案例。在一實施例中,使用者介面(UI)係目的為強力引導使用者執行正確的分析步驟之精靈。該精靈可藉由使用案例、及接著針對每一使用案例的左側逐步流程UI加以安排。
圖16描繪示例實施例中執行之用於處理模型校正的示例工作流程。該序列始於虛擬製造環境接收驗證檔的辨識值(布局數據及處理步驟),自該驗證檔的辨識值,產生受關注之半導體裝置的虛擬3D模型。在大多數情況下,將在經由虛擬製造環境中提供的UI所提供的使用者選擇/規格之後檢索驗證檔。UI亦接收3D模型上使用者希望在相應的實體半導體上具有匹配測量目標之一或更多測量目標的使用者辨識值(步驟1602)。目標可為但不限於虛擬半導體結構上受評估之與計量數值、結構搜尋、DTC檢查、電性分析等相關的值。在另一實施例中,驗證檔可在無使用者輸入以編程方式加以選擇。
接著判定重要的參數(關鍵參數)且應調整該參數以使3D模型目標數值匹配實驗數據(步驟1604)。在一實施例中,此判定藉由如上討論的分析模組經由所執行的關鍵參數辨識處理完成。或者,在另一實施例中,關鍵參數可經由UI由使用者手動選擇。
該序列藉由經UI接收針對每一目標之期望值(DV)的使用者規格而繼續(步驟1606)。DV可為但不限於自TEM獲得的距離、或3D模型的切片與整個TEM之間的匹配之特性、或光譜。相對加權係藉由內定或如使用者所指示應用於每一目標,例如:對於兩目標A及B,若使用者需要,可將目標A加權為目標B之重要性的兩倍。
該序列藉由在具有使用者設定下限及上限的校正中接收待調整之每一參數的使用者規格而繼續(步驟1608)。分析模組中提供的最佳化演算法在其朝解決方案疊代時將參數保持在這些界限之內。
分析模組接著實施最佳化演算法(步驟1610)。最佳化演算法可執行間接或直接的最佳化,其兩者進一步描述於下。在一實施例中,使用者可具有選擇或指定的選項,諸如疊代的數目、收斂容差、評分函數的類型(L-2或L-1)、試驗的數目等。在一些實施例中,對於多個試驗而言,可產生先前指定之在下限與上限之內之參數的隨機起始值。
對使用者顯示最佳化演算法的結果(步驟1612)。在一實施例中,使用者可經由UI自顯示的結果選擇試驗以引起在虛擬製造環境中之3D模型的建立(步驟1614)。
藉由分析模組可使用兩不同類型的最佳化演算法。間接最佳化將最佳化演算法應用於關鍵參數辨識處理期間產生的迴歸方程式。間接最佳化具有非常快速的優點,因為其不呼叫虛擬製造環境來建立額外的3D模型且通常避免局部最小值,因為迴歸方程式提供製作回應面之平面的集合(回應面指示參數之間的關係及3D模型目標與期望值之間的誤差)。從參數空間中之隨機起始點開始的試驗傾向收斂於類似的結果,因此使用者可僅使用少量的試驗來執行其最佳化作業。亦應注意間接最佳化的缺點在於,若迴歸方程式不佳地預測目標(例如若回應面係高度非線性的),則結果將具有不良品質。
直接最佳化比間接最佳化慢得多,且可在不遵循上面討論之關鍵參數辨識處理的實施例中使用。在此方法中,最佳化演算法在每次疊代時呼叫虛擬製造環境,產生新的3D模型及相關的計量值並更新最佳化演算法,其接著調整參數值。此為序列式最佳化處理。直接最佳化具有最務實方法的優點,且對於非線性回應面將較佳地作業,且不一定需要首先運行上述之關鍵參數辨識處理(不需要迴歸方程式且使用者僅需要選擇參數以最佳化)。其具有緩慢的缺點,因為直接最佳化呼叫虛擬製造環境以在每次試驗的每次疊代建立3D模型,且可能變得陷滯在局部最小值。可藉由使用多個授權(速度)及更多試驗提供參數空間之更廣泛的取樣以避免演算法變得陷滯在局部最小值,來減輕這些缺點。
可使用諸多最佳化演算法以執行直接及間接最佳化。作為非限制性的示例,在一實施例中,可針對間接最佳化使用具有參數界限的內點演算法,然而亦可使用其他演算法。對於直接最佳化而言,作為非限制性的示例,可使用遺傳演算法,因為其可處理具有不連續性及二元目標(存在/不存在)的複雜回應面。
作為使用間接最佳化執行處理模型校正的一非限制性說明,在一實施例中,使用者首先經由如本文所述的分析模組完成關鍵參數辨識處理。更特別地,使用者對參數及目標(虛擬半導體結構上所評估的計量、結構搜尋、DTC檢查、電性分析等)的集合進行實驗設計及迴歸。此針對每一目標辨識統計上顯著的參數,並產生使用這些統計上顯著的參數預測每一目標的迴歸方程式。如上所討論,使用者選擇一或更多目標,針對每一目標鍵入期望值(DV)、並加權其重要性。針對每一目標可提供1的內定權重。對於校正選項而言,使用者可選擇是否使用平方誤差(內定),且可設定進階選項,諸如但不限於最佳化試驗的數目、疊代的數目、及收斂容差。可針對每一選項提供內定值。舉例而言,可將最佳化試驗的數目設定為10的內定值,可將每個試驗的疊代數目設定在100的內定值,且收斂容差可設定在1e-6的內定值。在進階選項的設定之後,使用者可針對被最佳化的每一參數經由所提供的UI設定被允許的下限及上限。在由分析模組進行的最佳化期間,參數值將保留在這些界限內。使用者經由UI啟動校正的運行且最佳化開始。在一實施例中,內在的計算引擎可使用內點演算法。一旦完成最佳化試驗,針對每一試驗顯示最佳化參數和目標值、及完成/錯誤訊息,且使用者可選擇一試驗在虛擬製造環境中建立以評估所得的3D模型。
如上所述,在一實施例中,處理模型校正序列可經由UI精靈加以引導。圖17描繪用於上述處理模型校正序列之計量目標的選擇,其中使用者受到引導,以自先前已在關鍵參數辨識處理期間所針對而產生迴歸數據的目標中選擇目標。如下面進一步解釋,迴歸數據係隨後在執行間接最佳化時使用。在一實施例中,UI 1700呈現可選擇的目標列表1702,但限制使用者自已具有迴歸模型的計量目標中選擇。在一實施例中,不提供其他參數且不提供其他計量目標。圖17亦描繪UI中的表格1704,其使得使用者能夠針對選定的目標指定DV。在右窗格的表格中,使用者鍵入DV(這些單元格最初可為空的)及權重,其可內定為1且可由使用者改變。
圖18描繪示例使用者介面1800,其實現可由處理模型校正精靈提供的校正選項1802之選擇。如圖所示,在一實施例中,可選擇最佳化方法1804(間接相對於直接),且可由虛擬製造環境提供預先選項核取方塊1806,以使得使用者能夠指定諸如最佳化試驗之數目、每次試驗的疊代數目、及使用者所需的容差之選項。最初可提供內定值,其在一實施例中可由使用者改變。
處理模型校正精靈亦可提供使用者介面1900,其如圖19所繪讓使用者能夠選擇參數界限。使用者選擇的迴歸中之所有統計上顯著參數的列表可由分析模組產生並以表格格式1902顯示。針對每一參數列出相關目標1904。舉例而言,在圖19中所描繪的表格中,參數2.1.15:厚度對於三個迴歸目標FinCD_Top、FinCD_Bot、GapCD_Top係顯著的。使用者對每一參數鍵入所需的下限及上限。
處理模型校正精靈可接著提供運行按鈕以開始校正,且結果可如圖20所示經由使用者介面2000對使用者顯示。舉例而言,結果2002可顯示在來自內部或外部模擬環境的表格格式中,且可顯示試驗數目、最佳化結果、預測的目標結果、及針對參數2004的值。在一實施例中,顯示的視圖可使得使用者能夠選擇表格中的一欄,以使用來自特定成功試驗的參數匯出或自動建立虛擬製造環境之3D視圖中的模型。 變異度分析
變異度分析幫助使用者分析和理解針對虛擬3D模型之集合獲得之計量數據的變異度。在一實施例中,虛擬製造環境中的分析模組可執行變異度分析以產生使用者介面、選擇至多四個目標並繪製/比較其經驗累積分布函數,該使用者介面顯示關於目標分布之所計算訊息的表格、目標數據直方圖和常態分位數的圖、並提供切換至第二圖視窗的能力。此外,如此處描述的變異度分析提供標準差(西格瑪)之精確度的估計及其與樣本大小的相互關係、用於評估目標數據是否為常態分布的方法、及用於視覺比較的一致方法。
變異度分析係使用者評估自虛擬製造環境中產生的多個虛擬半導體結構獲得的目標(計量、結構搜尋、DTC檢查、電性分析等)之值的分布之作業。目的是判定針對該目標的標稱值、範圍、規格限制等。用於半導體裝置結構的習知虛擬製造環境缺少使適當的變異度分析能夠進行的系統級元件。許多半導體製程整合工程師幾乎沒有統計的知識,且因此這些工程師以不完整及/或不正確的方式執行變異度分析。可假設目標數據為常態分布(其可能並非如此),且若目標數據並非常態分布,則平均值及西格瑪值係誤導性的。即使目標數據是常態分布,為了獲得有用之西格瑪精確度所需的適當樣本大小通常仍未在蒙地卡羅模擬/實驗設計中解決。使用者經常高估或低估樣本大小,其浪費時間及/或導致品質不良的答案。此外,分布的視覺化和比較在不同的軟體套裝中以不同的方式完成,或完全不進行,其導致使用者之間的混淆。
為了解決這些問題,在一實施例中,將分析模組設計成執行變異度分析,以針對使用者(例如具有有限的或無統計知識的半導體製程整合商)在虛擬製造環境中提供自動統計分析、最佳化、及視覺化。
圖21描繪在示例實施例中執行變異度分析之步驟的序列。該序列始於接收由虛擬製造環境使用之驗證檔的使用者辨識值(布局數據及處理步驟),以產生受關注之半導體裝置結構的虛擬3D模型(步驟2100)。使用者產生蒙地卡羅D.O.E.並辨識用於3D模型的目標(步驟2102)。接著針對蒙地卡羅D.O.E.執行多個虛擬製造運行(步驟2104)。如下進一步討論,在一實施例中,執行約200運行之減少的集合。分析模組以上述方式辨識由虛擬製造運行產生之目標數據中的離群值(步驟2106)。將經辨識的離群值對使用者顯示,且經由所提供的使用者介面針對每一目標接收將離群值的其中一或更多者增加回目標數據中或自目標數據移除離群值的使用者選擇(步驟2108)。使用者經由使用者介面選擇變異度分析選項,且選擇一或更多目標以供分析(步驟2110)。接著以不同形式(諸如但不限於表格分布數據、目標數據直方圖和常態分位數的圖)對使用者顯示變異度分析結果,或可將結果匯出至第三方應用程式以供額外的處理(步驟2112)。若需要,使用者可切換至第二圖視窗、經驗累積分布函數(ECDF)視窗,並選擇至多四個目標,且分析模組將繪製/比較其經驗分布函數。
圖22描繪顯示示例實施例中之變數分析結果視窗2200的示例使用者介面。對於選定的目標而言,變異度分析主要視窗顯示表格2202及兩個圖:直方圖2204和常態分位數2206。表格2202包含所選目標之多條計算的資訊:例如:
n是計算中使用之數據點的數目(使用者可增加/移除離群值,且因此此處顯示所使用之數據點的實際數目);
平均值及平均值的95%CI(信賴區間);
標準差及標準差的95%信賴區間。對於使用者而言,知道95%CI係非常重要的,因為其係標準差(西格瑪)之精確度的估計。若n=200,則95%CI約為±10%,其已被發現在估計規格限制中為有用的。樣本大小200係遠小於蒙地卡羅模擬通常推薦的樣本大小(通常建議為10,000),但提供±10%的精確度,其對於使用案例的某些者係可接受的。使用者可調整樣本大小(n)以依期望改善西格瑪及平均值的精確度(CI)。在另一實施例中,蒙地卡羅模擬的樣本大小係小於五百。
常態性檢定‒應用於選定的目標之Lilliefors常態性檢定的結果,報導為p值及是否統計上顯著(是/否)。這是由分析模組使用之多個方法的第一者,以評估目標數據是否為常態分布;
百分位數‒所選目標的最小值、0.5%、2.5%、5%、25%、50%(中位數)、75%、95%、97.5%、99.5%、最大值。
變異度分析主要視窗亦可顯示直方圖、針對所選目標之數據的直方圖,其中重疊常態的pdf以供常態性的視覺比較。若直方圖長條遵循常態的pdf,則可說目標數據為常態分布。這是分析模組提供之測試目標數據的常態性之第二個方法。
變異度分析主要視窗可進一步顯示所選目標數據的常態分位數圖。若點落於接近線處或在線上,便可說目標數據為常態分布。這是分析模組提供之測試目標數據的常態性之第三個方法。吾人應察知未在此明確討論之用於測試目標數據之常態性的額外方法亦可由分析模組執行,且應被視為在本發明的範圍之內。
分析模組亦可產生用於顯示變異度分析結果之第二視窗的顯示。圖23描繪示例使用者介面2300,其顯示示例實施例中之兩獨立目標2302、2304之經驗累積分布函數的比較。舉例而言,使用者可點選ECDF視窗的標籤2306並選擇至多四個目標以繪製並比較其經驗累積分布函數。x軸係縮放至在範圍0至1內的目標數據,而y軸係從0至1的累積機率。此使得使用者能夠以相等的方式比較目標分布並檢查在規格限制設定中為重要的尾端效應。
由分析模組提供之用於評估常態性的多個方法允許使用者判定其是否應將目標數據處理為常態分布。若目標數據係常態分布,則使用者可針對設定規格限制使用平均值及標準差以估計常用之三或四個西格瑪的點。若數據並非常態分布,則使用者可自表格中顯示的百分位數和最小值/最大值及自ECDF圖的尾部估計有用的規格限制點。在另一實施例中,目標數據可自動地與高斯混合模型擬合,且因此針對規格限制設定用以估計有用的點。在一實施例中,此方法的變化例係允許使用者使用諸多其他已知分布(例如F或t分布)擬合數據、且從而針對規格限制設定估計有用的點的特徵。
本發明之實施例的部分或全部可提供作為體現在一或更多非暫態媒體上或中的一或更多電腦可讀程式或程式碼。媒體可為但不限於硬碟、光碟、數位影音光碟、快閃記憶體、PROM、RAM、ROM、或磁帶。通常,電腦可讀程式或程式碼可以任何電腦語言實施。
由於若干改變可在不背離本發明的範疇的情況下做成,因此意圖將包含在以上描述中或在附圖中顯示的所有事物解讀為說明性而非字面意義。此技術領域的從業人員將理解在不背離本發明之範圍的情況下可改變圖式中描繪之步驟的序列及架構,且本文包含的示例係本發明之眾多可能示例的個別示例。
本發明之示例實施例的以上敘述提供說明及描述,但非意欲使其為詳盡的或將本發明限於所揭示的確切形式。修改及變化鑑於上述教示是可能的,或其可自本發明的實施而獲得。舉例而言,雖然已描述一系列的動作,但在符合本發明之原理的其他實施方式中可修改動作的順序。此外,可並行執行非相依性的動作。
1:虛擬製造環境 2:使用者 10:計算裝置 11:處理器 12:隨機存取記憶體(RAM) 13:唯讀記憶體(ROM) 14:硬碟 15:網路介面 20:輸入數據 30:2D設計數據 32:層1 34:層2 36:層3 40:處理序列 42:序列 43:處理步驟 44:處理步驟 45:虛擬計量測量處理步驟 46:子序列 47:處理步驟 48:處理步驟 49:虛擬計量步驟 60:材料數據庫 62:材料類型1 64:材料類型2 70:虛擬製造應用程式 75:3D模型化引擎 76:演算法1 77:演算法2 78:演算法3 79:分析模組 80:虛擬計量數據 90:結構模型數據 110:輸出數據收集器模組 120:顯示器 121:布局編輯器 122:處理編輯器 123:虛擬製造控制台 124:表格及圖形計量結果視圖 125:3D檢視器 126:自動參數總覽 202:處理序列 204:布局(2D設計數據) 302:形狀 304:形狀 306:形狀 402:程式庫 404:材料數據庫 406:處理參數 410:處理序列 412:子序列 413:步驟 414:步驟 420:參數 502:步驟 504a:步驟 504b:步驟 506a:步驟 506b:步驟 508:步驟 510:步驟 512a:步驟 512b:步驟 513:步驟 514:步驟 516:步驟 602:3D視圖畫布 604:保存狀態 606:特定狀態 608:小型頂視圖 802a:步驟 802b:步驟 804a:步驟 804b:步驟 806a:步驟 806b:步驟 808:步驟 810:步驟 812a:步驟 812b:步驟 814a:步驟 814b:步驟 815:步驟 816:步驟 818:步驟 902a:步驟 902b:步驟 904a:步驟 904b:步驟 906a:步驟 906b:步驟 908:步驟 910:步驟 912:步驟 914a:步驟 914b:步驟 916:步驟 1002:處理參數 1004:處理參數 1006:處理參數 1008:參數值 1102:虛擬計量數據 1104:虛擬製造運行的清單 1202:菱形 1204:變化包絡 1206:結論 1400:示例性UI 1402:實驗設計 1410:示例性UI 1412:列表 1414:層級 1416:數值 1420:示例性UI 1422:計量目標 1430:UI 1432:主要因素及交叉項 1434:額外的選項 1440:UI 1442:離群值 1450:使用者介面 1452:圖 1454:表格 1500:步驟 1502:步驟 1504:步驟 1506:步驟 1508:步驟 1510:步驟 1512:步驟 1602:步驟 1604:步驟 1606:步驟 1608:步驟 1610:步驟 1612:步驟 1614:步驟 1700:UI 1702:目標列表 1704:表格 1800:使用者介面 1802:校正選項 1804:最佳化方法 1806:核取方塊 1900:使用者介面 1902:表格格式 1904:相關目標 2000:使用者介面 2002:結果 2004:參數 2100:步驟 2102:步驟 2104:步驟 2106:步驟 2108:步驟 2110:步驟 2112:步驟 2200:變數分析結果視窗 2202:表格 2204:直方圖 2206:常態分位數 2300:使用者介面 2302:目標 2304:目標 2306:標籤
結合在此說明書中並構成此說明書之一部分的隨附圖式,說明本發明的一或更多實施例,並連同敘述內容協助闡述本發明。在圖式中:
圖1描繪適合用於實施本發明之實施例的示例性虛擬製造環境;
圖2描繪虛擬製造環境中的示例虛擬製造控制台;
圖3描繪虛擬製造環境中的示例布局編輯器;
圖4描繪虛擬製造環境中的示例處理編輯器;
圖5描繪虛擬製造環境中用以產生虛擬計量測量數據之步驟的示例序列;
圖6描繪虛擬製造環境中的示例3D檢視器;
圖7描繪虛擬製造環境中之虛擬計量測量數據的示例性顯示;
圖8描繪校正虛擬製造環境中之處理序列之虛擬製造環境中之步驟的示例序列;
圖9描繪針對虛擬製造環境中的多個半導體裝置結構模型,設定並執行產生虛擬計量測量數據之虛擬實驗的步驟的示例序列;
圖10描繪針對虛擬製造環境中的虛擬實驗用以提供處理參數的示例參數總覽視圖;
圖11描繪虛擬製造環境中的虛擬實驗中產生之虛擬計量數據的示例表格格式化顯示;
圖12描繪虛擬製造環境中的虛擬實驗中產生之虛擬計量數據的示例圖形顯示;
圖13描繪示例實施例中的示例分析流程;
圖14A-14G描繪在辨識示例實施例中的關鍵參數時由虛擬製造環境提供的示例性使用者介面;
圖15描繪在示例實施例中執行以辨識關鍵參數之步驟的序列;
圖16描繪示例實施例中用於處理模型校正所執行之步驟的序列;
圖17描繪一示例實施例中由處理模型校正UI提供的目標選擇及期望值輸入選項;
圖18描繪一示例實施例中由處理模型校正UI提供的校正選項;
圖19描繪一示例實施例中由處理模型校正UI提供的參數界限輸入選項;
圖20描繪一示例實施例中由處理模型校正UI提供之結果的示例性顯示;
圖21描繪示例實施例中用以執行變異度分析之步驟的序列;
圖22描繪顯示示例實施例中之變數分析結果視窗的示例使用者介面;及
圖23描繪示例使用者介面,其顯示示例實施例中之兩個獨立目標之變數分析結果的比較。

Claims (20)

  1. 一種非暫態電腦可讀媒體,其持有用於執行變異度分析之計算裝置可執行的指令,該等指令在被執行時致使配備有至少一處理器的至少一計算裝置執行以下步驟:在一虛擬製造環境中,基於使用2D設計數據及一處理序列的一實驗設計(DOE),執行針對一半導體裝置的複數虛擬製造運行,該複數虛擬製造運行建立複數3D模型;接收用以在其上執行一變異度分析之該複數3D模型中之一或更多目標的使用者選擇;及顯示或匯出來自該變異度分析的結果,其中該變異度分析包含關聯於該一或更多目標其中至少一者的一經驗累積分布函數。
  2. 如請求項1之非暫態電腦可讀媒體,其中,該DOE係蒙地卡羅模擬。
  3. 如請求項1之非暫態電腦可讀媒體,其中,該複數虛擬製造運行為約200個。
  4. 如請求項1之非暫態電腦可讀媒體,其中,來自該變異度分析之該結果實現一西格瑪精確度的評估。
  5. 如請求項1之非暫態電腦可讀媒體,其中,來自該變異度分析之該結果實現目標數據常態性的評估。
  6. 如請求項1之非暫態電腦可讀媒體,其中,針對複數目標同時顯示來自該變異度分析的該結果。
  7. 如請求項1之非暫態電腦可讀媒體,其中,所選的該等目標係計量目標、結構搜尋目標、或電性分析目標中之一或更多者。
  8. 一種計算裝置實施方法,該計算裝置配備有至少一處理器,該方法包含:在一虛擬製造環境中,基於使用2D設計數據及一處理序列的一實驗設計(DOE),執行針對一半導體裝置的複數虛擬製造運行,該複數虛擬製造運行建立複數3D模型;接收用以在其上執行一變異度分析之該複數3D模型中之一或更多目標的使用者選擇;及顯示或匯出來自該變異度分析的結果,其中該變異度分析包含關聯於該一或更多目標其中至少一者的一經驗累積分布函數。
  9. 如請求項8之計算裝置實施方法,其中,該DOE係蒙地卡羅模擬。
  10. 如請求項8之計算裝置實施方法,其中,該複數虛擬製造運行為約200個。
  11. 如請求項8之計算裝置實施方法,其中,來自該變異度分析之該結果實現一西格瑪精確度的評估。
  12. 如請求項8之計算裝置實施方法,其中,來自該變異度分析之該結果實現目標數據常態性的評估。
  13. 如請求項8之計算裝置實施方法,其中,針對複數目標同時顯示來自該變異度分析的該結果。
  14. 如請求項8之計算裝置實施方法,其中,所選的該等目標係計量目標、結構搜尋目標、或電性分析目標中之一或更多者。
  15. 一種虛擬製造系統,包含:計算裝置,其配備有一處理器且配置成產生一虛擬製造環境,該虛擬製造環境:基於使用2D設計數據及一處理序列的一實驗設計(DOE),執行針對一半導體裝置的複數虛擬製造運行,該複數虛擬製造運行建立複數3D模型;接收用以在其上執行變異度分析之該複數3D模型中之一或更多目標的使用者選擇;及 顯示器,其配置成:顯示來自該變異度分析的結果,其中該變異度分析包含關聯於該一或更多目標其中至少一者的一經驗累積分布函數。
  16. 如請求項15之虛擬製造系統,更包含:網路介面,其配置成匯出來自該變異度分析的該結果。
  17. 如請求項15之虛擬製造系統,其中,該複數虛擬製造運行為約200個。
  18. 如請求項15之虛擬製造系統,其中來自該變異度分析之該結果實現一西格瑪精確度及/或目標數據常態性的評估。
  19. 如請求項15之虛擬製造系統,其中,針對複數目標同時顯示來自該變異度分析的該結果。
  20. 如請求項15之虛擬製造系統,其中,所選的該等目標係計量目標、結構搜尋目標、或電性分析目標中之一或更多者。
TW112119637A 2017-06-18 2018-06-19 非暫態電腦可讀媒體、用於處理模型校正之計算裝置實施方法、與虛擬製造系統 TWI851237B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762521506P 2017-06-18 2017-06-18
US62/521,506 2017-06-18
US201862631022P 2018-02-15 2018-02-15
US62/631,022 2018-02-15

Publications (2)

Publication Number Publication Date
TW202338589A TW202338589A (zh) 2023-10-01
TWI851237B true TWI851237B (zh) 2024-08-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140278266A1 (en) 2013-03-14 2014-09-18 Coventor, Inc. System and method for modeling epitaxial growth in a 3-d virtual fabrication environment

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140278266A1 (en) 2013-03-14 2014-09-18 Coventor, Inc. System and method for modeling epitaxial growth in a 3-d virtual fabrication environment

Similar Documents

Publication Publication Date Title
TWI781184B (zh) 在虛擬半導體裝置製造環境中用於關鍵參數辨識、處理模型校正、及變異度分析的系統及方法
US11630937B2 (en) System and method for predictive 3-D virtual fabrication
TWI831968B (zh) 虛擬半導體裝置製造環境中之製程窗的最佳化系統及方法
US8959464B2 (en) Multi-etch process using material-specific behavioral parameters in 3-D virtual fabrication environment
WO2014159194A1 (en) Design rule checks in 3-d virtual fabrication environment
US9317632B2 (en) System and method for modeling epitaxial growth in a 3-D virtual fabrication environment
TWI851237B (zh) 非暫態電腦可讀媒體、用於處理模型校正之計算裝置實施方法、與虛擬製造系統
US20230252211A1 (en) Systems and methods for determining specification limits in a semiconductor device virtual fabrication environment
TW202429323A (zh) 虛擬半導體裝置製造環境中之製程窗的最佳化系統及方法
TW202232361A (zh) 在虛擬製造環境中執行變形及應力分析模型建構之系統和方法