TWI829781B - 產生電漿的設備 - Google Patents

產生電漿的設備 Download PDF

Info

Publication number
TWI829781B
TWI829781B TW108136357A TW108136357A TWI829781B TW I829781 B TWI829781 B TW I829781B TW 108136357 A TW108136357 A TW 108136357A TW 108136357 A TW108136357 A TW 108136357A TW I829781 B TWI829781 B TW I829781B
Authority
TW
Taiwan
Prior art keywords
sda
plasma
antenna
signal
coil
Prior art date
Application number
TW108136357A
Other languages
English (en)
Other versions
TW202037236A (zh
Inventor
河馬 斯瓦祿普 莫皮德維
立 陳
湯瑪士 W 安得森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202037236A publication Critical patent/TW202037236A/zh
Application granted granted Critical
Publication of TWI829781B publication Critical patent/TWI829781B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows

Abstract

產生電漿的設備包括四極天線,其具有中心區域和外部區域,並配置為設置在電漿室之介電窗口上方。所述四極天線包括界定第一SDA的第一線圈及界定第二SDA之第二線圈,所述第一線圈在第二線圈內呈嵌套配置。當第一和第二線圈從四極天線的中心區域向外部區域螺旋時,所述嵌套配置將第一線圈的匝放置為鄰近第二線圈之對應匝。當設置在介電窗口上方時,所述第一和第二線圈的每一者之鄰近匝彼此水平地分開一距離。

Description

產生電漿的設備
本文實施例有關半導體基板處理設備,且尤其有關在腔室中產生電漿的均勻近場波印廷向量天線。
於包括施行沉積和蝕刻(例如,電漿增強之沉積和蝕刻)者的半導體處理系統中施行電漿產生。傳統之電漿產生系統包括亦稱為感應耦合電漿(ICP)系統的變壓器耦合電漿(TCP)系統。在TCP/ICP系統中,電漿含有諸多類型之自由基、以及正離子和負離子。諸多自由基、正離子、和負離子的化學反應用於例如蝕刻基材之特徵部、表面、和材料。例如,在蝕刻製程期間,腔室線圈施行類似於變壓器中的主線圈之功能,而電漿施行類似於變壓器中的副線圈之功能。電漿反應和產生在整個基板上可能不均勻。尤其是,可能於基板內部上方某處的環形區域中產生電漿。採取橫截面,可用「M」形圖案來表示橫越基板徑向之電漿產生的特徵,其中兩峰值形成環。如此,電漿產生不均勻地集中在基板上方之環中,這導致於基板的整個寬度上之不均勻的半導體處理。
在傳統的射頻ICP/TCP系統中,電感器線圈必須放置接近電漿之介電窗口,這導致強的電容性電壓。針對電容性電壓不利之應用,必須實施法拉第屏蔽設計,而使電源及其操作複雜化。
本文所提供的背景敘述是為了總體上呈現本揭示內容的上下文之目的。於此背景段落中所敘述之範圍內,目前列名的發明人之作品、以 及可能無法以其他方式作為申請時之適格先前技術的敘述之態樣,均不明示地或暗示地視為針對本揭示內容之先前技術。
本揭示內容的實施例係於此上下文中提出。
本實施例有關解決相關技術領域中所發現之一或更多問題,且具體地有關提供射頻(RF)天線,其產生均勻近場波印廷向量,從而導致射頻表面波激發並電離腔室中之一或更多製程氣體。下面敘述本揭示內容的數個發明實施例。
本揭示內容之實施例包括用於產生電漿的設備。所述設備包括四極天線,其配置為設置在電漿室之介電窗口上方。四極天線包括界定第一SDA的第一線圈和界定第二SDA之第二線圈,所述第一線圈於第二線圈中呈嵌套配置。當第一線圈和第二線圈從四極天線的中心區域向四極天線之外部區域螺旋旋轉時,嵌套配置將第一線圈的匝放置為鄰近第二線圈之對應匝。當設置在介電窗口上方時,第一和第二線圈的每一者之鄰近匝彼此水平地分開一距離。
本揭示內容的實施例包括用於產生電漿之設備。所述設備包括四極天線,其配置為以一間隔設置在電漿室的介電窗口上方,所述四極天線包括第一SDA和第二SDA。第一SDA和第二SDA垂直立地分開一距離,使得第一SDA在第一平面中,且第二SDA在與第一平面平行之第二平面中。
本揭示內容的實施例包括用於產生電漿之設備。所述設備包括電漿室,所述電漿室包括基板支撐件並具有介電窗口,所述電漿室配置成用於處理設置在基板支撐件上的基板,其中介電窗口定向於基板支撐件上方。所述設備包括配置成將至少一製程氣體導入電漿室之製程氣體供應源。所述設備包括配置為以一間隔設置在介電窗口上方的四極天線,所述四極天 線包括於一平面中嵌套在第二SDA內之第一SDA。第一SDA包括第一複數互連線圈,且第二SDA包括第二複數互連線圈。第一複數互連線圈在平面中與第二SDA的對應線圈水平地分開一距離。所述設備包括第一射頻(RF)電源,其配置為於一頻率將第一RF信號提供至第一SDA。所述設備包括第二RF電源,其配置為以所述頻率將第二RF信號提供至第二SDA。
本揭示內容的實施例包括用於產生電漿之設備。所述設備包括電漿室,其包括基板支撐件且具有介電窗口,所述電漿室配置成用於處理設置在基板支撐件上的基板,其中介電窗口定向於基板支撐件上方。所述設備包括配置成將至少一製程氣體導入電漿室之製程氣體供應源。所述設備包括四極天線,其配置為以一間隔設置在介電窗口上方,所述四極天線包括第一SDA和第二SDA。第一和第二SDA垂直地分開一距離,使得第一SDA在第一平面中,且第二SDA在與第一平面平行的第二平面中。所述設備包括第一RF電源,其配置為以一頻率將第一RF信號提供至第一SDA。所述設備包括第二RF電源,其配置為以所述頻率將第二RF信號提供至第二SDA。
在閱讀整個說明書和請求項時,這些和其他優點將由本領域技術人員所察知。
100A:電漿反應器系統
101:腔室
102:上外殼
103:致動器
105:基板
110:卡盤
115:偏壓射頻產生器
117:偏壓匹配器
120:介電窗口
125:射頻電源
126:同軸電纜
127:射頻匹配電路
130:RF SDA
130':RF SDA
130A:端部
130A':端部
130B:端部
130B':端部
135:平衡-不平衡轉換器距離
140:連接點
150:電漿
160:電壓響應
170:電流響應
195:中心
197:環形區域
200A:電漿反應器系統
210:第一RF電源
211:同軸電纜
215:RF匹配電路
220:RF電源
221:同軸電纜
225:RF匹配電路
230:RF星系四極天線
235:間隔
240:第一SDA
240':第一偶極天線
240A:端部
240A':端部
240B:端部
240B':端部
241:連接點
242:連接點
250:第二SDA
250':第二偶極天線
250A:端部
250A':端部
250B:端部
250B':端部
251:連接點
252:連接點
260A:電壓響應
260B:電壓響應
270A:電流響應
270B:電流響應
271:雜散電容
272:雜散電容
300A:訊跡
300B:訊跡
300C:訊跡
300D:訊跡
400B:訊跡
400C:訊跡
401:電漿-窗口界面
405:波印廷波
405A:區段
405B:區段
410:環形區域
420:孔
500A:電漿反應器系統
500C:S場訊跡
510:RF電源
515:RF匹配電路
520:RF電源
525:RF匹配電路
530:RF SQA
530A:SQA
530B:SQA
530C:SQA
531:SDA
532:SDA
535:間隔
541:第一平面
542:第二平面
600:控制模組
602:閥門
604:過濾器加熱器
606:泵浦
608:其他裝置
610:壓力計
612:流量計
614:溫度感測器
616:感測器
618:顯示器
620:使用者輸入裝置
由參考以下結合附圖之敘述,可最佳理解實施例。
圖1A說明根據本揭示內容的一實施例之電漿反應器系統的範例,所述電漿反應器系統包括配置成產生近場波印廷向量之射頻(RF)螺旋偶極天線(SDA)。
圖1B說明根據本揭示內容的一實施例之RF SDA。
圖1C說明根據本揭示內容的一實施例,當由RF SDA所激發時相對於晶圓之半徑ne(r)的電漿之電離率ne
圖1D說明根據本揭示內容的一實施例之RF SDA的電流和電壓響應。
圖2A說明根據本揭示內容的一實施例之電漿反應器系統的範例,所述電漿反應器系統包括具有在同一平面內纏結的兩SDA之射頻星系四極天線(GQA),其配置成產生均勻近場波印廷向量。
圖2B說明根據本揭示內容的一實施例之RF GQA。
圖2C說明根據本揭示內容的一實施例之RF GQA天線的線性表示法。
圖2D說明根據本揭示內容的一實施例之RF GQA的電流和電壓響應。
圖3A說明根據本揭示內容的一實施例之RF SDA的E(電場)特性。
圖3B說明根據本揭示內容的一實施例之RF GQA的E(電場)特性。
圖3C說明根據本揭示內容的一實施例之RF SDA的H(磁場)特性。
圖3D說明根據本揭示內容的一實施例之RF GQA的H(磁場)特性。
圖4A說明根據本揭示內容的一實施例之S場通過電漿-窗口界面的穿透,以施行與電漿之射頻表面波耦合。
圖4B說明根據本揭示內容的一實施例之RF SDA的S場特性(波印廷向量)。波印廷向量(S=E x H)。
圖4C說明根據本揭示內容的一實施例之RF GQA的S場特性(波印廷向量)。
圖4D說明根據本揭示內容的一實施例之RF GQA的波印廷向量之近場波向量,只顯示具有放大功能且總功率相同的相同向量圖。
圖4E說明根據本揭示內容的一實施例之針對圖2H所示的RF GQA之波印廷向量的近場波向量之中心的擴展。
圖5A說明根據本揭示內容的一實施例之電漿反應器系統的範例,所述電漿反應器系統包括具有在分離之平行平面中堆疊的兩SDA之射頻堆疊式偶極四極天線(SQA),其配置成產生均勻近場波印廷向量。
圖5B說明根據本揭示內容的一實施例之堆疊式RF SQA。
圖5B-1是根據本揭示內容的一實施例之堆疊式偶極四極天線(SQA)的圖解,所述堆疊式偶極四極天線包括伸出之纏結偶極螺旋天線。
圖5B-2是根據本揭示內容的一實施例之堆疊式偶極四極天線(SQA)的圖解,所述堆疊式偶極四極天線包括堆疊之兩個偶極螺旋天線,其中每一偶極螺旋天線呈上凹配置。
圖5B-3是根據本揭示內容的一實施例之堆疊式偶極四極天線(SQA)的圖解,所述堆疊式偶極四極天線包括堆疊之兩個偶極螺旋天線,其中每一偶極螺旋天線呈下凹配置。
圖5C說明根據本揭示內容的一實施例之具有兩個堆疊式螺旋偶極天線的RF SQA之波印廷向量的近場波向量,只顯示具有放大功能且總功率相同的相同向量圖。
圖6顯示用於控制上述系統之控制模組。
儘管以下的詳細敘述出於說明之目的含有許多具體細節,但是具有本領域之通常技術者將理解,對以下細節的許多變動和修改在本揭示 內容之範圍內。因此,以下敘述的本揭示內容之態樣係於無損本說明之後的請求項之一般性、且不對這些請求項強加限制的情況下提出。
一般而言,本揭示內容的諸多實施例敘述一類四極天線,其不僅從天線的高電流部分(例如,中心)輻射,而且亦從天線之低電流部分周圍輻射(例如,對應於高電壓部分的端部)。四極天線包括兩個180度異相偶極天線(且在一實施例中具有相等之功率),其利用具有強E(電場)的高電壓尖端,所述尖端將由Maxwell-Ampere方程式之位移電流項輻射。結果是,四極天線將實電流輻射與位移電流輻射結合,以產生波印廷向量的空間均勻幅值(例如,透過藉由E-點+ I-點的輻射)。電漿產生系統具有寬的操作參數窗口、高密度、均勻性且緊湊(就電漿體積和間隙而言),並具有系統之面向電漿的表面(例如,電漿室)上最小的離子侵蝕。具體而言,所述系統(例如,電漿源)由實施例中所揭示的「均勻波印廷向量射頻天線」供電。所得到之電漿可視為是RF-SWP(表面波電漿),因為其由透過射頻表面波產生的均勻波印廷向量近場所加熱。而且由於波印廷向量近場表面波,故可將天線放置在遠離電漿介電窗口之位置。因此,實質上不存在需要法拉第屏蔽的電容耦合,使得本揭示內容之實施例不需要法拉第屏蔽。
於具有諸多實施例的以上一般理解之後,現在將參考諸多圖式來敘述實施例的範例細節。一或更多圖中之類似編號的元件及/或部件意欲大致上具有相同之配置及/或功能性。再者,附圖可不按比例繪製,而是意欲說明和強調新穎的概念。將顯而易見的是,可於沒有一些或所有這些具體細節之情況下實施本實施例。在其他情況下,未詳細敘述眾所周知的製程操作,以免不必要地使本實施例含糊不清。
圖1A說明根據本揭示內容之一實施例的電漿反應器系統100A之範例,所述電漿反應器系統100A包括配置成產生近場波印廷向量的 射頻(RF)螺旋偶極天線(SDA)130。電漿反應器系統100A配置成在腔室101中產生電漿150。例如,於一實施例中,電漿反應器系統100A可用於蝕刻操作。電漿腔室101包括卡盤110、介電窗口120、和RF SDA130。卡盤110可為用於當存在基板105(例如晶圓)時支撐所述基板之靜電卡盤。
進一步顯示的是偏壓RF產生器115,其可由一或更多產生器所界定。如果提供複數產生器,則可使用不同的頻率來達成諸多調諧特性。偏壓匹配器117耦合於RF產生器115和界定卡盤110之組件的導電板之間。卡盤110亦包括靜電電極,以實現夾持和釋放晶圓。概括地,可提供濾波器和直流箝位電源。亦可提供將基板105從卡盤110舉離的其他控制系統。儘管未示出,但是將泵浦連接至電漿室101,以在操作電漿處理期間能夠進行真空控制和從電漿室移除氣態副產物。
介電窗口120可由陶瓷類材料所界定。其他介電材料也是可能的,只要它們能夠承受半導體蝕刻室之條件即可。通常,電漿室於約攝氏50度至約攝氏120度之間的升高溫度範圍下操作。溫度將取決於蝕刻製程操作和特定配方。電漿室101亦將在約1mTorr(mT)至約100mTorr(mT)之間的真空條件下操作。
儘管未示出,但是電漿室101當安裝於無塵室或製造設施中時通常耦合至設施。設施包括提供處理氣體、真空、溫度控制、和環境顆粒控制之管道。當安裝在目標製造設施中時,這些設施耦合至電漿室101。另外,電漿室101可耦合至傳送室,所述傳送室將使機器手臂能夠使用典型的自動化機械將半導體晶圓傳送進出電漿室101。
RF SDA 130設置於介電窗口120上方,並連接至可選之RF匹配電路127和RF電源(例如,RF產生器)125。例如,RF SDA 130可在低於0.1-mtorr至100-torr以上操作。RF SDA 130的天線不用作RF TCP/ICP系統之電 感器線圈,使得功率耦合媒介是平面螺旋天線的磁場(H場)。電漿經由近場波印廷向量透過射頻表面波耦合(不是電感性也不是電容性)而維持。例如,此RF SDA 130可產生27.12MHz的SWP。RF SDA 130不需要放置接近真空窗口120。由於RF SDA 130的高電壓尖端不存在電容性耦合,因此不需要法拉第屏蔽。RF SDA 130於下面之圖1B中進一步敘述。
RF SDA 130連接至致動器103,以提供相對於介電窗口120(例如,電漿界面)的垂直運動。以所述方式,RF SDA 130的阻抗可調諧以匹配RF SDA 130和向RF SDA 130饋送RF功率的同軸電纜之間的阻抗。例如,平衡-不平衡轉換器距離135可動態調整以將RF SDA 130之阻抗匹配至同軸電纜的不平衡阻抗(例如,在操作期間)。尤其,調諧或調整平衡-不平衡轉換器距離135以動態地調整RF SDA 130之阻抗的虛分量(亦即,相位調整及/或電抗分量)。亦即,動態地調諧平衡-不平衡轉換器距離135以施行RF電源125和RF SDA 130之間的頻率匹配。如圖1B中所示,透過連接至RF SDA 130之功率的位置來調整實分量。
RF SDA 130之形狀因數可在小的空間內容納大的波長。如此,RF SDA 130可於電漿反應器系統100A之上殼體102的較小空間內實施,尤其是相對於TCP/ICP反應器系統通常所需之較大反應器系統而言。
圖1B說明根據本揭示內容的一實施例之RF SDA 130。如所示,RF SDA 130配置為具有兩端部130A和130B的平面式螺旋。端部130B坐落在所述螺旋之內部,而端部130A坐落在螺旋的外部。接地係連接至RF SDA 130之物理長度的中心195。
來自電源125之RF功率連接至接近中心的可調整(例如,可滑動)位置140。來自接地之搭接帶可連接至同軸電纜126的接地鞘,其中接地未連接至點140。RF功率之連接點140的可選布局在RF電源125和RF SDA 130之間施行幅度匹配。例如,位置140的布局(例如,相對於中心195之地面-平衡-不平衡轉換器)將調整RF電源125與RF SDA 130之間的阻抗(例如,調整實分量--R)。尤其是,動態地調諧或調整(例如,在操作期間)平衡-不平衡轉換器調整(例如,坐落於RF SDA 130上之連接點140),以調整RF SDA 130的阻抗之實分量。連接點140的布局可針對(例如,阻抗及/或電感之)幅度調整加以選擇,例如等效於在RF匹配電路(例如,電感(L)電路)中的分流元件及/或提供所述分流元件。如此,連接點140之位置影響如由RF SDA 130所看到的幅度,並可藉由沿著RF SDA 130改變連接點140的位置之布局來動態地調整(例如,在操作期間)。
然而,對於RF SDA 130,其偶極輻射的近場模式在RF SDA 130的中心區域(例如物理區域)附近之高電流環形區域197中具有很強的訊跡。再者,由於大的接地平面邊界,其E(電場)靠近外側環圈顯示出更強之強度。尤其是,當ExH近場耦合至電漿中時(例如,作為表面波波印廷向量),其加熱電漿。亦即,表面波優先在高電流環形區域197中加熱電漿,從而產生常見的M形ne(r),如同單線圈TCP所產生者。M形ne(r)顯示於圖1C中,其根據本揭示內容之一實施例說明當由RF SDA 130所激發時,相對於晶圓的半徑ne(r)之電漿的電離率ne。此M形特徵是主要之非均勻性問題。另外,螺旋偶極天線的電漿密度空間分佈也類似於環形近場輻射圖案。
圖1D說明根據本揭示內容之一實施例的RF SDA 130'之電流和電壓響應。如所示,RF SDA 130'拉直,並稱為拉直的SDA 130',其具有兩端部130A'和130B'。與圖1B一致,連接點140是從電源125向RF SDA 130'供給RF功率的位置,且中心135連接至接地。
電壓響應160-V(t)顯示為振盪的。電壓在拉直之SDA 130'的端部130A'和130B'附近最高,且於中心135為零。電流響應170-J(t)亦顯示為 振盪的。電流在中心135附近最高,於拉直之SDA 130'的端部130A'和130B'為零。亦即,當拉直之SDA 130'輻射進入自由空間時,天線電流在中心最大,並朝電壓變為最大的兩端部130A'和130B'逐漸減小。由於兩高電壓端部130A'和130B'遠離接地,所以端部130A'和130B'之E(電場)實際上很弱。因此,天線電流感應的H(磁場)開始輻射。如先前所述,這產生偶極輻射圖案(例如,環形近場輻射圖案)。
圖2A說明根據本揭示內容的一實施例之電漿反應器系統200A的範例,所述電漿反應器系統200A包括具有在同一平面中纏結之兩SDA的RF星系四極天線(GQA),所述兩SDA配置成產生均勻近場波印廷向量。電漿反應器系統200A配置成在電漿室101中產生電漿150。通常,電漿反應器系統200A可與圖1A之電漿反應器系統100A類似地配置,但是包括與RF星系四極天線230不同的天線系統。例如,於實施例中,電漿反應器系統200A可用於蝕刻操作和其他處理操作。
包括電漿室101之系統200A配置為處理設置在基板支撐件110上的基板105,例如配置為支撐基板105之靜電卡盤110。卡盤110包括靜電電極,以實現夾持及釋放基板105。譬如,基板可為放置進入電漿室供處理的晶圓。於處理期間,製程氣體供應源(未示出)配置為將至少一製程氣體導入電漿室。儘管未示出,但是泵浦係連接至電漿室101,以在操作電漿處理期間實現真空控制和從電漿室101移除氣態副產物。電漿室101可在安裝於無塵室或製造設施中時耦接至設施,包括提供製程氣體、真空、溫度控制、和環境粒子控制之管道。電漿室101可在升高的溫度下(例如,於攝氏50至120度之間)操作,這取決於製程操作和特定的氣體配方。電漿室101可配置為真空室,並在1mm Torr(mT)至約100m Torr(mT)之間的真空條件下操作。
如先前所述,系統200A包括定義為以一或更多頻率操作之一或更多產生器的偏壓RF產生器115。偏壓匹配器117耦接於RF產生器115和界定卡盤110的導電板之間。亦可提供將基板105從卡盤舉離的其他控制系統(未示出)。再者,電漿室101包括定向於基板支撐件上方之介電窗口120。介電窗口120可由陶瓷類材料所界定。
系統200A包括設置在介電窗口120上方的四極天線230,所述四極天線230包括第一螺旋偶極天線(SDA)240和第二SDA 250,如下面圖2B中所進一步敘述者。四極天線230可容納於定位在電漿室101上方之上殼體102內。第一和第二SDA 240、250的每一者耦接至個別之RF匹配電路(可選的)和RF電源。例如,第一SDA 240可耦接至可選之RF匹配電路215和RF電源(例如,RF產生器)210,所述RF電源210配置為以一頻率將第一RF信號提供至第一SDA 240。另外,於一實施例中,第二SDA 250能耦接至可選之RF匹配電路225和RF電源220,所述RF電源220配置為以相同頻率將第二RF信號提供至第二SDA 250。在其他實施例中,不同的頻率為四極天線230的個別SDA供電。例如,SDA可在選定於400千赫(kHz)和300百萬赫(MHz)之間的頻率下操作。
四極天線230設置在距離窗口120(例如,電漿界面)間隔235處。介電窗口120配置為上外殼102和電漿室101之間的界面。尤其是,致動器103連接至RF四極天線230(例如,電性串聯),以提供相對於介電窗口120之垂直運動,使得天線組(例如,四極天線230)之z方向位置相對平行於窗口120的接地平面受到調諧。藉由變動間隔235(例如,平衡-不平衡轉換器調整),可動態地調諧RF四極天線230的阻抗(例如,在操作期間),以匹配將功率輸送至四極天線230之RF功率輸送系統的阻抗。尤其是,致動器103耦接至四極天線230並配置為調整間隔235,以於第一RF電源210和第一SDA 240 與第二RF電源220和第二SDA 250之間施行阻抗匹配。譬如,調諧平衡-不平衡轉換器間隔235以使四極天線230的平衡阻抗與輸送功率之一或更多同軸電纜之不平衡阻抗匹配。更尤其是,調諧或調整平衡-不平衡轉換器(平衡/不平衡)距離,以動態地調整四極天線230之阻抗的虛分量(亦即,相位調整及/或電抗分量)(例如,施行頻率匹配)。於實施例中,間隔235在0.1至4.0米之間的範圍內。於其他實施例中,間隔235在0.4至3.0米之間的範圍內。於又其他實施例中,間隔235在0.1至2.0米之間的範圍內。於其他實施例中,間隔235在0.4至1.0米之間的範圍內。可透過RF四極天線230上之一或更多電源連接的一或更多位置來調整實分量。
四極天線230產生通過窗口120之電磁場,作為沿著電漿-窗口界面401的表面波,所述表面波激發並電離至少一製程氣體,以在腔室內產生電漿。亦即,四極天線230不用作RF TCP/ICP系統之電感器線圈。反而,功率耦合媒介是四極天線230的磁場(H場)。電漿係透過RF表面波耦合(不是電感性也不是電容性)而維持。例如,此四極天線230可產生27.12MHz的表面波電漿(SWP)。尤其是,RF SWP之媒介(例如,於27MHz操作)係近場波印廷向量,且因此四極天線230不必放置接近窗口120。這減輕來自高電壓尖端的電容性耦合。亦即,四極天線230之平面可遠高於電漿-窗口界面401的平面(例如,間隔235)。另外,因為沒有來自四極天線230之高電壓尖端的電容性耦合,所以不需要法拉第屏蔽。下面在圖2B中進一步敘述四極天線230。
四極天線230之形狀因數可於小的空間內部容納大的波長。如此,可在電漿反應器系統200A之上外殼102的較小空間內提供天線230,尤其是相對於TCP/ICP反應器系統通常所需之較大反應器系統而言。
圖2B說明根據本揭示內容的一實施例之圖2A的四極天線230。四極天線230在同一平面(例如,由x軸/y軸坐標平面或r、θ坐標平面所定義)上包括兩個偶極天線。每一偶極天線都具有螺旋或星系配置(或組合成星系配置),且因此四極天線230也稱為RF星系四極天線(GQA)230。尤其是,GQA 230包括坐落於同一平面上之第一SDA 240和第二SDA 250。在一實施例中,SDA 240和250中的螺旋之方向(例如,順時鐘或逆時鐘)是完全相同的。於另一實施例中,方向(例如,順時鐘或逆時鐘)係相反的,針對SDA 240和250之相位及/或其他參數具有對應的調整,以便在SDA之末端(例如,參見圖2C)達成適當的E(電場)。GQA 230配置為於高電流區域(例如,中心)和在尖端(例如,高電壓區域)提供與圖2C一致之輻射。
第一SDA 240嵌套於第二SDA 250內。尤其是,第一線圈界定第一SDA 240,其中第一線圈包括一或更多匝。第二線圈界定第二SDA 250,其中第二線圈包括一或更多匝。第一線圈在第二線圈內呈嵌套配置。亦即,當第一和第二線圈從GQA 230的中心區域旋至GQA 230之外部區域時,嵌套配置將第一線圈的匝放置為與第二線圈之對應匝鄰近。此外,當設置於介電窗口120上方時,第一線圈和第二線圈的每一者之鄰近匝彼此水平地分開一距離。在一實施例中的嵌套配置中,第一SDA 240(如虛線所示)包括坐落於SDA 240外部或外區域之端部240A、及坐落在SDA 240內部之端部240B。第二SDA 250(以實線顯示)包括坐落於SDA 250內部的一端部250B、及坐落在SDA 250之外部或外區域的端部250A。端部240B和250B界定及/或包含於GQA 230之中心區域內。
在一實施例中,第一SDA 240和第二SDA 250的每一者具有連續之長度。例如,第一SDA 240在端部之間係連續的且具有第一長度。而且,第二SDA 250在端部之間是連續的且具有第二長度。於一實施例中,第 一SDA 240和第二SDA 250之每一者具有大約等於對應第一RF信號(例如,來自RF電源210)和第二RF信號(例如,來自RF電源220)之頻率之波長的一半之長度。亦即,無論選擇任何RF頻率,每一對應偶極天線之長度都是對應波長的一半(因此,偶極)。例如,針對60MHz的頻率,長度大約為SDA 240和SDA 250之每一者的對應波長(λ)之一半,其中波長可根據一或更多參數(例如,天線材料成分或特性、環境條件(例如溫度、濕度等)等)而不同。
接地在連接點241耦接至第一SDA 240,且RF功率(例如,來自電源210)於連接點242耦接至第一SDA 240。接地在連接點251耦接至第二SDA 250,且RF功率(例如,來自電源220)於連接點252耦接至第二SDA 250。接地連接點241和251坐落於個別SDA的物理中心(例如,連接點241是第一SDA 240之第一長度的中心,且連接點251是第二SDA 250之第二長度的中心)。例如,連接點241和251(透過一些具有較大感應阻抗之銅-Cu-線)接地。這使GQA 230的物理中心可為最大電流點並且對應地是最小電壓點。
在一實施例中,來自RF電源之同軸功率輸出線連接至靠近各個諸多SDA 240和250的中心點(例如,於連接點241和251)之滑動饋電點。例如,可滑動連接點242係將RF電源210耦接至SDA 240的滑動饋電點,其中滑動饋電點提供使SDA 240和對應之電源系統的阻抗匹配之調諧機構(例如,操作期間的動態調諧)。例如,可施行調諧以使SDA 240和RF電源210及/或從電源210饋電的同軸電纜211之阻抗匹配(例如,在60MHz)。亦即,來自RF電源210的RF信號於從中心連接點241至地面之近距離(在連接點242)饋送至SDA 240,其中可動態地調諧近距離以供阻抗匹配(例如,匹配饋送RF信號的線路與天線240)(例如,為阻抗匹配及/或幅度調整之目的,例如當於RF匹配電路中提供分流元件時調整實分量R)。並且,可滑動連接點252是將RF電源220耦接至SDA 250之滑動饋電點,其中所述滑動饋電點 提供使SDA 250和對應的電源系統之阻抗匹配的調諧機構。例如,可施行調諧以匹配SDA 250和RF電源220及/或從電源220供電的同軸電纜221之阻抗。亦即,來自RF電源220之RF信號以從中心連接點251至地面的近距離(在連接點252)饋送至SDA 250,其中可動態地調諧所述近距離以供阻抗匹配(例如,匹配饋送RF信號之線路與天線240)(例如,為阻抗匹配及/或幅度調整之目的,例如當於RF匹配電路中提供分流元件時調整實分量R)。如此,可透過沿著個別SDA 240、250滑動電源連接點來施行調諧。並且,如先前所述,另一調諧旋鈕配置是天線組相對接地平面之z方向位置的選擇。
僅出於說明目的,提供以60MHz操作之GQA 230的範例。如圖2B所示,每一SDA 240和250之長度是對應波長的一半(例如98.42英寸)。作為範例之延續,對於第一SDA 240,中心點241為5.686英寸、56.56°(r,θ),外部端點240A為7.978英寸、3.87°(r,θ),且內部(例如,起點)端點為1英寸、180°(r,θ)。作為範例的延續,對於第二SDA 250,中心點251為5.686英寸、236.56°(r,θ),外部端點250A為7.978英寸、183.87°(r,θ),內部(例如,起點)端點為1英寸、0°(r,θ)。在其他實施例中,支持其他尺寸和頻率。
如圖2B中所示,SDA 240和250是空間-時間正交偶極天線。例如,中心241和251相距180度,其中SDA 240之中心241於56.56°,而SDA 250的中心251在236.56°。於相同頻率下,電源連接點亦大約相隔180度,其中SDA 240之連接點242在35°,且SDA 250的連接點252於215°。
在一實施例中,於GQA 230中,第一SDA 240由第二SDA 250位移一距離。在一實施例中,所述位移是平面中之橫向或水平位移。在另一實施例中,位移可為垂直位移,如下文進一步敘述。如圖2B所示,在橫向位移的情況中,第一SDA 240於平面中嵌套配置在第二SDA 250內。如先前所述,第一線圈(一或更多匝)界定第一SDA 240,且第二線圈(一或更多匝) 界定第二SDA 250。當第一線圈和第二線圈從GQA 230之中心區域螺旋繞轉時,第一和第二線圈之間的嵌套配置使第一線圈之匝放置鄰近第二線圈的對應匝。當設置於介電窗口120上方時,第一線圈和第二線圈之每一者的鄰近匝彼此水平位移一距離「D」。圖2B顯示數個位移區域作為說明。僅出於說明目的,距離「D」可為1英寸,但可為任何尺寸並可取決於數值最佳化而變動。
圖2C-2D提供根據本揭示內容之實施例的GQA 230之線性表示法,以更佳的說明GQA 230的電流和電壓特性(例如,非線性螺旋或星系配置)。尤其是,圖2C說明GQA 230之線性表示法,其中將GQA 230伸展(例如,非螺旋的或拉直的)。如此,第一偶極天線240'對應於第一SDA 240,並如先前所述,在中心點連接至接地,且於靠近中心點透過同軸饋電211連接至RF電源210。針對幅度調整(例如,阻抗及/或電感),電源210在第一偶極天線240'上之布局(例如,接地中心與電源210的連接點之間的平衡-不平衡轉換器調整)是可選的,例如在電感(L)電路中提供分流元件。另外,如先前所述,第二偶極天線250'對應於第二SDA 250,並於中心點接地,且在中心點附近透過同軸饋電221連接至RF電源220。針對幅度調整(例如,阻抗及/或電感),電源220於第二偶極天線250'上之布局(例如,接地中心與電源220的連接點之間的平衡-不平衡調整)是可選的,例如在電感(L)電路中提供分流元件。如下所述,組合之偶極天線的線性表示法之特性表示GQA 230的特性。
第一偶極天線240'相關於第二偶極天線250'之配置產生一或更多雜散電容。尤其是,於兩天線的端部之間產生雜散電容。例如,在端部240A'(天線240')和端部250A'(天線250')之間產生雜散電容271。於端部240B'(天線240')和端部250B'(天線250')之間亦產生雜散電容272。
圖2D說明根據本揭示內容的一實施例之GQA 230的線性表示法之電流和電壓響應。在一實施例中,兩個螺旋或星系偶極天線240和250以180度異相運行(例如,兩RF電源210和220或產生器係180度異相,具有相同的功率輸出位準)。對應地,兩個偶極天線240'和250'也以180度異相運行。
對於輻射進入自由空間之每一偶極天線240'和250'而言,天線電流(例如,實電流J)在中心處最高,且朝電壓變為最大的端部逐漸減小。例如,對於偶極天線240',實電流響應J(t)270A之最大值(在正值和負值之間振盪)出現於偶極天線240’的中心。實電流響應J(t)270A之最小值(例如零)出現在端部240A'和240B'。實電流響應J(t)270A的振盪由虛線和實線所顯示。於偶極天線240'之中心,電壓響應V(t)260A亦在零處來回振盪。最大值(於正值和負值之間振盪)出現於端部240A'和240B'。電壓響應V(t)260A的振盪也由虛線和實線顯示。
類似地,對於偶極天線250',實電流響應J(t)270B之最大值(在正值和負值之間振盪)出現於偶極天線250'的中心,而最小值(例如,零)出現在端部250A'和250B'。實電流響應J(t)270B之振盪由虛線和實線所顯示。於偶極天線250'的中心,電壓響應V(t)260B亦在零處來回振盪。最大值(於正值和負值之間振盪)出現在端部250A'和250B'。電壓響應V(t)260B之振盪也由虛線和實線所顯示。
於偶極天線240'和250'的每一者中,對應端部離接地很遠,且因此,偶極天線240'和250'之每一者的對應端部之E(電場)實際上非常弱。就此而言,天線電流感應的H(由電流所產生的磁場)使輻射開始,且因此為偶極天線240'和250'之每一者產生通常的偶極輻射圖案。
在一實施例中,兩個偶極天線240'和250'具有相反之電流(例如,「反向電流陣列」配置)。亦即,向第一偶極天線240'饋送第一RF信號 的第一RF電源210和向第二偶極天線250'饋送第二RF信號之第二RF電源220係異相的。於一實施例中,各個RF信號是180度異相的。在其他實施例中,各個RF信號為0-180度之間異相的。尤其是,在180度異相處,偶極天線240'和250之電流和電壓響應為鏡像的。例如,於端部240A'和250A',電壓響應V(t)260A和260B係相等的,但是具有相反之符號(例如,正和負)。整個偶極天線240'和250'(在對應的點)的電流和電壓之效應不是抵消作用(例如,累積性或支持性)。
對應地,GQA 230配置為反向電流陣列,使得來自RF電源210之第一RF信號使第一SDA 240中的第一RF電流諧振,且來自RF電源220之第二RF信號使與第一RF電流相反的第二SDA 250中之第二RF電流諧振。第一SDA 240和第二SDA 250的組合電流響應將在SDA的高電流部分(例如,中心241和251)之下產生一定程度的局部H(磁場),且因此,這二SDA 240和250一起如同四極天線般作用。亦即,二SDA 240和250於空間和時間上正交。例如,電流偶極是切向的,而位移電流偶極是徑向的,使得其強度在方向上隔開90°。SDA 240和250之組合螺旋形狀類似於恆星星系的螺旋形狀,因此命名為星系四極天線(GQA)230。
在其他實施例中,GQA 230之二螺旋形或星系偶極天線能以任何相位值(例如,非180度)、和任何功率位準值(例如,非相同功率)運行。再者,於實施例中,相位不需為在一段時間內處於固定值。例如,在一實施例中,GQA 230的兩個螺旋或星系偶極天線之間的相位可於一值範圍內以正弦形式振盪,以實現更好之波印廷向量均勻性。在其他實施例中,功率不需在一段時間內處於固定值。亦即,所供應的兩功率中之一或更多者可在一值範圍內以正弦形式振盪,以實現更好的波印廷向量均勻性。例如,對於GQA 230,可能有從中心至星系臂末端部增加之固有線不匹配,其導致輕 微的不均勻性。於實施例中,可利用振盪相位及/或振盪功率修正此不均勻性。
通常,在單一偶極天線中,由於對應之弱E(電場),兩端的實電流為零。然而,由於GQA 230具有兩個帶有兩對對應端部之偶極天線,因此會從Maxwell-Ampere方程式產生位移電流。如此,GQA 230的輻射源包括來自高電流區域(例如,SDA 240和250之中心)、以及SDA 240和250的兩對對應端部之常見實電流輻射。尤其是,圍繞SDA的低電流部分(亦即與兩SDA 240和250之間異相180度的高電壓部分對應之端部),兩SDA 240和250之間的E(電場)將藉由Maxwell-Ampere方程式1之位移電流項進行輻射。在方程式1中,第一項說明實電流的來源,其中位移電流是包括E(電場)隨時間之變化的第二項。方程式2是Maxwell-Ampere方程式的另一形式。
Figure 108136357-A0305-02-0021-4
Figure 108136357-A0305-02-0021-2
為了比較的目的,圖3A至圖3B提供螺旋偶極天線(SDA)130和星系四極偶極天線(GQA)230之E(電場)訊跡。尤其是,圖3A說明根據本揭示內容的一實施例之RF SDA 130的E(電場)訊跡300A。於訊跡300A中,E(電場)顯示些微集中靠近RF SDA 130之外側環路,部分是因為大的接地平面邊界(接地),且因為沒有位移電流貢獻。圖3B說明根據本揭示內容之一實施例的GQA 230之E(電場)訊跡。在訊跡300B中,E(電場)於GQA 230的整個覆蓋區更均勻且更集中,部分在兩SDA 240和250的成對端部之間存在位移電流貢獻。尤其是,E(電場)的強度在GQA 230的範圍維持,使得在兩(低電流)端部或附近出現均勻之強E(電場),而與接地平面的邊界無關。尤其是,於SDA 240和250之外部端部240A和250A、及在GQA 230之外部周邊(例如,外側環路)中,E(電場)是強的(如由灰點所填充)。類似地,於SDA 240 和250的內部端部240B和250B,及在GQA 230之內部中,E(電場)同樣強。並且,E(電場)均勻且強地通過GQA 230的中間部(例如,內部和外部端部之間高度集中且均勻),但可比其他區域(由開口圓所填充)弱。就此,與SDA 130的外環路中的E(電場)訊跡300A之集中相比,GQA 230的E(電場)訊跡300B於整個天線各處更為均勻。
為了比較的目的,圖3C-3D為RF SDA 130和GQA 230提供H(磁場)訊跡。尤其是,圖3C說明根據本揭示內容之一實施例的RF SDA 130之H(磁場)訊跡300C。因為H(磁場)透過電流流動(垂直於電流流動或動作的方向)形成,所以天線之H(磁場)訊跡緊隨著天線的E(電場)訊跡。例如,對於RF SDA 130,H(磁場)訊跡300C類似於E(電場)訊跡300A,使得H(磁場)集中在SDA 130之外環路或附近。類似地,對於GQA 230,H(磁場)訊跡300D的均勻性類似於E(電場)訊跡300B之均勻性(例如,均勻集中的E(電場)出現在低電流和高電壓端部兩者附近,且於例如靠近高E(電場)中心的端部之間)。尤其是,由於此四極天線的「反向電流陣列」所導致之局部化H(例如,在GQA 230中的任何點),H(磁場)訊跡300D更加均勻。如所示,H(磁場)於GQA 230之中心可為最強(由灰色點所填充),而在其他區域則較弱(由空心圓所填充)。
圖4A說明根據本揭示內容的一實施例之通過電漿-窗口界面401的S場(波印廷波)之穿透,以施行與電漿的RF表面波耦合。如先前所述,GQA 230產生通過窗口120之電磁場作為沿著電漿-窗口界面401的表面波,所述表面波激發並電離至少一製程氣體以在腔室內產生電漿。如先前所述,E(電場)與GQA 230之線圈相切,且位於GQA 230的平面中。例如,取決於對應線圈上之何點,E(電場)可進入頁面、從頁面出來(如圖4A所示)、也可在沿著界定GQA 230的線圈之平面的任何方向上。圖4A說明於GQA 230上 之特定點的一E(電場)。功率耦合媒介是平面螺旋天線之磁場(H場),以產生及/或激發電漿。對於圖4A中所示的E(電場)之向量,B場垂直於E(電場)(例如,指向下並行進通過窗口120)。類似地,在GQA 230線圈上的任意點之B場都通過窗口120導入電漿室101。
腔室101中的電漿150係透過RF表面波耦合來維持。尤其是,RF SWP之媒介是近場波印廷向量。波印廷向量表示電磁場的方向性能量通量,且具有瓦特/平方米(W/m2)之單位。波印廷向量具有瓦特/m2的單位,其中瓦特表示能量流率。波印廷向量由下面之方程式3表示。
S(r,t)=E(r,t)x H(r,t) (3)
更特別地,圖4A顯示從GQA 230附近的E(電場)和H(磁場)產生之波印廷(S)向量405。波印廷向量405的方向幾乎平行於GQA 230之平面,但可有所不同。例如,波印廷向量405可稍微遠離窗口120向上定向、平行於窗口120或稍微向下定向朝窗口120。此外,外殼102可用以將S場向量重新導向或向下反射進入電漿室101。重要地是,相對於窗口120的平面以小角度向下定向之波印廷向量在電漿-窗口界面401折射。亦即,於一實施例中,窗口120的折射率大約為2(例如,由大約4之介電常數,η=2)。另一方面,在電漿室101內產生(例如,從H(磁場))的電漿150之折射率為負一千(例如,η=-1000),其為虛數。因此,具有進入窗口120之小角度的波印廷波405之區段405A折射,使得波印廷波的區段405B從窗口120產生並沿著電漿-窗口界面401受引導。
均勻之波印廷向量(S=E x H)近場耦合至電漿150中以加熱電漿。亦即,能量密度於穩態下是恆定的,且S場(波印廷向量)係電漿加熱之來源並為電漿150供電。尤其是,E x H向量在GQA 230的平面中具有大分量(因此,電漿-窗口界面之平面),允許波向量(S)適當進出變成(電漿-窗 口)表面波。然後,透過RF表面波耦合將電漿150加熱。下面的方程式4表示損失至周圍電漿150中(例如用於電漿加熱)之每單位體積的功率。因為S場也是均勻的(例如,由於均勻之E(電場)和H(磁場)),所以近場功率在GQA 230的整個覆蓋區耦合至電漿中。在一實施例中,由於GQA 230坐落遠離窗口120,因此不需要法拉第屏蔽板。
Figure 108136357-A0305-02-0024-3
為了比較的目的,圖4B-4C提供RF SDA 130和GQA 230之S場訊跡。尤其是,圖4B說明根據本揭示內容的一實施例之RF SDA 130的S場訊跡400B(波印廷向量S=E x H)。如所示,RF SDA 130在高電流區域中輻射(亦即,來自實電流之I點貢獻),而產生不均勻近場波印廷向量。尤其是,偶極輻射的近場於高電流區域(靠近偶極天線之中心部分的圓環)中比實電流具有更強之訊跡(集中度),且因為實質上沒有針對RF SDA 130的位移電流輻射。當E x H近場耦合至電漿中時,其在環形區域410中加熱電漿,而產生通常之M形Ne(r)(例如,圖1D),類似於單線圈TCP/ICP者。
作為比較,圖4C說明根據本揭示內容的一實施例之GQA 230的S場訊跡400C(波印廷向量)。GQA 230 S場之集中度和均勻性在圖4C中顯而易見。如所示,於GQA 230的整個覆蓋區中,S場強度和所述強度之集中度是均勻的,除了孔420之外,如下所述。如先前所述,GQA 230具有來自實電流和位移電流的貢獻兩者,以產生對應之波印廷向量。尤其是,在SDAs 240及250的低電流部分(例如,異相180度之高電壓部分)周圍,E(電場)將以Maxwell-Ampere方程式的位移電流項輻射。並且,E(電場)將從Maxwell-Ampere方程式之實電流項輻射於GQA 230的內部。以此方式,將可獲得空間均勻近場波印廷向量(例如,透過藉由E-點+ I-點之輻射),如圖 4C所示。例如,GQA 230的「反向電流陣列」條件係用於實電流(I)區域(例如E為高的中心)及位移電流(E)區域(E為弱之兩端部或尖端區域)兩者。以此方式,H(磁場)和E(電場)受到均勻地集中,這對於小體積電漿均勻性是良好的。大致上,圖4C顯示有E點+ I點之最小角度變化。
如圖4C所示,在中心尖端區域中有一小「孔」420,於此E是弱的,但是對於其餘之中心尖端區域(位移電流區域)和整個實電流區域,S均勻性優異。外側尖端區域的位移電流輻射相對較不均勻。此無效區域可能是由於星系之旋出幾何形狀。尤其是,在兩條線的匹配度更好(亦即中心尖端區域)之情況下,E點+ I點的角度變化小(例如,均勻),因為兩條線為180度異相(亦即「反向電流陣列」條件)。於另一方面,隨著兩條線螺旋出越過實電流區域,其變得不那麼匹配,且因此,E-點+ I-點之角度變化變大(不均勻)。
從中心區域至星系臂的不斷增加之物理線不匹配,造成E點+ I點的角度變化增加。這是因為180度異相和等功率實施。在一實施例中,使用不同之相位(例如,相等功率),例如僅用於說明之150度異相,E點+ I點的角度變化可在星系臂變成最小,並朝中心增加。以此方式,於一實施例中,然後可透過此值範圍內的相位之正弦振盪來獲得從中心至星系臂之均勻時間平均S。就此,在一實施例中,動態地改變(例如,於操作期間)天線的相位(例如,使用可變之相位輸入)提供另一調諧機構。在實施例中,與上述相同者可應用至其電源,達成相同的效果。
GQA 230之缺點是增加的線匹配;其益處是兩個偶極的電源饋線/接地線之位置實體上相距180度。於電源饋線/接地線區域中有強E(電場),其在區域410中之SDA 130的圖4B波印廷向量幅值圖中是明顯的。對於GQA 230,既然兩天線(例如SDA)之間的E(電場)是強的,因此由電源 饋線/接地線區域所造成之E(電場)扭曲便不明顯。這顯示GQA的電源饋線/接地線設定180度物理性分離之益處,如圖2B所示。應注意的是,由於GQA 230之天線(例如SDA 240和250)的180度異相,不僅兩電源饋線之電壓為180度異相,兩接地線的感應電壓也為180度異相(例如,因為接地線位於DC接地,且在所用的RF頻率仍引起大電感阻抗)。
圖4D-4E顯示本揭示內容的實施例中之作為向量圖的GQA 230之所得波印廷向量幅度。例如,出於說明之目的,輻射邊界是大的80"X80"方形大地。尤其是,圖4D說明根據本揭示內容之一實施例的GQA 230之波印廷向量的近場波向量。如先前所述,GQA 230在其近場波印廷向量中具有比RF SDA 130更好之均勻性(例如,更均勻和集中的S)。尤其是,波向量(S)於天線平面(亦即,也對應於高亮框420的電漿-窗口界面401之平面)中具有大分量,這對於產生表面波是有利的。
圖4E說明圖4D所示之GQA 230的波印廷向量之近場波向量的高亮框420(例如,中心區域)之擴大。高亮框420對應於GQA 230的端部,即使存在弱E(電場),其仍具有來自位移電流之貢獻。於高亮框420中,波印廷向量(S)比SDA 130(在對應的中心區域中沒有波向量)之波印廷向量(S)更加集中和更加均勻。尤其是,S的波向量(例如,箭頭)存在於高亮框420中,並指示天線平面(亦即,電漿界面)中之強分量。
圖5A說明根據本揭示內容的一實施例之包括RF堆疊式偶極四極天線530(SQA 530)的電漿反應器系統500A之範例,所述RF堆疊式偶極四極天線530包括堆疊在分開的平行平面中之兩SDA 531和532(亦即,當組合時產生四極天線),其配置成產生均勻近場波印廷向量。RF SQA 530在產生均勻近場(例如S場)方面以與先前所述GQA 230相同的原理和操作參數(例如,反向電流、異相、相像頻率、不同頻率、相同功率、不同功率、同 方向上的螺旋、反方向上的螺旋、對齊的螺旋端部、未對齊的螺旋端部)進行操作,,且就此而言不完整敘述並依賴於GQA 230的敘述。如將在下面顯示,RF SQA 530可達到與GQA 230相同之目標(例如,與圖2C一致,都在高電流區域(例如,中心)和尖端(例如,高電壓場/區域)提供輻射),並具有改善的結果。
電漿反應器系統500A配置成在腔室101中產生電漿150。通常,電漿反應器系統200A可配置為類似圖1A和圖2A的電漿反應器系統100A和200A,但是包括不同之天線-RF SQA 530。例如,於實施例中,電漿反應器系統200A可用於蝕刻操作和其他處理操作。尤其是,系統500A包括電漿室101,其用於處理設置在基板支撐件110(例如,卡盤)上的基板105。例如,基板可為放置進入電漿室供處理之晶圓。於處理期間,製程氣體供應源(未示出)配置成將至少一製程氣體導入電漿室。泵浦(未示出)連接至電漿室101,以能夠在處理期間進行真空控制並從電漿室101移除氣態副產物。電漿室101可耦接至包括管道的設施,以提供處理氣體、真空、溫度控制、和環境顆粒控制。如先前所述,電漿室101可於升高之溫度和真空下操作。系統500A亦包括偏壓RF產生器115,其界定為以一或更多頻率操作的一或更多產生器。偏壓匹配器117耦接在RF產生器115和界定卡盤110的導電板之間。控制系統(未示出)可將基板105從基板支撐件110舉離及/或將基板105放置至基板支撐件110上。介電窗口120定向於基板支撐件110上方。
系統500A包括設置在介電窗口120上方的RF SQA 530,其中系統500A包括處於堆疊配置中之第一螺旋偶極天線(SDA)531和第二SDA 532,亦如圖5B中所進一步顯示。在一實施例中,SDA 531和532中的螺旋之方向(例如,順時鐘或逆時鐘)是完全相同的。於另一實施例中,方向(例如,順時鐘或逆時鐘)係相反的,而對SDA 531和532之相位及/或其他參數 進行對應的調整,以在SDA 531和532之端部達成適當的E(電場)(例如,參見圖2C)。SQA 530可包含於定位在電漿室101上方之上外殼102內。SQA530中的第一和第二SDA中之每一者都耦接至個別的RF匹配電路和RF電源。例如,第一SDA 531可耦接至RF匹配電路515和RF電源(例如,RF產生器)510,RF電源510配置為以一頻率將第一RF信號提供至第一SDA 531。另外,於一實施例中,第二SDA 532可耦接至RF匹配電路525和RF電源520,其配置為以相同頻率將第二RF信號提供至第二SDA 532。在其他實施例中,不同的頻率為SQA 530的各個SDA供電。
SQA 530設置於離窗口120的間隔535處。尤其是,致動器103連接至SQA 530,以提供相對於介電窗口120之垂直動作,使得天線組(例如,SQA 530)之z方向位置相對平行於窗口120的接地平面受到調諧。例如,藉由變動間隔535,可調諧SQA 530的阻抗以匹配將功率輸送至SQA 530之RF功率輸送系統的阻抗。例如,調諧間隔535,以在第一RF電源510和第一SDA 531之間、及第二RF電源520和第二SDA 531之間施行阻抗匹配。尤其是,如先前所述,調諧或調整平衡-不平衡轉換器的間隔535,以調整SQA 530的阻抗之實分量。虛分量可透過SQA 530上的一或更多功率連接之一或更多位置來調整。於實施例中,間隔535在0.1至4.0公尺之間的範圍內。於其他實施例中,間隔535在0.4至3.0公尺之間的範圍內。於又其他實施例中,間隔535在0.1至2.0公尺之間的範圍內。於其他實施例中,間隔535在0.4至1.0公尺之間的範圍內。
如所示,第一SDA 531由包括一或更多匝之第一線圈所界定,且第二SDA 532由包括一或更多匝的第二線圈所界定。第一SDA 531設置於第二SDA 532上方,使得第一SDA 531和第二SDA 532之對應匝在介電窗口120上方對齊。例如,第一SDA 531和第二SDA 532的對應外匝於介 電窗口120上方對齊。再者,於一實施例中,第一和第二SDA 531和532之端部在介電窗口120上方對齊。亦即,內部匝彼此對齊及/或外部匝彼此對齊。於另一實施例中,第一及第二SDAs 531及532的端部偏置(例如180度偏置)。亦即,內部匝未彼此對齊及/或外部匝未彼此對齊。
如圖5B中所示,第一SDA 531與第二SDA 532分開距離「d」。尤其,在一實施例中,第一SDA 531和第二SDA 532垂直地分開,使得第一SDA 531於第一平面541中,且第二SDA 532在第二平面542中。第一及第二平面實質上平行。僅舉例而言,間隔「d」為1英寸。
在一實施例中,RF SQA 530於SDA 531和532的整個長度上展現出完美之線匹配(例如,從尖端至尖端一路到從正中心至外側邊緣)。在一範例中,SQA 530於相同的300mm晶圓覆蓋區中包括兩SDA 531和532,其中SDA 531和532配置成(例如,天線之直徑尺寸)以27.12MHz操作。在一實施例中,利用SQA 530的完美線匹配,兩SDA 531和532可固定於180度異相,且具有相等之功率(例如0.5kW的激發)。
圖5B-1是根據本揭示內容之一實施例的堆疊式偶極四極天線(SQA)530A之圖解,所述堆疊式偶極四極天線(AQA)530A包括伸出的纏結雙極螺旋天線。例如,SQA 530A可由GQA 230所形成,其中形成GQA 230之各個SDA的端部垂直抬起,且每一線圈之直徑係製成均勻的,使得SQA 530A在整個堆疊中具有均勻之直徑。例如,SDA 250的端部250A和SDA 240之端部240A係垂直抬起,以形成SQA 530A。另外,兩SDA 240和250的對應點對具有相同之距離。SQA 530A於高電流區域(例如,中心)和在尖端(例如,高電壓場/區域)提供與圖2C一致的輻射。
圖5B-2是根據本揭示內容之一實施例的堆疊式偶極四極天線(SQA)530B之圖解,所述堆疊式偶極四極天線(AQA)530B包括堆疊的兩 個偶極螺旋天線,其中每一偶極螺旋天線呈上凹配置。另外,兩個偶極天線之對應點對具有相同的距離,使得所述堆疊中之每一天線類似地受配置。SQA 530B在高電流區域(例如,中心)和於尖端(例如,高電壓場/區域)提供與圖2C一致的輻射。圖5B-3是根據本揭示內容之一實施例的堆疊式偶極四極天線(SQA)530C之圖解,所述堆疊式偶極四極天線(AQA)530C包括堆疊的兩個偶極螺旋天線,其中每一偶極螺旋天線呈下凹配置。另外,兩個偶極天線之對應點對具有相同的距離,使得所述堆疊中之每一天線類似地受到配置。SQA 530C在高電流區域(例如,中心)和於尖端(例如,高電壓場/區域)提供與圖2C一致的輻射。
圖5C說明根據本揭示內容之一實施例的RF SQA 530之S場訊跡500C(波印廷向量幅度圖)。例如,S場訊跡500C說明針對具有兩個堆疊式螺旋偶極天線的RF SQA 530之波印廷向量的近場波向量。RF SQA 530之完美線匹配可充分利用180度異相和等功率實現方式來產生均勻的近場波印廷向量圖。為了說明之目的,兩RF SDA 531和532之輸出功率為180°、每一者為0.5kW和在27MHz。由於兩個隔開1英寸的堆疊式SDA之線於「反向電流陣列」中是匝對匝匹配的,因此在中心沒有輻射「孔」,且沒有E點+ I點之角度(匝間)變化(亦即提供均勻性)。於一實施例中,在操作期間動態地調諧堆疊式SDA的相位(例如,除了180度異相之外)提供波印廷向量均勻性。
RF SQA 530的S場比RF SDA 130A更均勻和集中。如此,於電漿-窗口界面401之平面中(例如,平行於天線平面),有大的波向量(S)之分量,這對於產生表面波是有利的。再者,RF SQA 530之S場比GQA 230的S場(圖4C所示)得到更多改善。尤其是,由於RF SQA 530之完美線匹配,所以沒有如圖4C所示GQA 230之中心孔420所呈現之缺乏S場的中心孔。亦 即,於圖5C中,中心孔完全消失。更尤其是,RF SQA 530之整個中心區域和高電流區域具有更均勻的S場。這可由於RF SQA 530之SDA 531和532的兩接地線靠近所致,其中兩接地線之間的強E點在RF SQA 530之整個覆蓋區中產生強S。此外,當與GQA 230相比較時,S場的強度在RF SQA 530之整個覆蓋區內可更強。
圖6顯示控制上述系統的控制模組600。例如,控制模組600可包括處理器、記憶體、和一或更多界面。控制模組600可用以部分地基於所感測之值來控制系統中的裝置。僅作為範例,控制模組600可基於所感測之值和其他控制參數來控制閥門602、過濾器加熱器604、泵浦606、和其他裝置608的其中一或更多者。僅舉例而言,控制模組600從壓力計610、流量計612、溫度感測器614、及/或其他感測器616接收感測值。控制模組600亦可用以在前驅物輸送和膜沉積期間控制製程條件。控制模組600通常將包括一或更多記憶體裝置和一或更多處理器。
控制模組600可控制前驅物輸送系統和沉積設備之活動。控制模組600執行電腦程式,電腦程式包括用於控制製程時序、輸送系統溫度、及橫跨過濾器的壓力差、閥門位置、氣體混合物、腔室壓力、腔室溫度、基板溫度、RF功率位準、基板卡盤、或台座位置、及特定製程之其他參數的指令集。控制模組600亦可監視壓力差並自動將蒸氣前驅物之輸送從一或更多路徑切換至一或更多其他路徑。在一些實施例中,可採用存儲於與控制模組600相關聯的記憶體裝置上之其他電腦程式。
通常,將有與控制模組600相關聯的使用者界面。使用者界面可包括顯示器618(例如,設備及/或製程條件之顯示螢幕及/或圖形軟體顯示器)、及使用者輸入裝置620、例如指向裝置、鍵盤、觸控螢幕、麥克風等。
控制前驅物的輸送、沉積、和製程順序中之其他製程的電腦程式可用任何傳統之電腦可讀程式化語言來編寫:例如,組合語言、C、C++、Pascal、Fortran或其他者。由處理器執行已編譯的目標碼或腳本,以施行程式中所標識之任務。
控制模組參數有關製程條件、例如過濾器壓力差、製程氣體成分和流速、溫度、壓力、例如RF功率位準和低頻RF頻率的電漿條件、冷卻氣體壓力、和腔室壁溫度。
系統軟體可以許多不同方式設計或配置。例如,可編寫諸多腔室部件子程式或控制物件,以控制進行本發明的沉積製程所需之腔室部件的操作。為此目的之程式或程式部分的範例包括基板定位碼、製程氣體控制碼、壓力控制碼、加熱器控制碼、和電漿控制碼。
基板定位程式可包括用來控制腔室部件之程式碼,所述腔室部件用於將基板裝載至台座或卡盤上,並控制基板和腔室的其他零件(例如進氣口及/或目標)之間的間距。製程氣體控制程式可包括用來控制氣體組成和流速以及可選地用來在沉積之前使氣體流入腔室以便使腔室中壓力穩定之碼。過濾器監控程式包括將所測量的差值與預定值進行比較之碼及/或用於切換路徑的碼。壓力控制程式可包括用於藉由調節例如腔室之排氣系統中的節流閥來控制腔室中之壓力的碼。加熱器控制程式可包括用來控制流向加熱單元之電流的碼,所述加熱單元用來加熱前驅物輸送系統中之部件、基板及/或系統的其他部分。或者,加熱器控制程式可控制例如氦之熱傳氣體向基板卡盤的輸送。
可在沉積期間監控之感測器的範例包括、但不限於坐落於輸送系統、台座或卡盤中之質量流量控制模組、壓力感測器、和熱電偶、及狀態感測器等。可將適當程式化之反饋和控制演算法與來自這些感測器的資料 一起使用,以維持所期望之製程條件。上文敘述在單腔室或多腔室半導體處理工具中的揭示內容之實施例的實施。
於一些實施例中,控制器為系統之零件,其可為上述範例的零件。此類系統可包含半導體處理設備,包括一或更多處理工具、一或更多腔室、一或更多用於處理之平台、及/或特定的處理部件(基板台座、氣流系統等)。這些系統可與電子元件整合,用以控制其在半導體晶圓或基板的處理之前、期間、和之後的操作。電子元件可稱為「控制器」,其可控制一或更多系統之諸多部件或子零件。取決於處理要求及/或系統的類型,可程式化所述控制器,以控制本文所揭示之任何製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置和操作設定、基板傳送進出工具和其他連接至特定系統或與特定系統介接之傳送工具及/或負載鎖。
廣義上講,控制器可定義為具有諸多積體電路、邏輯、記憶體、及/或軟體的電子元件,其接收指令、發送指令、控制操作、啟用清潔操作、啟用端點測量等。所述積體電路可包括呈儲存程式指令之韌體形式的晶片、數位信號處理器(DSPs)、定義為特定應用積體電路(ASICs)之晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多單獨設定(或程式檔案)之形式傳遞給控制器的指令,其定義用於在半導體晶圓或系統上或針對半導體晶圓或系統進行特定製程之操作參數。於一些實施例中,操作參數可為藉由製程工程師所定義之配方的一部分,以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間完成一或更多處理步驟。
於一些實施例中,控制器可為電腦的一部分或耦接至電腦, 所述電腦與系統整合、耦接至系統、以別的方式聯網至所述系統、或其組合。例如,控制器可為位於工廠主機電腦系統之全部或一部份的「雲端」中,其可允許晶圓處理的遠端存取。電腦可啟用對系統之遠端存取,以監控製造操作的當前進度、檢查過去製造操作之歷史、檢查來自複數個製造操作的趨勢或性能度量、改變當前處理之參數、將處理步驟設定成遵循當前處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)能透過網路向系統提供製程配方,所述網路可包括區域網路或網際網路。
遠端電腦可包括實現參數及/或設定之輸入或程式化的使用者介面,所述參數及/或設定接著從遠端電腦傳遞至所述系統。於一些範例中,控制器接收呈資料形式之指令,其指定在一或更多操作期間待施行的每一處理步驟之參數。將受理解,所述參數可為專用於待施行之製程的類型,及控制器配置成與之介接或對其加以控制的工具之類型。因此,如上所述,控制器可為分佈式,例如藉由包含一或更多以網路連結在一起且朝著共同目的(例如本文所敘述之製程和控制)工作的離散控制器。用於此等目的之分佈式控制器的範例將為在腔室上之一或更多積體電路,其與遠端定位的一或更多積體電路(例如在平台等級或作為遠端電腦之一部分)通訊,並組合來在腔室上控制製程。
沒有限制地,示範系統可包括電漿蝕刻室或模組、沈積室或模組、自旋洗滌室或模組、金屬電鍍室或模組、清潔室或模組、斜邊蝕刻室或模組、物理氣相沈積(PVD)室或模組、化學氣相沈積(CVD)室或模組、原子層沈積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及可關聯於或用於半導體晶圓之製造及/或製作的任何其他半導體處理系統。
如上所述,取決於待藉由工具所施行之一或更多製程步驟, 控制器可與其他工具電路或模組、其他工具部件、群集工具、其他工具介面、鄰近工具、相鄰工具、遍布工廠坐落的工具、主要電腦、另一控制器、或用於將晶圓容器帶至工具位置及由工具位置帶離晶圓容器之材料運輸的工具、及/或半導體製造工廠中之裝載埠口的一或更多個通訊。
為了說明和敘述之目的,已提供實施例之以上敘述。其並非意欲窮舉或限制本揭示內容。特定實施例的個別元件或特徵通常不受限於此特定實施例,而是在適用之情況下可互換的,並且即使未具體顯示或敘述也可用在所選擇之實施例中。同樣也能以許多方式變動。此類變動不應視為脫離本揭示內容,且所有此類修改意欲涵括於本揭示內容的範圍內。
儘管出於清楚理解之目的已更詳細地敘述以上實施例,但是將顯而易見的是,可在所附請求項之範圍內實踐某些改變和修改。因此,本實施例應認為是說明性而不是限制性的,且實施例不限於本文中所給出之細節,但是可在其請求項的範圍和同等項內進行修改。
230:RF星系四極天線
240:第一SDA
240A:端部
240B:端部
241:連接點
242:連接點
250:第二SDA
250A:端部
250B:端部
251:連接點
252:連接點

Claims (10)

  1. 一種產生電漿的設備,包含:四極天線,具有中心區域和外部區域,並配置為設置在電漿室之介電窗口上方,該四極天線包括界定第一螺旋偶極天線(SDA)的第一線圈、及界定第二SDA之第二線圈,該第一線圈在該第二線圈內呈嵌套配置,其中當該第一和第二線圈從該中心區域向該外部區域螺旋時,該嵌套配置將該第一線圈的匝放置為鄰近該第二線圈之對應匝,其中當設置在該介電窗口上方時,該第一線圈的該匝和該第二線圈之該對應匝彼此水平地分開一距離。
  2. 如請求項1之產生電漿的設備,其中該第一SDA在端部之間是連續的,且包括連接至接地之第一中心點;其中該第二SDA在端部之間是連續的,且包括連接至接地之第二中心點;且其中該第一SDA的第一中心點定向成與該第二SDA之第二中心點隔180度角。
  3. 如請求項2之產生電漿的設備,更包含:其中該第一SDA配置為經由第一可滑動連接點與該第一中心點相距第一近距離從第一RF電源在一頻率接收第一射頻(RF)信號,該第一近距離可加以調諧以供該第一RF電源和該第一SDA之間的阻抗匹配;其中該第二SDA配置為經由第二可滑動連接點與該第二中心點相距第二近距離從第二RF電源在該頻率接收第二RF信號,該第二近距離可加以調諧以供該第二RF電源和該第二SDA之間的阻抗匹配。
  4. 如請求項3之產生電漿的設備,其中該第一SDA和該第二SDA之每一者的長度大約等於與該第一RF信號和該第二RF信號之頻率對應的波長之一半。
  5. 如請求項3之產生電漿的設備,其中該第一RF信號和該第二RF信號異相,且其中該四極天線配置為反向電流陣列,使得該第一RF信號諧振該第一SDA中之第一RF電流,且該第二RF信號諧振該第二SDA中與該第一RF電流相反之第二RF電流。
  6. 如請求項5之產生電漿的設備,其中該第一RF信號和該第二RF信號為180度異相。
  7. 如請求項3之產生電漿的設備,其中該第一RF信號和該第二RF信號具有相等之功率。
  8. 如請求項1之產生電漿的設備,其中該四極天線以一間隔設置在該介電窗口上方,該間隔可加以調諧以供阻抗匹配。
  9. 如請求項1之產生電漿的設備,更包含:致動器,其耦接至該四極天線並配置為調整該四極天線與該介電窗口之間的間隔,以施行第一RF電源和該第一SDA與第二RF電源和該第二SDA之間的阻抗匹配,其中該第一SDA配置為從該第一RF電源在一頻率接收第一RF信號,該第二SDA配置為從該第二RF電源在該頻率接收第二RF信號。
  10. 如請求項1之產生電漿的設備,其中該第一SDA和該第二SDA之對應端部組合來輻射位移電流,其中該四極天線產生通過該介電窗口作為沿著電漿-窗口界面的表面波之電磁場,該表面波激發並電離至少一製程氣體,以在該電漿室內產生電漿。
TW108136357A 2018-10-10 2019-10-08 產生電漿的設備 TWI829781B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16/156,837 2018-10-10
US16/156,837 US10354838B1 (en) 2018-10-10 2018-10-10 RF antenna producing a uniform near-field Poynting vector
US16/512,285 US10685810B2 (en) 2018-10-10 2019-07-15 RF antenna producing a uniform near-field Poynting vector
US16/512,285 2019-07-15

Publications (2)

Publication Number Publication Date
TW202037236A TW202037236A (zh) 2020-10-01
TWI829781B true TWI829781B (zh) 2024-01-21

Family

ID=67220401

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108136357A TWI829781B (zh) 2018-10-10 2019-10-08 產生電漿的設備

Country Status (4)

Country Link
US (2) US10354838B1 (zh)
KR (1) KR102337508B1 (zh)
TW (1) TWI829781B (zh)
WO (1) WO2020076413A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EA038651B1 (ru) * 2016-07-18 2021-09-29 Неотерма Онколоджи, Инк. Системы и способы для целевой глубокой гипертермии разделяемыми во времени радиочастотными индуктивными аппликаторами
EP3648552B1 (en) * 2017-06-27 2022-04-13 Canon Anelva Corporation Plasma treatment device
KR102421625B1 (ko) * 2017-06-27 2022-07-19 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
EP3648551B1 (en) 2017-06-27 2021-08-18 Canon Anelva Corporation Plasma treatment device
WO2019003312A1 (ja) * 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
KR102439024B1 (ko) 2018-06-26 2022-09-02 캐논 아네르바 가부시키가이샤 플라스마 처리 장치, 플라스마 처리 방법, 프로그램, 및 메모리 매체
US10354838B1 (en) * 2018-10-10 2019-07-16 Lam Research Corporation RF antenna producing a uniform near-field Poynting vector
US11158488B2 (en) 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
KR20230049636A (ko) * 2020-07-15 2023-04-13 램 리써치 코포레이션 역 동기화된 플라즈마 챔버의 rf 코일들의 펄싱
WO2022146648A1 (en) * 2020-12-28 2022-07-07 Mattson Technology, Inc. Induction coil assembly for plasma processing apparatus
CN117795640A (zh) * 2021-08-06 2024-03-29 朗姆研究公司 用于薄介电膜沉积的变压器耦合等离子体源设计
JP7417569B2 (ja) 2021-10-29 2024-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998042012A1 (fr) * 1997-03-17 1998-09-24 Matsushita Electric Industrial Co., Ltd. Procede et dispositif permettant le traitement de plasma
TW352500B (en) * 1996-12-04 1999-02-11 Mc Electronics Co Ltd A process for fabricating a product using a high frequency plasma, and apparatus for the same
US8415885B2 (en) * 2010-03-18 2013-04-09 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
TWI524820B (zh) * 2009-04-28 2016-03-01 Tokyo Electron Ltd Plasma processing device
TW201630029A (zh) * 2014-11-05 2016-08-16 Tokyo Electron Ltd 電漿處理裝置及電漿處理方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
CN101189684B (zh) * 2005-03-07 2013-04-24 加州大学评议会 等离子体发电系统
US8031824B2 (en) * 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
ES2343264T3 (es) 2006-11-10 2010-07-27 Dublin City University Fuente de plasma con una pluralidad de electrodos desfasados.
US9137884B2 (en) 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
KR100976552B1 (ko) 2008-02-20 2010-08-17 세메스 주식회사 밀도 조절이 가능한 플라즈마 발생 장치
KR101316438B1 (ko) * 2009-03-04 2013-10-08 자크리토에 악치오네르노에 오브쉐스트보 프로톰 다중-필드 하전 입자 암 치료 방법 및 장치
JP5554047B2 (ja) 2009-10-27 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置
JP5781349B2 (ja) * 2011-03-30 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置
US9797310B2 (en) * 2015-04-02 2017-10-24 General Electric Company Heat pipe temperature management system for a turbomachine
US10354838B1 (en) 2018-10-10 2019-07-16 Lam Research Corporation RF antenna producing a uniform near-field Poynting vector

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW352500B (en) * 1996-12-04 1999-02-11 Mc Electronics Co Ltd A process for fabricating a product using a high frequency plasma, and apparatus for the same
WO1998042012A1 (fr) * 1997-03-17 1998-09-24 Matsushita Electric Industrial Co., Ltd. Procede et dispositif permettant le traitement de plasma
TWI524820B (zh) * 2009-04-28 2016-03-01 Tokyo Electron Ltd Plasma processing device
US8415885B2 (en) * 2010-03-18 2013-04-09 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
TW201630029A (zh) * 2014-11-05 2016-08-16 Tokyo Electron Ltd 電漿處理裝置及電漿處理方法

Also Published As

Publication number Publication date
TW202037236A (zh) 2020-10-01
US20200118792A1 (en) 2020-04-16
WO2020076413A1 (en) 2020-04-16
KR20210055106A (ko) 2021-05-14
KR102337508B1 (ko) 2021-12-09
US10354838B1 (en) 2019-07-16
US10685810B2 (en) 2020-06-16

Similar Documents

Publication Publication Date Title
TWI829781B (zh) 產生電漿的設備
CN107527785B (zh) 通过使用耦合环内的电极来控制边缘区域中的离子的方向性的系统和方法
US10083818B2 (en) Auto frequency tuned remote plasma source
JP4646272B2 (ja) プラズマ加工装置
CN110462798A (zh) 在感应耦合等离子体处理室内以低偏压产生近衬底补充等离子体密度
KR100602074B1 (ko) 트랜스포머 결합 평형 안테나를 가진 플라즈마 발생장치
US10937631B2 (en) Plasma processing apparatus and plasma processing method
TWI448212B (zh) 電漿處理之設備與方法
US9779953B2 (en) Electromagnetic dipole for plasma density tuning in a substrate processing chamber
US10115566B2 (en) Method and apparatus for controlling a magnetic field in a plasma chamber
US20090133838A1 (en) Plasma Processor Apparatus
JP7431255B2 (ja) マルチレベルrf電力パルシングのために高周波(rf)信号発生器の自動周波数調整を行う方法およびシステム
KR102610976B1 (ko) 고전력 rf 나선 코일 필터
KR102505150B1 (ko) 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들
JP3854909B2 (ja) プラズマ処理装置
US20210183618A1 (en) Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
CN107295738A (zh) 一种等离子体处理装置
TW202004831A (zh) 電漿處理裝置
JP6675260B2 (ja) 変圧器、プラズマ処理装置、及び、プラズマ処理方法
KR101712263B1 (ko) 헬리컬공명플라즈마 안테나 및 이를 구비하는 플라즈마 발생 장치
CN113272935B (zh) 用于等离子体处理室的斐波那契线圈
TWI835993B (zh) 特高頻(vhf)電漿處理系統及方法
TW202044403A (zh) 特高頻(vhf)電漿處理系統及方法