KR102505150B1 - 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들 - Google Patents

변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들 Download PDF

Info

Publication number
KR102505150B1
KR102505150B1 KR1020227013719A KR20227013719A KR102505150B1 KR 102505150 B1 KR102505150 B1 KR 102505150B1 KR 1020227013719 A KR1020227013719 A KR 1020227013719A KR 20227013719 A KR20227013719 A KR 20227013719A KR 102505150 B1 KR102505150 B1 KR 102505150B1
Authority
KR
South Korea
Prior art keywords
radio frequency
transformer
frequency signal
coaxial cable
coil
Prior art date
Application number
KR1020227013719A
Other languages
English (en)
Other versions
KR20220057645A (ko
Inventor
수닐 카푸어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237006703A priority Critical patent/KR20230032001A/ko
Publication of KR20220057645A publication Critical patent/KR20220057645A/ko
Application granted granted Critical
Publication of KR102505150B1 publication Critical patent/KR102505150B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F27/00Details of transformers or inductances, in general
    • H01F27/28Coils; Windings; Conductive connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F27/00Details of transformers or inductances, in general
    • H01F27/28Coils; Windings; Conductive connections
    • H01F27/2823Wires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F19/00Fixed transformers or mutual inductances of the signal type
    • H01F19/04Transformers or mutual inductances suitable for handling frequencies considerably beyond the audio range
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F27/00Details of transformers or inductances, in general
    • H01F27/28Coils; Windings; Conductive connections
    • H01F27/288Shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F27/00Details of transformers or inductances, in general
    • H01F27/34Special means for preventing or reducing unwanted electric or magnetic effects, e.g. no-load losses, reactive currents, harmonics, oscillations, leakage fields
    • H01F27/36Electric or magnetic shields or screens
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F27/00Details of transformers or inductances, in general
    • H01F27/34Special means for preventing or reducing unwanted electric or magnetic effects, e.g. no-load losses, reactive currents, harmonics, oscillations, leakage fields
    • H01F27/38Auxiliary core members; Auxiliary coils or windings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/46Networks for connecting several sources or loads, working on different frequencies or frequency bands, to a common load or source
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F27/00Details of transformers or inductances, in general
    • H01F27/28Coils; Windings; Conductive connections
    • H01F27/2823Wires
    • H01F2027/2833Wires using coaxial cable as wire

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Multimedia (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Coils Of Transformers For General Uses (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

변압기는 주 코일 및 보조 코일을 포함한다. 주 코일은: 제 1 동축 케이블의 제 1 차폐부; 제 2 동축 케이블의 제 2 차폐부; 및 제 1 차폐부를 제 2 차폐부에 연결하는 전도성 상호 연결부를 포함한다. 보조 코일은: 제 1 동축 케이블의 제 1 코어; 제 2 동축 케이블의 제 2 코어; 및 제 1 코어를 제 2 코어에 연결하는 한 쌍의 전도성 라인들을 포함한다.

Description

변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들
본 개시는 반도체 및 SSD들 (Solid State Devices) 의 제작 및 처리를 위한 장치들, 보다 구체적으로 기판 프로세싱 시스템들의 무선 주파수 (Radio Frequency; RF) 분배 회로들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하기 위해 사용될 수도 있다. 기판 처리들의 예들은 에칭, 증착, 등을 포함한다. 프로세싱 동안, 기판은 정전 척 (electrostatic chuck; ESC) 과 같은 기판 지지부 상에 배치되고, 하나 이상의 프로세스 가스들이 프로세싱 챔버 내로 도입될 수도 있다.
하나 이상의 프로세스 가스들은 가스 전달 시스템에 의해 프로세싱 챔버로 전달될 수도 있다. 일부 예들에서, 가스 전달 시스템은 프로세싱 챔버 내에 위치되는 샤워헤드에 연결된 매니폴드를 포함한다. 예로서, 에칭 프로세스 동안, 기판 프로세싱 시스템의 ESC 상에 기판이 배치될 수도 있고, 기판 상의 박막이 에칭된다. 또 다른 예로서, ALD (Atomic Layer Deposition) 를 사용하여 기판 상에 박막이 증착된다. 기판의 프로세싱 동안 하나 이상의 RF 신호들이 플라즈마 이온화 밀도 및 이온화 에너지를 조정하기 위해 샤워헤드의 전극에 공급될 수도 있다.
관련 출원들에 대한 교차 참조
본 출원은 2019년 10월 1일에 출원된 미국 특허 가출원 번호 제 62/908,846 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
변압기가 제공되고, 주 코일 (primary coil) 및 보조 코일 (secondary coil) 을 포함한다. 주 코일은: 제 1 동축 케이블의 제 1 차폐부; 제 2 동축 케이블의 제 2 차폐부; 및 제 1 차폐부를 제 2 차폐부에 연결하는 전도성 상호 연결부 (interconnector) 를 포함한다. 보조 코일은: 제 1 동축 케이블의 제 1 코어; 제 2 동축 케이블의 제 2 코어; 및 제 1 코어를 제 2 코어에 연결하는 한 쌍의 전도성 라인들을 포함한다.
다른 특징들에서, 제 1 동축 케이블은 제 2 동축 케이블에 평행하게 연장한다. 다른 특징들에서, 제 1 코어, 제 2 코어, 및 한 쌍의 전도성 라인들의 길이들의 합은 제 1 동축 케이블 및 제 2 동축 케이블 각각의 길이의 배수에 기초하거나 이와 동일한 것 중 적어도 하나이다. 다른 특징들에서, 제 1 동축 케이블 및 제 2 동축 케이블 각각의 길이는 변압기에 의해 송신된 무선 주파수 신호의 파장의 분수 배수에 기초하거나 이와 동일한 것 중 적어도 하나이다.
다른 특징들에서, 무선 주파수 분배 회로가 제공되고, 무선 주파수 생성기 및 변압기를 포함한다. 무선 주파수 생성기는 무선 주파수의 주파수 컴포넌트를 포함하는 제 1 무선 주파수 신호를 생성하기 위한 것이다. 변압기는 제 1 무선 주파수 신호를 제 2 무선 주파수 신호로 변환하기 위한 것이고, 제 2 무선 주파수 신호는 제 1 무선 주파수의 주파수 컴포넌트를 포함한다.
다른 특징들에서, 기판 프로세싱 시스템이 제공되고, 무선 주파수 분배 회로, 프로세스 챔버, 샤워헤드, 및 기판 지지부를 포함한다. 샤워헤드는 전극을 포함하고, 프로세스 챔버 내에 구현된다. 기판 지지부는 샤워헤드에 인접하여 프로세스 챔버 내에 구현된다. 변압기는 전극에 제 2 무선 주파수 신호를 공급하기 위한 것이다.
다른 특징들에서, 무선 주파수 분배 회로가 제공되고, 제 1 필터, 제 2 필터, 제 1 매칭 네트워크, 제 2 매칭 네트워크, 및 변압기 커플링된 결합기 (combiner) 를 포함한다. 제 1 필터는 적어도 하나의 무선 주파수 생성기로부터 제 1 무선 주파수 신호 및 제 2 무선 주파수 신호를 수신하고 제 1 무선 주파수 신호를 필터링하기 위한 것이고, 제 1 무선 주파수 신호는 제 1 주파수에 있고 제 2 무선 주파수 신호는 제 2 주파수에 있고, 그리고 제 2 주파수는 제 1 주파수보다 작다. 제 2 필터는 적어도 하나의 무선 주파수 생성기로부터 제 1 무선 주파수 신호 및 제 2 무선 주파수 신호를 수신하고, 제 1 무선 주파수 신호를 필터링하기 위한 것이다. 제 1 매칭 네트워크는 적어도 하나의 무선 주파수 생성기의 출력을 제 1 필터의 입력에 매칭시키기 위한 것이다. 제 2 매칭 네트워크는 적어도 하나의 무선 주파수 생성기의 출력을 제 2 필터의 입력에 매칭시키기 위한 것이다. 변압기 커플링된 결합기는, 제 1 무선 주파수 신호를 제 3 무선 주파수 신호로 변환하고, 제 2 무선 주파수 신호를 제 4 무선 주파수 신호로 변환하고, 그리고 제 1 무선 주파수 신호를 제 2 무선 주파수 신호와 또는 제 3 무선 주파수 신호를 제 4 무선 주파수 신호와 결합시키기 위한 것이다. 제 3 무선 주파수 신호는 제 1 무선 주파수의 주파수 컴포넌트를 포함한다. 제 4 무선 주파수 신호는 제 2 무선 주파수의 주파수 컴포넌트를 포함한다.
다른 특징들에서, 변압기 커플링된 결합기는: 제 1 필터의 출력을 수신하기 위한 제 1 변압기; 및 제 2 필터의 출력을 수신하기 위한 제 2 변압기를 포함한다.
다른 특징들에서, 제 1 변압기는 주 코일 및 보조 코일을 포함한다. 주 코일은 제 1 필터에 연결된다. 제 2 변압기는 주 코일 및 보조 코일을 포함한다. 주 코일은 제 2 필터 및 제 1 변압기의 주 코일에 연결된다. 보조 코일은 제 1 변압기의 보조 코일에 연결된다.
다른 특징들에서, 제 1 변압기의 주 코일 및 보조 코일은 접지 기준에 연결된다. 제 2 변압기의 주 코일 및 보조 코일은 접지 기준에 연결된다.
다른 특징들에서, 제 1 변압기는 주 코일 및 보조 코일을 포함한다. 주 코일은: 제 1 동축 케이블의 제 1 차폐부; 제 2 동축 케이블의 제 2 차폐부; 및 제 1 차폐부를 제 2 차폐부에 연결하는 전도성 상호 연결부를 포함한다. 보조 코일은: 제 1 동축 케이블의 제 1 코어; 제 2 동축 케이블의 제 2 코어; 및 제 1 코어를 제 2 코어에 연결하는 한 쌍의 전도성 라인들을 포함한다.
다른 특징들에서, 제 1 동축 케이블은 제 2 동축 케이블에 평행하게 연장한다. 다른 특징들에서, 제 1 코어, 제 2 코어, 및 한 쌍의 전도성 라인들의 길이들의 합은 제 1 동축 케이블 및 제 2 동축 케이블 각각의 길이의 배수에 기초하거나 이와 동일한 것 중 적어도 하나이다. 다른 특징들에서, 제 1 동축 케이블 및 제 2 동축 케이블 각각의 길이는 제 1 무선 주파수 신호의 파장의 분수 배수에 기초하거나 이와 동일한 것 중 적어도 하나이다.
다른 특징들에서, 변압기 커플링된 결합기는 제 1 변압기를 포함한다. 제 1 변압기는: 제 1 필터에 연결된 제 1 주 코일; 제 2 필터에 연결된 제 2 주 코일; 제 3 무선 주파수 신호를 수신하도록 연결된 제 1 보조 코일; 및 제 4 무선 주파수 신호를 수신하도록 연결된 제 2 보조 코일을 포함한다.
다른 특징들에서, 제 1 변압기는 제 3 보조 코일을 포함한다. 제 3 보조 코일은 제 5 무선 주파수 신호를 수신하기 위한 것이다. 제 5 무선 주파수 신호는 제 1 주파수의 주파수 컴포넌트 및 제 2 주파수의 주파수 컴포넌트를 포함한다.
다른 특징들에서, 변압기 커플링된 결합기는 제 1 주 코일, 제 2 주 코일, 제 1 보조 코일, 및 제 2 보조 코일을 포함한다. 제 1 주 코일은 제 1 필터에 연결된다. 제 2 주 코일은 제 2 필터에 연결된다. 제 1 보조 코일은 제 3 무선 주파수 신호를 출력한다. 제 3 무선 주파수 신호는 각각 제 1 무선 주파수 및 제 2 무선 주파수의 주파수 컴포넌트들을 포함한다. 제 2 보조 코일은 제 4 무선 주파수 신호를 출력한다. 제 4 무선 주파수 신호는 각각 제 1 무선 주파수 및 제 2 무선 주파수의 주파수 컴포넌트들을 포함한다.
다른 특징들에서, 변압기 커플링된 결합기는 제 3 보조 코일 및 제 4 보조 코일을 포함한다. 제 3 보조 코일은 제 5 무선 주파수 신호를 출력한다. 제 5 무선 주파수 신호는 각각 제 1 무선 주파수 및 제 2 무선 주파수의 주파수 컴포넌트들을 포함한다. 제 4 보조 코일은 제 6 무선 주파수 신호를 출력한다. 제 6 무선 주파수 신호는 각각 제 1 무선 주파수 및 제 2 무선 주파수의 주파수 컴포넌트들을 포함한다.
다른 특징들에서, 기판 프로세싱 시스템이 제공되고, 무선 주파수 분배 회로, 프로세스 챔버, 샤워헤드, 및 기판 지지부를 포함한다. 샤워헤드는 전극을 포함하고, 프로세스 챔버 내에 구현된다. 기판 지지부는 샤워헤드에 인접하여 프로세스 챔버 내에 구현된다.
기판 프로세싱 시스템의 전극에 RF 전력을 공급하기 위한 RF 분배 회로가 또한 제공되고, 제 1 RF 생성기, 제 1 필터, 제 1 매칭 네트워크, 및 제 1 변압기를 포함한다. 제 1 RF 생성기는 제 1 RF의 주파수 컴포넌트를 포함하는 제 1 RF 신호를 생성한다. 제 1 필터는 제 1 RF 신호 이외의 기판 프로세싱 시스템에서 생성된 하나 이상의 RF 신호들을 필터링한다. 제 1 매칭 네트워크는 제 1 RF 생성기의 출력을 제 1 필터의 입력에 매칭시킨다. 제 1 변압기는, 제 1 RF 신호를 제 2 RF 신호로 변환하고―제 2 RF 신호는 제 1 RF의 주파수 컴포넌트를 포함함―, 그리고 기판 프로세싱 시스템의 프로세스 챔버 내에서 플라즈마 이온화 밀도 및 이온화 에너지를 조정하도록 전극에 제 2 RF 신호를 공급한다.
다른 특징들에서, 기판 프로세싱 시스템이 제공되고, RF 분배 회로, 프로세스 챔버, 샤워헤드, 및 기판 지지부를 포함한다. 샤워헤드는 전극을 포함하고, 프로세스 챔버 내에 구현된다. 기판 지지부는 샤워헤드에 인접하여 프로세스 챔버 내에 구현된다.
다른 특징들에서, 변압기는 주 코일 및 보조 코일을 포함한다. 주 코일은 제 1 동축 케이블의 제 1 차폐부, 제 2 동축 케이블의 제 2 차폐부, 및 제 1 차폐부를 제 2 차폐부에 연결하는 전도성 상호 연결부를 포함한다. 보조 코일은 제 1 동축 케이블의 제 1 코어, 제 2 동축 케이블의 제 2 코어, 및 제 1 코어를 제 2 코어에 연결하는 한 쌍의 전도성 라인들을 포함한다. 다른 특징들에서, 제 1 동축 케이블은 제 2 동축 케이블에 평행하게 연장한다.
다른 특징들에서, 제 1 코어, 제 2 코어 및 한 쌍의 전도성 라인들의 길이들의 합은 제 1 동축 케이블 및 제 2 동축 케이블 각각의 길이의 4 배와 동일하다. 다른 특징들에서, 제 1 동축 케이블 및 제 2 동축 케이블 각각의 길이는 제 1 RF 신호의 파장의 1/4과 동일하다.
다른 특징들에서, RF 분배 회로는: 제 2 RF의 주파수 컴포넌트를 포함하는 제 3 RF 신호를 생성하기 위한 제 2 RF 생성기로서, 제 2 RF는 제 1 RF보다 작은, 제 2 RF 생성기; 제 1 RF 신호를 필터링하기 위한 제 2 필터로서, 제 1 필터는 제 3 RF 신호를 필터링하는, 제 2 필터; 및 제 2 RF 생성기의 출력을 제 2 필터의 입력에 매칭시키기 위한 제 2 매칭 네트워크를 더 포함한다.
다른 특징들에서, RF 분배 회로는 제 2 필터의 출력을 수신하고, 제 3 RF 신호를 제 4 RF 신호로 변환하고, 그리고 전극에 제 4 RF 신호를 공급하기 위한 제 2 변압기를 더 포함한다.
다른 특징들에서, 기판 프로세싱 시스템이 제공되고, RF 분배 회로, 프로세스 챔버, 전극을 포함하고 프로세스 챔버 내에 구현된 샤워헤드, 및 샤워헤드에 인접하여 프로세스 챔버 내에 구현된 기판 지지부를 포함한다.
다른 특징들에서, 제 1 변압기는 제 1 필터에 연결된 주 코일 및 전극에 연결된 보조 코일을 포함한다. 제 2 변압기는: 제 2 필터 및 제 1 변압기의 주 코일에 연결된 주 코일; 및 제 1 변압기의 보조 코일 및 전극에 연결된 보조 코일을 포함한다.
다른 특징들에서, 제 1 변압기의 주 코일 및 보조 코일은 접지 기준에 연결된다. 제 2 변압기의 주 코일 및 보조 코일은 접지 기준에 연결된다.
다른 특징들에서, 제 1 변압기는 주 코일 및 보조 코일을 포함한다. 주 코일은 제 1 동축 케이블의 제 1 차폐부, 제 2 동축 케이블의 제 2 차폐부, 및 제 1 차폐부를 제 2 차폐부에 연결하는 전도성 상호 연결부를 포함한다. 보조 코일은 제 1 동축 케이블의 제 1 코어, 제 2 동축 케이블의 제 2 코어, 및 제 1 코어를 제 2 코어에 연결하는 한 쌍의 전도성 라인들을 포함한다. 다른 특징들에서, 제 1 동축 케이블은 제 2 동축 케이블에 평행하게 연장한다. 다른 특징들에서, 제 1 코어, 제 2 코어 및 한 쌍의 전도성 라인들의 길이들의 합은 제 1 동축 케이블 및 제 2 동축 케이블 각각의 길이의 4 배와 동일하다. 다른 특징들에서, 제 1 동축 케이블 및 제 2 동축 케이블 각각의 길이는 제 1 RF 신호의 파장의 1/4과 동일하다.
다른 특징들에서, 제 1 변압기는: 제 1 필터에 연결된 제 1 주 코일; 제 2 필터에 연결된 제 2 주 코일; 및 전극에 연결되고 제 1 RF 신호 및 제 3 RF 신호를 수신하는 제 1 보조 코일을 포함한다. 다른 특징들에서, 전극은 제 1 전극이다. 제 1 변압기는 제 2 전극에 연결되고 제 2 RF 신호 및 제 4 RF 신호를 수신하기 위한 제 2 보조 코일을 포함한다.
다른 특징들에서, 기판 프로세싱 시스템이 제공되고, RF 분배 회로, 프로세스 챔버, 샤워헤드, 및 기판 지지부를 포함한다. 샤워헤드는 전극을 포함하고, 프로세스 챔버 내에 구현된다. 기판 지지부는 샤워헤드에 인접하여 프로세스 챔버 내에 구현된다.
다른 특징들에서, 제 1 변압기는 제 3 샤워헤드에 연결되고 제 2 RF 신호 및 제 4 RF 신호를 수신하기 위한 제 3 보조 코일을 포함한다. 다른 특징들에서, 제 1 변압기는: 제 1 필터에 연결된 제 1 주 코일; 제 2 필터에 연결된 제 2 주 코일; 전극에 연결되고 제 2 RF 신호를 출력하는 제 1 보조 코일로서, 제 2 RF 신호는 제 2 RF의 주파수 컴포넌트를 포함하고, 그리고 전극은 제 1 전극인, 제 1 보조 코일; 및 제 2 전극에 연결되고 제 4 RF 신호를 출력하는 제 2 보조 코일을 포함한다. 제 4 RF 신호는 각각 제 1 RF 및 제 2 RF의 주파수 컴포넌트들을 포함한다.
다른 특징들에서, 제 1 변압기는: 제 5 RF 신호를 제 3 전극으로 출력하는 제 3 보조 코일; 각각 제 1 RF 및 제 2 RF의 주파수 컴포넌트들을 포함하는 제 5 RF 신호; 제 6 RF 신호를 제 4 전극으로 출력하는 제 4 보조 코일; 및 각각 제 1 RF 및 제 2 RF의 주파수 컴포넌트들을 포함하는 제 6 RF 신호를 포함한다.
다른 특징들에서, 기판 프로세싱 시스템의 전극에 RF 전력을 공급하기 위한 RF 분배 회로가 제공되고, RF 생성기, 변압기, 및 매칭 네트워크를 포함한다. RF 생성기는 제 1 RF 신호를 생성하기 위한 것이다. 변압기는 제 1 RF 신호를 제 2 RF 신호로 변환하고 기판 프로세싱 시스템의 프로세스 챔버 내에서 플라즈마 이온화 밀도 및 이온화 에너지를 조정하도록 제 2 RF 신호를 전극에 공급하기 위한 것이다. 매칭 네트워크는 RF 생성기의 출력을 변압기의 입력에 매칭시키기 위한 것이다. 다른 특징들에서, 기판 프로세싱 시스템이 제공되고, RF 분배 회로, 프로세스 챔버, 샤워헤드, 및 기판 지지부를 포함한다. 샤워헤드는 전극을 포함하고, 프로세스 챔버 내에 구현된다. 기판 지지부는 샤워헤드에 인접하여 프로세스 챔버 내에 구현된다.
본 개시의 추가 적용 가능 영역들은 상세한 기술 (description), 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1a는 제 1 부하 임피던스에 대한 비변압기 기반 RF 분배 회로의 예시적인 입력 임피던스를 예시하는 스미스 차트 (Smith chart) 이다.
도 1b는 제 2 부하 임피던스에 대한 RF 분배 회로의 또 다른 예시적인 입력 임피던스를 예시하는 스미스 차트이다.
도 1c는 제 3 부하 임피던스에 대한 RF 분배 회로의 또 다른 예시적인 입력 임피던스를 예시하는 스미스 차트이다.
도 2는 본 개시의 일 실시 예에 따른 변압기를 포함하는 RF 분배 회로를 포함하는 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 3은 본 개시의 실시 예에 따른 변압기를 포함하는 RF 분배 회로의 예의 기능적 블록도이다.
도 4a는 제 1 부하 임피던스에 대한 도 3의 RF 분배 회로의 예시적인 입력 임피던스를 예시하는 스미스 차트이다.
도 4b는 제 2 부하 임피던스에 대한 도 3의 RF 분배 회로의 또 다른 예시적인 입력 임피던스를 예시하는 스미스 차트이다.
도 5는 본 개시의 실시 예에 따른 변압기 커플링된 결합기를 포함하는 듀얼 RF 분배 회로의 예의 기능적 블록도이다.
도 6은 도 5의 듀얼 RF 분배 회로의 LF (Low-Frequency) 및 HF (High-Frequency) 경로들에 대한 부하 임피던스들을 제공하는 단락 회로들, 개방 회로들, 및 50 Ω에 대한 입력 임피던스들을 예시하는 스미스 차트이다.
도 7은 본 개시의 실시 예에 따른 변압기 커플링된 결합기를 포함하는 쿼드 RF 분배 회로의 예의 기능적 블록도이다.
도 8은 본 개시의 실시 예에 따른 RF 분배 회로의 고주파수 RF 신호를 위한 예시적인 변압기의 측면도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
반도체 프로세싱 시스템들에서, 플라즈마 이온화 밀도 및 이온화 에너지의 독립적인 제어를 제공하도록 2 개의 상이한 RF 주파수들이 공급되는 것이 일반적이다. 기판 프로세싱 시스템은 특정한 수의 스테이션들 (예를 들어, 4 개의 스테이션들) 을 갖는 프로세싱 챔버를 포함할 수도 있다. 스테이션들 각각은 각각의 기판 지지부 및 샤워헤드를 포함할 수도 있다. 샤워헤드들은 각각의 RF 결합기 (combiner) 및 분배 회로들로부터 RF 전력을 수신한다. RF 결합기 및 분배 회로들 각각은 LF 및 HF 경로들을 포함할 수도 있다. LF 경로는 HF 경로에 의해 생성된 RF 신호보다 낮은 주파수를 갖는 RF 신호를 생성한다. 예로서, LF 경로는 400 킬로헤르츠 (㎑) RF 신호를 생성할 수도 있고, HF 경로는 13.56 메가헤르츠 (㎒) RF 신호를 생성할 수도 있다. LF 생성기가 RF 결합기 및 분배 회로들의 LF 경로들 각각을 피딩하는 (feed) 제 1 매칭 네트워크에 제공되는 LF 신호를 생성한다. 제 1 매칭 네트워크는 LF 생성기의 출력의 임피던스를 집합적으로 LF 경로들의 입력 임피던스와 매칭시킨다. HF 생성기가 RF 결합기 및 분배 회로들의 HF 경로들 각각을 피딩하는 제 2 매칭 네트워크에 제공되는 HF 신호를 생성한다. 제 2 매칭 네트워크는 HF 생성기의 출력의 임피던스를 집합적으로 HF 경로들의 입력 임피던스와 매칭시킨다.
LF 경로들은 HF 신호가 LF 생성기에서 수신되지 않도록, HF 신호를 필터링하기 위한 각각의 LF 필터들 및 LF 밸러스트 (ballast) 디바이스들을 포함한다. HF 경로들은 LF 신호가 HF 생성기에서 수신되지 않도록, LF 신호를 필터링하기 위한 각각의 HF 필터들 및 HF 밸러스트 디바이스들을 포함한다. LF 밸러스트 디바이스들 및 HF 밸러스트 디바이스들은 (i) 스테이션들 각각을 다른 스테이션들로부터 격리하고 (isolate), 그리고 (ii) 결합기 및 RF 분배 회로들의 입력들을 부하 변동으로부터 격리하는 인덕터들 및/또는 커패시터들을 포함할 수도 있다.
RF 결합기 및 분배 회로들 각각은 더미 부하 (dummy load) 와 LF 경로들 및 HF 경로들 사이를 스위칭하기 위한 스위치를 포함한다. 더미 부하들은 대응하는 스테이션들이 사용되지 않을 때 사용된다. 이는 스테이션들에 걸쳐 거의 동일한 부하를 유지한다. 예를 들어, 하나 이상의 스테이션들이 사용되지 않을 때, 사용 중인 스테이션들의 스위치들은 LF 신호들 및 HF 신호들로 하여금 RF 생성기들로부터 스테이션들의 전극들 중 대응하는 전극들을 피딩하는 동축 케이블들로 통과하게 하도록 스위칭된다. 사용되지 않는 하나 이상의 스테이션들의 스위치들은 더미 부하들로 스위칭되고, LF 신호들 및 HF 신호들로 하여금 하나 이상의 스테이션들의 대응하는 전극들로 동축 케이블들을 통과하게 하지 않는다.
RF 결합기 및 분배 회로들은 고주파수에서 공진하도록 설계된다. 이는 빠르고 매끄러운 점화를 제공하는 것을 돕는 전극들에 걸쳐 고전압을 발생시키는 것을 돕는다. 전극들은 스테이션들의 기판 지지부들 내의 샤워헤드들 및 전극들 (또는 접지된 전도성 엘리먼트들) 을 지칭할 수도 있다.
RF 결합기 및 분배 회로들은 부하 임피던스의 작은 변화들의 결과로서 큰 입력 임피던스 변동들을 경험한다. 예로서, 도 1a 내지 도 1c는 3 개의 상이한 부하 임피던스들에 대한 3 개의 상이한 입력 임피던스들을 예시하도록 제공된다. 도 1a 내지 도 1c는 가능한 입력 임피던스 값들의 대수 표현들인 스미스 차트들 (Smith charts) (100, 104, 108) 을 포함한다. 예로서, 부하 임피던스 (또는 샤워헤드에서의 임피던스) 는 132 피코패럿 (㎊) 일 수도 있고, 이는 도 1a에서 도트 (dot) (102) 로 예시된 입력 임피던스를 발생시킨다. 부하 임피던스는 230 ㎊로 변화할 수도 있고, 이는 도 1b에서 도트 (106) 로 예시된 바와 같이 입력 임피던스의 변화를 발생시킬 수도 있다. 부하 임피던스는 다시 240 ㎊로 변화할 수도 있고, 이는 도 1c에서 도트 (110) 로 예시된 바와 같이 입력 임피던스의 변화를 발생시킬 수도 있다. 이들 플롯들로 도시된 바와 같이, 부하 임피던스의 작은 변화는 입력 임피던스의 큰 변동에 대응하는 도트들 (102, 106, 110) 의 스미스 차트들 (100, 104, 108) 에서 큰 위치 변화를 발생시킨다. 복수의 스테이션들을 포함하는 프로세싱 챔버에서, 일 스테이션의 부하 임피던스의 변화는 또한 다른 스테이션들의 성능에 부정적인 영향을 줄 수 있다.
RF 결합기 및 분배 회로들은 부하 임피던스들의 작은 변화들의 결과로서 입력 임피던스들의 큰 변화들을 나타내기 때문에, 자동 매칭 회로들은 매칭 네트워크들을 튜닝하기 위해 사용된다. 또한, 동일한 기판 프로세싱 툴을 사용하여 실행될 상이한 타입들의 기판 프로세스들에 대해, 큰 튜닝 범위를 갖는 자동 매칭 회로들이 사용된다. 또한, RF 결합기 및 분배 회로들은 절연을 위해 고 임피던스 밸러스트 디바이스들을 필요로 한다. 고 임피던스 밸러스트 디바이스들은 대응하는 스테이션들로의 전류 플로우를 감소시킨다. 또한, 매칭 네트워크 컴포넌트들, 밸러스트 디바이스들, 및 필터 컴포넌트들의 사이즈들은 전력이 상승함에 따라 증가한다. RF 결합기 및 분배 회로들의 토폴로지 (topology) 는 본질적으로 언밸런스하다 (unbalance).
프로세스 챔버의 모든 스테이션들을 활용하지 않는 프로세스들이 수행되어야 한다면, 자동 매칭 회로들의 요구된 튜닝 범위는 실질적으로 증가한다. 단일 스테이션 툴과 달리, 멀티 스테이션 툴은 각각 생성된 RF 신호들을 수신하는 복수의 샤워헤드들을 포함한다. 스테이션들 중 하나 이상이 활용되지 않는다면, 그 스테이션에 대한 부하 임피던스는 다른 스테이션들에 대한 부하 임피던스와 실질적으로 상이하다. 이는 스테이션들의 이 부하 불균형을 보상하기 위해 스테이션들이 보다 큰 튜닝 범위들을 갖도록 자동 매칭 회로들을 필요로 한다.
본 명세서에 제시된 예들은 상기 기술된 단점들을 극복하고, 하나 이상의 변압기들 및/또는 변압기 커플링된 결합기들을 포함하는 RF 분배 회로들을 포함하는 기판 프로세싱 시스템들을 제공한다. 변압기들 및/또는 변압기 커플링된 결합기들은 부하 임피던스들의 변화들의 결과로서 입력 임피던스 변동을 최소화한다. 개시된 RF 분배 회로들 중 일부는 효율적인 결합기 회로들을 포함한다. 본 명세서에 사용된 바와 같이, "결합기 회로"는 2 개 이상의 RF 신호들을 단일 RF 신호로 결합한다.
RF 분배 회로들은 또 다른 스테이션에서 부하 임피던스의 변동으로 인한 특정한 스테이션들에 대한 영향들을 최소화하도록 스테이션-대-부하 (station-to-load), 스테이션-대-스테이션 (station-to-station) 및 입력-대-출력 (input-to-output) 절연을 제공한다. RF 분배 회로들은, 부하 임피던스 변동에 대해 감소된 감도를 나타내고, 넓은 범위의 대응하는 부하 임피던스들을 갖는 기판 프로세싱을 위한 광범위한 레시피들을 허용하고, 프로세싱 챔버 내외로 기판의 로딩 (loading) 및 언로딩 (unloading) 으로 인한 최소 입력 임피던스 변동을 경험하고, 그리고 플라즈마 생성과 연관된 빠르고 매끄러운 점화를 위해 레그 각각 (또는 스테이션 각각으로의 RF 신호 경로) 이 공진되거나 거의 공진되게 하는, 자립 시스템들 (self-sustaining systems) 을 제공한다. 특정한 실시 예들에서, RF 결합기 및 분배 회로들은 2 개 이상의 RF 주파수 신호들을 결합하고, 2 개 이상의 주파수들을 갖는 신호들을 하나 이상의 스테이션들로 공급한다. RF 분배 회로들은 LF 신호들 및 HF 신호들 모두에 대한 임피던스 매칭을 허용한다. 본 명세서에 개시된 RF 분배 회로의 다른 이점들 및 양태들이 이하에 더 기술된다.
도 2는 변압기 (202) 를 포함하는 RF 분배 회로 (201) 를 포함하는 기판 프로세싱 시스템 (200) 의 예의 기능적 블록도이다. RF 분배 회로 (201) 는 본 명세서에 개시된 임의의 RF 분배 회로들과 동일하거나 유사하게 구성될 수도 있다. 변압기 (202) 는 본 명세서에 개시된 임의의 변압기 및/또는 변압기 커플링된 결합기로서 구성될 수도 있다. 도 2가 CCP (Capacitive Coupled Plasma) 시스템을 도시하지만, 본 명세서에 개시된 실시 예들은 다른 플라즈마 프로세싱 시스템들에 적용 가능하다. 실시 예들은 증착, 에칭, 및 PEALD (Plasma Enhanced Atomic Layer Deposition) 프로세스 및 PECVD (Plasma Enhanced Chemical Vapor Deposition) 프로세스를 포함하는 다른 기판 처리 프로세스들에 적용 가능하다.
기판 프로세싱 시스템 (200) 은 하나 이상의 스테이션들을 포함하고, 스테이션 각각은 정전 척 (electrostatic chuck; ESC) (204) 와 같은 각각의 기판 지지부를 갖는다. 하나 이상의 스테이션들은 프로세싱 챔버 (205) 내에 배치된다. ESC (204) 는 상단 플레이트 (206) 및 베이스 플레이트 (207) 를 포함할 수도 있다. 상부 전극 (208) 과 같은 다른 컴포넌트들이 프로세싱 챔버 (205) 내에 배치될 수도 있다. 동작 동안, 기판 (209) 은 ESC (204) 의 상단 플레이트 (206) 상에 배치되고 정전기적으로 클램핑되고, RF 플라즈마가 프로세싱 챔버 (205) 내에서 생성된다.
단지 예를 들면, 상부 전극 (208) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (210) 를 포함할 수도 있다. 샤워헤드 (210) 는 프로세싱 챔버 (205) 의 상단 표면에 연결된 일 단부를 포함하는 스템 부분 (211) 을 포함할 수도 있다. 샤워헤드 (210) 는 일반적으로 원통형이고, 프로세싱 챔버 (205) 의 상단 표면으로부터 이격되는 위치에서 스템 부분 (211) 의 반대편 단부로부터 방사상 외측으로 연장한다. 샤워헤드 (210) 의 기판-대면 표면은 이를 통해 프로세스 가스 또는 퍼지 가스가 흐르는 홀들을 포함한다. 대안적으로, 상부 전극 (208) 은 전도성 플레이트를 포함할 수도 있고, 가스들은 또 다른 방식으로 도입될 수도 있다. 플레이트들 (206, 207) 중 하나 또는 모두는 하부 전극으로서 수행될 수도 있다.
플레이트들 (206, 207) 중 하나 또는 모두는 온도 제어 엘리먼트들 (Temperature Control Elements; TCEs) 을 포함할 수도 있다. 중간 층 (214) 이 플레이트들 (206, 207) 사이에 배치된다. 중간 층 (214) 은 상단 플레이트 (206) 를 베이스 플레이트 (207) 에 본딩할 수도 있다. 베이스 플레이트 (207) 는 기판 (209) 의 후면으로 후면 가스를 흘리고 베이스 플레이트 (207) 를 통해 냉각제를 흘리기 위한 하나 이상의 가스 채널들 및/또는 하나 이상의 냉각제 채널들을 포함할 수도 있다.
RF 생성 시스템 (220) 이 RF 전압들을 생성하고 상부 전극 (208) 으로 출력한다. RF 생성 시스템 (220) 은 RF 전압들을 생성하고 ESC (204) 로 출력할 수도 있다. 상부 전극 (208) 및 ESC (204) 중 하나는 DC 접지되거나, AC 접지되거나, 플로팅 전위로 있을 수도 있다. 단지 예를 들면, RF 생성 시스템 (220) 은 하나 이상의 매칭 네트워크들 (227) 및 RF 분배 회로들 (201) 에 의해 상부 전극 (208) 에 피딩되는, RF 전압들을 생성하는 하나 이상의 RF 생성기들 (223) (예를 들어, 용량 결합된 (capacitive coupled) 플라즈마 RF 전력 생성기 및/또는 다른 RF 전력 생성기) 을 포함할 수도 있다. RF 생성기들 (223) 은 예를 들어, 6 내지 10 킬로와트 (㎾) 이상의 전력을 생성하는 고전력 RF 생성기들일 수도 있다. RF 생성기들 (223) 은 각각의 RF 주파수들에서 주파수 컴포넌트들을 갖는 각각의 RF 신호들을 생성할 수도 있다.
가스 전달 시스템 (230) 이 하나 이상의 가스 소스들 (232-1, 232-2, …, 및 232-N) (집합적으로 가스 소스들 (232)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들 (232) 은 하나 이상의 전구체들 및 이들의 혼합물들을 공급한다. 가스 소스들 (232) 은 또한 에칭 가스, 캐리어 가스 및/또는 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (232) 은 밸브들 (234-1, 234-2, …, 및 234-N) (집합적으로 밸브들 (234)) 및 질량 유량 제어기들 (236-1, 236-2, …, 및 236-N) (집합적으로 질량 유량 제어기들 (Mass Flow Controllers; MFCs) (236)) 에 의해 매니폴드 (240) 에 연결된다. 매니폴드 (240) 의 출력이 프로세싱 챔버 (205) 에 피딩된다. 단지 예를 들면, 매니폴드 (240) 의 출력은 샤워헤드 (210) 에 피딩된다.
기판 프로세싱 시스템 (200) 은 TCE들에 연결될 수도 있는 온도 제어기 (242) 를 포함하는 냉각 시스템 (241) 을 더 포함한다. 시스템 제어기 (260) 로부터 개별적으로 도시되지만, 온도 제어기 (242) 는 시스템 제어기 (260) 의 일부로서 구현될 수도 있다. 플레이트들 (206, 207) 중 하나 이상은 복수의 온도 제어된 존들 (예를 들어, 4 개의 존들, 존들 각각은 4 개의 온도 센서들을 포함함) 을 포함할 수도 있다.
온도 제어기 (242) 는 플레이트들 (206, 207) 및 기판 (예를 들어, 기판 (209)) 의 온도들을 제어하도록 TCE들의 동작 및 따라서 온도들을 제어할 수도 있다. 온도 제어기 (242) 및/또는 시스템 제어기 (260) 는 하나 이상의 가스 소스들 (232) 로부터 가스 채널들로의 플로우를 제어함으로써 기판을 냉각하기 위해 ESC (204) 내의 가스 채널들로의 후면 가스 (예를 들어, 헬륨) 의 플로우 레이트를 제어할 수도 있다. 온도 제어기 (242) 는 또한 ESC (204) 내의 채널들을 통한 제 1 냉각제의 플로우 (냉각 유체의 압력들 및 플로우 레이트들) 를 제어하도록 냉각제 어셈블리 (246) 와 연통할 수도 있다. 제 1 냉각제 어셈블리 (246) 는 저장소 (미도시) 로부터 냉각 유체를 수용할 수도 있다. 예를 들어, 냉각제 어셈블리 (246) 는 냉각제 펌프 및 저장소를 포함할 수도 있다. 온도 제어기 (242) 는 베이스 플레이트 (207) 를 냉각하기 위해 채널들 (216) 을 통해 냉각제를 흘리도록 냉각제 어셈블리 (246) 를 동작시킨다. 온도 제어기 (242) 는 냉각제가 흐르는 레이트 및 냉각제의 온도를 제어할 수도 있다. 온도 제어기 (242) 는 프로세싱 챔버 (205) 내의 센서들 (243) 로부터 검출된 파라미터들에 기초하여 TCE들에 공급된 전류 및 채널들에 공급된 가스 및/또는 냉각제의 압력 및 플로우 레이트들을 제어한다. 온도 센서들 (243) 은 저항성 온도 디바이스들, 열전대들, 디지털 온도 센서들, 및/또는 다른 적합한 온도 센서들을 포함할 수도 있다. 에칭 프로세스 동안, 기판 (209) 은 고전력 플라즈마의 존재 시 미리 결정된 온도 (예를 들어, 120 ℃) 만큼 가열될 수도 있다. 채널들을 통한 가스 및/또는 냉각제의 플로우는 베이스 플레이트 (207) 의 온도들을 감소시키고, 이는 기판 (209) 의 온도들을 감소시킨다 (예를 들어, 120 ℃에서 80 ℃로 냉각).
밸브 (256) 및 펌프 (258) 가 프로세싱 챔버 (205) 로부터 반응 물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (260) 는 공급된 RF 전력 레벨들, 공급된 가스들의 압력들 및 플로우 레이트들, RF 매칭, 등을 제어하는 것을 포함하는 기판 프로세싱 시스템 (200) 의 컴포넌트들을 제어할 수도 있다. 시스템 제어기 (260) 는 밸브 (256) 및 펌프 (258) 의 상태들을 제어한다. 로봇 (270) 이 ESC (204) 상으로 기판들을 전달하고, ESC (204) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (270) 은 ESC (204) 와 로드 록 (272) 사이에서 기판들을 이송할 수도 있다. 로봇 (270) 은 시스템 제어기 (260) 에 의해 제어될 수도 있다. 시스템 제어기 (260) 는 로드 록 (272) 의 동작을 제어할 수도 있다.
전력 소스 (280) 가 기판 (209) 을 상단 플레이트 (206) 에 정전기적으로 클램핑하도록 ESC (204) 내의 전극들에 고전압을 포함하는 전력을 제공할 수도 있다. 전력 소스 (280) 는 시스템 제어기 (260) 에 의해 제어될 수도 있다.
밸브들, 가스 및/또는 냉각제 펌프들, 전력 소스들, RF 생성기들, 등은 액추에이터들로 지칭될 수도 있다. TCE들, 가스 채널들, 냉각제 채널들, 등은 온도 조정 엘리먼트들로 지칭될 수도 있다.
이제 도 2 및 도 3을 참조하면, RF 생성기 (302), 매칭 네트워크 (304), 필터 (306), 변압기 (308), 및 부하 (310) 를 포함할 수도 있는 RF 분배 회로 (300) 를 도시한다. 일 실시 예에서, 필터 (306) 는 포함되지 않는다. 부하 (310) 는 커패시터로서 도시되고, 예를 들어, 샤워헤드 (210) 와 접지 기준 (316) 사이의 임피던스를 나타낼 수도 있다. RF 생성기 (302) 는 RF 생성기들 (223) 중 하나일 수도 있고, RF 신호를 생성한다. 매칭 네트워크 (304) 는 매칭 네트워크들 (227) 중 하나일 수도 있고, (i) RF 생성기 (302) 의 출력, 및 (ii) 필터 (306) 및/또는 변압기 (308) 의 입력의 임피던스들을 매칭시킨다. 매칭 네트워크 (304) 는 RF 생성기 (302) 의 출력와 필터 (306) 및/또는 변압기 (308) 의 입력을 임피던스 매칭시키도록 하나 이상의 컴포넌트들을 튜닝하는 것을 포함하는 자동 매칭 동작을 수행할 수도 있다. 이는 예를 들어, 매칭 네트워크 (304) 의 커패시터를 튜닝하는 것을 포함할 수도 있다.
필터 (306) 는 포함되면, RF 생성기 (302) 이외의 하나 이상의 다른 RF 생성기에 의해 생성된 하나 이상의 RF 신호들을 필터링할 수도 있다. 필터 (306) 는 RF 생성기에 의해 생성된 RF 신호로 하여금 변압기 (308) 로 통과하게 한다.
변압기 (308) 는 대응하는 권선 및/또는 전압 변환 비를 갖는 주 코일 (primary coil) (312) 및 보조 코일 (secondary coil) (314) 을 포함한다. 두 개의 예들로서, 비는 3:4 또는 1:2일 수도 있다. 변압기 (308) 는 매칭 네트워크 (304) 또는 필터 (306) 로부터 수신된 주파수의 제 1 무선 주파수 신호를 동일한 주파수의 제 2 무선 주파수 신호로 변환할 수도 있다. 이어서 변압기 (308) 는 프로세스 챔버 내에서 플라즈마 이온화 밀도 및 이온화 에너지를 조정하기 위해, 예를 들어, 전극 및/또는 샤워헤드에 제 2 무선 주파수 신호를 제공할 수도 있다.
변압기 (308) 는 변압기 (308) 의 1 차 측면과 2 차 측면 사이에 밸러스팅 (ballasting) 및 절연을 제공하고, 따라서 (i) RF 생성기 (302) 및 매칭 네트워크 (304) 와 (ii) 부하 (310) 사이의 절연을 제공하는 것을 포함하는 복수의 기능들을 제공한다. 일 실시 예에서, 밸러스트 디바이스는 (i) RF 생성기 (302) 와 매칭 네트워크 (304) 사이, (ii) 매칭 네트워크 (304) 와 필터 (306) 사이, (iii) 필터 (306) 와 변압기 (308) 사이, 그리고/또는 (iv) 매칭 네트워크 (304) 와 변압기 (308) 사이에 연결되지 않는다. 기술된 절연은 대응하는 입력 회로 (또는 RF 생성기 (302) 및 매칭 네트워크 (304)) 에 대한 부하 임피던스 변화들의 효과를 감소시킨다. 부하 (310) 의 임피던스는 기판의 프로세싱 동안 가변할 수 있다. 변동량은 레시피 및 수행될 프로세스에 기초한다. 적절한 변압기 비를 선택함으로써, 입력 임피던스 변동이 또한 제어된다. 입력 임피던스는 매칭 네트워크 (304) 에 의해 본 필터 (306) 의 입력의 임피던스를 지칭한다. 변압기 (308) 는 또한 입력 임피던스의 연관된 변화들이 부하 임피던스의 변화들에 대해 감소되기 때문에, 매칭 네트워크 (304) 의 컴포넌트들의 보다 빠른 튜닝을 허용한다. 변압기 (308) 는 또한 RF 생성기 (302) 에서 수신된 반사된 전력의 양을 최소화하고, 고전력 (예를 들어, 10 ㎾) 이 부하 (310) 로 공급되게 한다.
단일 RF 분배 회로 (300) 가 도 3에 도시되지만, 도 3에 도시된 타입의 복수의 RF 분배 회로들은 프로세스 챔버의 각각의 스테이션들로 RF 전력을 공급하도록 사용될 수도 있다. 변압기들의 2 차 권선들은 도 7에 유사하게 도시된 바와 같이 각각의 동축 케이블들을 통해 스테이션들에 전력을 공급할 수도 있다. 또한, 스위치들 및 대응하는 더미 부하들은 도 7에 도시된 바와 같이 스테이션들 각각에 포함될 수도 있다. 스위치들은 도 2의 제어기들 (242, 260) 중 하나에 의해 제어될 수도 있다.
도 4a 및 도 4b는 제 1 부하 임피던스 및 제 2 부하 임피던스에 대한 도 3의 RF 분배 회로 (300) 의 예시적인 입력 임피던스들을 예시하는 스미스 차트들 (400, 402) 을 도시한다. 입력 임피던스들은 도트들 (404, 406) 로 나타낸다. 도시된 예에서, 제 1 부하 임피던스는 130 ㎊이고 제 2 부하 임피던스는 3,000,000 ㎊이다. 스미스 차트들 (400, 402) 로부터 알 수 있는 바와 같이, 도트들 (404, 406) 사이의 거리 및 따라서 부하 임피던스들의 차와 비교하여 입력 임피던스들의 차는 최소이다.
도 5는 제 1 (또는 하이 (high)) RF 경로 (502) 및 제 2 (또는 로우 (low)) RF 경로 (504) 를 포함하는 듀얼 RF 분배 회로 (500) 를 도시한다. 제 1 RF 경로 (502) 는 제 1 RF 생성기 (506), 제 1 매칭 네트워크 (508), 제 1 필터 (510), 및 제 1 변압기 비를 갖는 제 1 변압기 (512) 를 포함한다. 제 2 RF 경로 (504) 는 제 2 RF 생성기 (520), 제 2 매칭 네트워크 (522), 제 2 필터 (524), 및 제 2 변압기 비를 갖는 제 2 변압기 (526) 를 포함한다. 제 1 변압기 (512) 는 제 2 변압기 (526) 에 연결된다. 변압기들 (512, 526) 은 부하 (530) 에 단일 RF 신호를 제공하기 위해 RF 경로들 (502, 504) 에 의해 생성된 2 개의 RF 신호들을 결합하는, 변압기 커플링된 결합기를 제공한다. 단일 RF 신호는 2 개의 RF 신호들의 주파수 컴포넌트들을 갖는다. 변압기들 (512, 526) 은 2 개의 RF 신호들을 단일 RF 신호로 변환한다. 이는 예를 들어, 2 개의 RF 신호들과 상이한 진폭을 갖는 단일 RF 신호를 제공하기 위해 2 개의 RF 신호들의 진폭을 변화시키는 것을 포함할 수도 있다. 부하 (530) 는 예를 들어, 도 2의 샤워헤드 (210) 와 접지 기준 (540) 사이의 부하 임피던스를 나타내는 커패시터로서 도시된다. 부하 (530) 는 하나 이상의 프로세싱 챔버들 내의 하나 이상의 프로세싱 스테이션들의 하나 이상의 전극들일 수도 있고, 스테이션 각각은 하나 이상의 전극들을 포함할 수도 있고 프로세싱 챔버 각각은 하나 이상의 스테이션들을 포함할 수도 있다.
RF 생성기들 (506, 520) 은 각각의 RF 신호들을 생성한다. 예로서, 제 1 RF 생성기 (506) 는 13.56 ㎒ RF 신호를 생성할 수도 있고, 제 2 RF 생성기 (520) 는 400 ㎑ 신호를 생성할 수도 있다. 제 1 매칭 네트워크 (508) 는 제 1 RF 생성기 (506) 의 출력 임피던스를 제 1 필터 (510) 의 입력 임피던스에 매칭시킬 수도 있다. 제 2 매칭 네트워크 (522) 는 제 2 RF 생성기 (520) 의 출력 임피던스를 제 2 필터 (524) 의 입력 임피던스에 매칭시킬 수도 있다.
제 1 필터 (510) 는 고역 필터 (high pass filter) 로서 수행되고, (i) 제 1 변압기 (512) 로 통과하도록 제 1 RF 생성기 (506) 에 의해 생성된 제 1 RF 신호의 통과를 허용하고, 그리고 (ii) 제 2 RF 생성기 (520) 에 의해 생성된 RF 신호가 제 1 RF 생성기 (506) 에서 수신되는 것을 방지한다. 제 2 필터 (524) 는 저역 필터 (low pass filter) 로서 수행되고, (i) 제 2 변압기 (526) 로 통과하도록 제 2 RF 생성기 (520) 에 의해 생성된 제 2 RF 신호의 통과를 허용하고, 그리고 (ii) 제 1 RF 생성기 (506) 에 의해 생성된 RF 신호가 제 2 RF 생성기 (520) 에서 수신되는 것을 방지한다. RF 생성기들 (506, 520) 모두는 도시된 바와 같이, RF 경로들 (502, 504) 에 대해 분리된 주 코일들을 포함하고, 그리고 주 코일들 각각의 1 차 권선들의 적절한 수를 선택하고 매칭 네트워크들 (508, 522) 내에 적절한 매칭 회로를 포함함으로써 적절하게 매칭될 수도 있다.
제 1 변압기 (512) 는 주 코일 (532) 및 보조 코일 (534) 을 포함한다. 제 2 변압기 (526) 는 주 코일 (536) 및 보조 코일 (538) 을 포함한다. 주 코일들 (532, 536) 의 제 1 단부들은 필터들 (510, 524) 에 연결된다. 일 실시 예에서, 필터들 (510, 524) 은 포함되지 않고, 주 코일들 (532, 536) 은 매칭 네트워크들 (508, 522) 에 연결된다. 주 코일들 (532, 536) 의 제 2 단부들은 접지 기준 (540) 에 연결된다. 보조 코일들 (534, 538) 의 제 1 단부들은 접지 기준 (540) 에 연결된다. 보조 코일들 (534, 538) 의 제 2 단부들은 부하 (530) 에 연결된다. 제 1 변압기 (512) 는 제 1 필터 (510) 로부터 수신된 제 1 주파수의 제 1 무선 주파수 신호를 제 1 주파수의 제 2 무선 주파수 신호로 변환할 수도 있다. 제 2 변압기 (526) 는 제 2 필터 (524) 로부터 수신된 제 2 주파수의 제 3 무선 주파수 신호를 제 2 주파수의 제 4 무선 주파수 신호로 변환할 수도 있다. 이어서 변압기들 (512, 526) 은 프로세스 챔버 내에서 플라즈마 이온화 밀도 및 이온화 에너지를 조정하기 위해, 예를 들어, 전극 및/또는 샤워헤드에 제 2 무선 주파수 신호 및 제 4 무선 주파수 신호를 제공할 수도 있다.
LF 경로들 및 HF 경로들에 대한 입력 임피던스 변동들의 변화들의 예들은 도 6의 스미스 차트 (600) 에 의해 예시된다. 스미스 차트 (600) 는 도 5의 LF 경로들 및 HF 경로들 (502, 504) 에 대한 부하 임피던스들을 제공하는 단락 회로들, 개방 회로들, 및 50 Ω에 대한 입력 임피던스들을 예시한다. 도 6에서, 원형 도트들이 도시되고 HF 경로들에 대응하고, 정사각형 도트들이 도시되고 LF 경로들에 대응한다. 스미스 차트 (600) 는 가능한 입력 임피던스 값들의 대수 표현이다. 입력 임피던스가 변할 때, 대응하는 도트는 스미스 차트 상의 상이한 위치로 이동한다.
도트들 (602, 604, 606) 은 HF 경로 (502) 에 대한 단락 회로, 개방 회로, 및 50 Ω 제공 부하 임피던스에 대한 입력 임피던스들을 각각 나타낸다. 50 Ω 제공 부하 임피던스는 50 Ω 입력 임피던스를 제공하는 부하 임피던스를 지칭한다. 도트들 (610, 612, 614) 은 LF 경로 (504) 에 대한 단락 회로, 개방 회로, 및 50 Ω 제공 부하 임피던스에 대한 입력 임피던스들을 각각 나타낸다. 단락 회로는 샤워헤드 (210) 와 접지 기준 (540) 사이의 직접적이거나 간접적인 전도성 연결부 (또는 경로) 를 지칭한다. 단락 회로는 부하 임피던스가 0 Ω일 때를 나타낸다. 개방 회로는 샤워헤드 (210) 와 접지 기준 (540) 사이에 전도성 경로가 없다는 것을 나타낸다. 개방 회로는 부하 임피던스가 무한대에 접근할 때를 나타낸다. 스미스 차트로부터 알 수 있는 바와 같이, 도트들 (또는 지점들) (602, 604, 606) 사이의 거리들 및 도트들 (또는 지점들) (610, 612, 614) 사이의 거리들은 최소이고 전체 스미스 차트에 걸쳐 배치되지 않고, 스미스 차트의 작은 부분에 위치된다. 따라서, 대응하는 입력 임피던스들의 차들 또한 최소이다.
변압기들 (512, 526) 은 도 3의 변압기 (308) 와 유사하게, 변압기들 (512, 526) 의 1 차 측면과 2 차 측면 사이에 밸러스팅 및 절연을 제공하는 것을 포함하는 복수의 기능들을 제공한다. 일 실시 예에서, 밸러스트 디바이스는 (i) RF 생성기들 (506, 520) 과 매칭 네트워크들 (508, 522) 사이에, (ii) 매칭 네트워크들 (508, 522) 과 필터들 (510, 524) 사이에, (iii) 필터들 (510, 524) 과 변압기들 (512, 526) 사이에, 그리고/또는 (iv) 매칭 네트워크들 (508, 522) 과 변압기들 (512, 526) 사이에 연결되지 않는다.
단일 RF 분배 회로 (500) 가 도 5에 도시되지만, 도 5에 도시된 타입의 복수의 RF 분배 회로들은 프로세스 챔버의 각각의 스테이션들로 RF 전력을 공급하도록 사용될 수도 있다. 변압기들의 2 차 권선들은 도 7에 유사하게 도시된 바와 같이 대응하는 동축 케이블들을 통해 스테이션들에 전력을 공급할 수도 있다. 또한, 스위치들 및 대응하는 더미 부하들은 도 7에 도시된 바와 같이 스테이션들 각각에 포함될 수도 있다. 예로서, 스위치는 단자 (550) 로부터 다운스트림에 연결될 수도 있고, (i) 전극 및/또는 샤워헤드에 연결된 각각의 동축 케이블과 (ii) 더미 부하 사이를 스위칭할 수도 있다. 스위치들은 도 2의 제어기들 (242, 260) 중 하나에 의해 제어될 수도 있다.
도 7은 제 1 (또는 하이) RF 경로 (702) 및 제 2 (또는 로우) RF 경로 (704) 를 포함하는 쿼드 RF 분배 회로 (700) 를 도시한다. 제 1 RF 경로 (702) 는 제 1 RF 생성기 (706), 제 1 매칭 네트워크 (708), 및 제 1 필터 (710) 를 포함한다. 제 2 RF 경로 (704) 는 제 2 RF 생성기 (720), 제 2 매칭 네트워크 (722), 및 제 2 필터 (724) 를 포함한다. 쿼드 RF 분배 회로 (700) 는 2 개의 입력들, 4 개의 출력들, 및 4 개의 출력들에 의해 공유되는 변압기 비를 갖는 변압기 (712) 를 포함한다. 4 개의 출력들은 프로세싱 챔버의 4 개의 스테이션들의 4 개의 부하들 (또는 샤워헤드들) (750, 752, 754, 756) 에 연결된 4 개의 채널들을 피딩한다.
RF 생성기들 (706, 720) 은 각각의 RF 신호들을 생성한다. 예로서, 제 1 RF 생성기 (706) 는 13.56 ㎒ RF 신호를 생성할 수도 있고, 제 2 RF 생성기 (720) 는 400 ㎑ 신호를 생성할 수도 있다. 제 1 매칭 네트워크 (708) 는 제 1 RF 생성기 (706) 의 출력 임피던스를 제 1 필터 (710) 의 입력 임피던스에 매칭시킬 수도 있다. 제 2 매칭 네트워크 (722) 는 제 2 RF 생성기 (720) 의 출력 임피던스를 제 2 필터 (724) 의 입력 임피던스에 매칭시킬 수도 있다. 제 1 필터 (710) 는 고역 필터로서 수행되고, (i) 제 1 변압기 (712) 로 통과하도록 제 1 RF 생성기 (706) 에 의해 생성된 제 1 RF 신호의 통과를 허용하고, 그리고 (ii) 제 2 RF 생성기에 의해 생성된 RF 신호가 제 1 RF 생성기 (706) 에서 수신되는 것을 방지한다. 제 2 필터 (724) 는 저역 필터로서 수행되고, (i) 제 2 변압기 (726) 로 통과하도록 제 2 RF 생성기 (720) 에 의해 생성된 제 2 RF 신호의 통과를 허용하고, 그리고 (ii) 제 1 RF 생성기 (706) 에 의해 생성된 RF 신호가 제 2 RF 생성기 (720) 에서 수신되는 것을 방지한다. RF 생성기들 (706, 720) 모두는 도시된 바와 같이, RF 경로들 (702, 704) 에 대해 분리된 주 코일들 (또는 1 차 권선들) 을 포함하고, 그리고 주 코일들 각각의 1 차 턴들의 적절한 수를 선택하고 매칭 네트워크들 (708, 722) 내에 적절한 매칭 회로를 포함함으로써 적절하게 매칭될 수도 있다.
변압기 (712) 는 부하들 (750, 752, 754, 756) 에 제공되는 4 개의 RF 신호들을 제공하기 위해 RF 경로들 (702, 704) 에 의해 생성된 2 개의 RF 신호들을 결합하는, 변압기 커플링된 결합기이다. 부하들 (750, 752, 754, 756) 은 예를 들어, 샤워헤드들과 접지 기준 (760) 사이의 부하 임피던스들을 나타내는 커패시터들로서 도시된다. 변압기 (712) 가 2 개의 입력들 및 4 개의 출력들을 갖는 것으로 도시되지만, 변압기 (712) 는 2 개 이상의 입력들 및 1 개 이상의 출력들을 가질 수도 있다.
변압기 (712) 는 제 1 주 코일 (730), 제 2 주 코일 (732), 제 1 보조 코일 (734), 제 2 보조 코일 (736), 제 3 보조 코일 (738), 및 제 4 보조 코일 (740) 을 포함한다. 일 실시 예에서, 주 코일들 (730, 732) 은 동일한 수의 권선들을 갖고 보조 코일들 (734, 736, 738, 740) 은 동일한 수의 권선들을 갖는다. 주 코일들 (730, 732) 의 제 1 단부들은 필터들 (710, 724) 에 연결된다. 일 실시 예에서, 필터들 (710, 724) 은 포함되지 않고, 주 코일들 (730, 732) 의 제 1 단부들은 매칭 네트워크들 (708, 722) 에 연결된다. 주 코일들 (730, 732) 의 제 2 단부들은 접지 기준 (760) 에 연결된다. 보조 코일들 (734, 736, 738, 740) 의 제 1 단부들은 부하들 (750, 752, 754, 756) 에 각각 연결된다. 보조 코일들 (734, 736, 738, 740) 의 제 2 단부들은 접지 기준 (760) 에 연결된다. 변압기는 경로들 (702, 704) 로부터 RF 신호들을 수신하고, 신호들을 결합하고, 결합된 RF 신호를 보조 코일들 (734, 736, 738, 740) 을 통해 부하들 (750, 752, 754, 756) 각각에 제공한다.
변압기 (712) 는 제 1 필터 (710) 로부터 수신된 제 1 주파수의 제 1 무선 주파수 신호 및 제 2 필터 (724) 로부터 수신된 제 2 주파수의 제 2 무선 주파수 신호를 제 3 무선 주파수 신호로 변환하고 이와 결합할 수도 있다. 제 3 무선 주파수 신호는 제 1 무선 주파수 및 제 2 무선 주파수 모두를 포함한다. 이어서 변압기 (712) 는 프로세스 챔버 내에서 플라즈마 이온화 밀도 및 이온화 에너지를 조정하기 위해, 예를 들어, 전극 및/또는 샤워헤드에 제 3 무선 주파수 신호를 제공할 수도 있다.
변압기 (712) 는 도 3의 변압기 (308) 와 유사하게, 변압기 (712) 의 1 차 측면과 2 차 측면 사이에 밸러스팅 및 절연을 제공하는 것을 포함하는 복수의 기능들을 제공한다. 일 실시 예에서, 밸러스트 디바이스는 (i) RF 생성기 (506, 520) 와 매칭 네트워크들 (508, 522) 사이에, (ii) 매칭 네트워크들 (508, 522) 과 필터들 (510, 524) 사이에, (iii) 필터들 (510, 524) 과 변압기들 (512, 526) 사이에, 그리고/또는 (iv) 매칭 네트워크들 (508, 522) 과 변압기들 (512, 526) 사이에 연결되지 않는다.
일 실시 예에서, 보조 코일들 (734, 736, 738, 740) 은 부하들 (750, 752, 754, 756) 과 더미 부하들 (770, 772, 774, 776) 사이를 스위칭할 수도 있는 스위치들 (762, 764, 766, 768) 에 연결될 수도 있다. 또 다른 실시 예에서, 스위치들 (762, 764, 766, 768) 및 더미 부하들 (770, 772, 774, 776) 은 포함되지 않는다. 보조 코일들 (734, 736, 738, 740) 또는 스위치들 (762, 764, 766, 768) 은 동축 케이블들 (780, 782, 784, 786) 을 통해 부하들 (750, 752, 754, 756) 에 연결될 수도 있다. 스위치들 (762, 764, 766, 768) 은 도 2의 제어기들 (242, 260) 중 하나에 의해 제어될 수도 있다. 하나 이상의 더미 부하들 (770, 772, 774, 776) 은 예를 들어, 기판이 상기 기술된 바와 같이 하나 이상의 대응하는 스테이션들에서 프로세싱되지 않을 때 연결될 수도 있다.
도 7에 도시된 바와 같이, 본 명세서에 개시된 RF 결합기 회로들 중 일부는 결합된 RF 신호를 n 개의 동일한 채널들로 분할하는 밸런싱된 (balanced) 분배 시스템들을 제공하고, 여기서 n은 2 이상의 정수이다. n 개의 채널들의 출력들은 채널들 중 하나의 변화가 다른 채널들의 변화에 영향을 주지 않거나 최소한으로 영향을 주도록, 서로 격리된다. 채널들의 입력들은 변압기 (712) 의 입력으로부터 격리된다. RF 결합기 회로들은 플라즈마 생성을 위해 빠르고 매끄러운 점화를 제공한다.
도 3, 도 5, 및 도 7의 예들은 RF 분배 회로들 (300, 500, 700) 각각이 복수의 상이한 기판 프로세스들을 위해 사용될 수도 있도록 구성된다. 프로세스들은 에칭, 증착 및/또는 다른 기판 처리 프로세스들을 포함할 수도 있다.
도 8은 RF 분배 회로에서 고주파수 RF 신호에 사용될 수도 있는 예시적인 변압기 (800) 의 측면도를 도시한다. 예로서, 도 3 및 도 5의 변압기들 (308, 512) 은 각각 변압기 (800) 로 대체될 수도 있다. 변압기 (800) 는 동축 변압기이고, 주 코일 (802) 및 보조 코일 (804) 을 포함할 수도 있다. 주 코일 (802) 은 (i) 2 개의 동축 케이블들 (806, 810) 의 전도성 차폐부들 (822, 832), 및 (ii) 전도성 상호 연결부 (interconnector) (808) 를 포함한다. 전도성 상호 연결부 (808) 는 동축 케이블들 (806, 810) 의 비전도성 시스들 (sheaths) (820, 830) 을 통해 연장하고, 전도성 차폐부들 (822, 832) 에 연결된다. 전도성 상호 연결부는 전도성 플레이트, 또는 제 1 동축 케이블 (806) 에 대한 제 2 동축 케이블 (810) 의 위치를 유지하는 다른 적합한 상호 연결부일 수도 있다.
동축 케이블들 (806, 810) 은 서로 평행하게 연장하고, 내측 유전체 절연체들 (824, 834) 에 의해 전도성 차폐부들 (822, 832) 로부터 절연되는 전도성 코어들 (825, 835) 을 더 포함한다. 전도성 코어들 (825, 835) 은 전도성 라인들 (826A, 826B) 에 의해 직렬 루프로 연결된다. 전도성 라인 (826A) 은 제 1 동축 케이블 (806) 의 제 1 단부를 제 2 동축 케이블 (810) 의 제 1 단부에 연결한다. 제 2 동축 케이블 (810) 의 제 1 단부는 제 1 동축 케이블 (806) 의 제 1 단부보다 전도성 상호 연결부 (808) 의 반대편 단부에 있다. 전도성 라인 (826B) 은 제 1 동축 케이블 (806) 의 제 2 단부를 제 2 동축 케이블 (810) 의 제 2 단부에 연결한다. 제 2 동축 케이블 (810) 의 제 2 단부는 제 1 동축 케이블 (806) 의 제 2 단부보다 전도성 상호 연결부 (808) 의 반대편 단부에 있다.
예로서, 전도성 차폐부들 (822, 832), 전도성 코어들 (825, 835), 및 전도성 라인들 (826A, 826B) 은 사용 동안 최소 가열량을 나타내는 구리 및/또는 다른 적합한 재료로 형성될 수도 있다. 비전도성 시스들 (820, 830) 은 플라스틱으로 형성될 수도 있다. 내측 유전체 절연체들 (824, 834) 은 비전도성이고, 폴리에틸렌 (PE) 및 폴리테트라플루오로에틸렌 (PTFE) 과 같은 다양한 유전체 재료들로 형성될 수도 있다. 일 실시 예에서, 도시된 바와 같이, 전도성 라인들 (826A, 826B) 상에 시스, 차폐부 및/또는 내측 유전체 절연체가 없다.
변압기가 과열되지 않고 예를 들어, 1 ㎒보다 큰 고 무선 주파수들을 핸들링할 수 있는 저주파수 변압기를 제작하는 것은 어려울 수 있다. 변압기의 투자율 (permeability) (또는 분배된 인덕턴스) 은 감소되어야 할 수도 있고, 변압기는 특수한 재료들로 형성되어야 할 수도 있다. 변압기 (800) 는 고 무선 주파수들, 마이크로파 주파수들, 등에 사용될 수도 있다. 동축 케이블들 (806, 810) 의 길이 L1은 송신될 RF의 파장의 분수 배수에 기초할 수도 있고 그리고/또는 이와 동일할 수도 있다. 예로서, 분수 배수는 예를 들어, 송신될 RF 파장의 1/2 미만일 수도 있다. 일 실시 예에서, 동축 케이블들 (806, 810) 의 길이 L1은 송신될 RF 파장의 1/4과 동일하다. 1/4 파장 (또는 이의 배수) 은 회로에 따라 변압기의 대응하는 임피던스를 0 Ω (또는 단락 회로) 로부터 무한 Ω (또는 개방 회로) 으로 또는 그 반대로 변환한다는 이점을 갖는다. 전도성 코어들 (825, 835) 및 전도성 라인들 (826A, 826B) 에 의해 제공된 직렬 루프의 전체 길이는 길이 L 1 의 배수에 기초할 수도 있고 그리고/또는 이와 동일할 수도 있다. 일 실시 예에서, 전도성 코어들 (825, 835) 및 전도성 라인들 (826A, 826B) 에 의해 제공된 직렬 루프의 전체 길이는 길이 L1의 4 배 (또는 4L1) 와 동일하다. 예로서, 변압기 (800) 의 변압기 비는 1 차 권선과 2 차 권선 사이에서 1:2일 수도 있고, 여기서 1 차 권선은 주 코일 (802) 로서 구현되고 변압기 (800) 의 입력을 포함하고, 제 2 권선은 보조 코일 (804) 로서 구현되고 변압기 (800) 의 출력을 제공한다. 동축 케이블들 (806, 810) 이 RG58C 동축 케이블들과 유사하게 형성될 수도 있지만, RG58C 동축 케이블들은 기판 프로세싱 시스템들과 연관된 것과 같은 고전력 애플리케이션들에 적합하지 않을 것이다. 동축 케이블들 (806, 810) 의 사이즈들 및/또는 재료들은 RG58C 동축 케이블들의 사이즈들 및/또는 재료들과 상이할 수도 있다.
상기 개시된 RF 분배 회로들은, 부하 임피던스의 변화에 대한 입력 임피던스의 감도가 감소되도록 높은 입력-대-출력 절연, 개선된 스테이션-대-스테이션 절연, 및 LF 경로들과 HF 경로들에 대한 임피던스 매칭을 나타낸다. 상기 개시된 RF 분배 회로들은 또한 견고하고, 전통적인 RF 결합기 및 분배 회로들에 비해 상승된 신뢰성을 제공한다. 개시된 RF 분배 회로들은, 밸런싱된 스테이션들을 포함하고, 빠른 튜닝을 나타내고, RF 신호들이 복수의 스테이션들로 공급되게 하고, LF 생성기들 및 HF 생성기들 모두에서 낮은 반사 전력을 나타내고, 그리고 무조건적으로 안정한 시스템들을 제공한다. RF 분배 회로들은 또한 고전력 (예를 들어, 10 ㎾ HF 및 8 ㎾ LF) RF 신호들을 공급할 수 있다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않더라도 임의의 다른 실시 예들의 피처들에서 그리고/또는 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (Atomic Layer Deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제작 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 매칭 네트워크 또는 필터로부터 입력을 수신하도록 구성된 1 차 권선에 대응하는 주 코일 (primary coil) 로서, 상기 주 코일은
    제 1 동축 케이블의 제 1 차폐부,
    제 2 동축 케이블의 제 2 차폐부, 및
    상기 제 1 차폐부를 상기 제 2 차폐부에 연결하는 전도성 상호 연결부 (interconnector) 를 포함하는, 상기 주 코일; 및
    프로세스 챔버의 전극에 출력을 제공하도록 구성된 2 차 권선에 대응하는 보조 코일 (secondary coil) 로서, 상기 보조 코일은
    상기 제 1 동축 케이블의 제 1 코어,
    상기 제 2 동축 케이블의 제 2 코어, 및
    상기 제 1 코어를 상기 제 2 코어에 연결하는 한 쌍의 전도성 라인들을 포함하는, 상기 보조 코일을 포함하는, 변압기.
  2. 제 1 항에 있어서,
    상기 제 1 동축 케이블은 상기 제 2 동축 케이블에 평행하게 연장하는, 변압기.
  3. 제 1 항에 있어서,
    상기 제 1 코어, 상기 제 2 코어, 및 상기 한 쌍의 전도성 라인들의 길이들의 합은 상기 제 1 동축 케이블 및 상기 제 2 동축 케이블 각각의 길이의 배수에 기초하거나 이와 동일한 것 중 적어도 하나인, 변압기.
  4. 제 1 항에 있어서,
    상기 제 1 동축 케이블 및 상기 제 2 동축 케이블 각각의 길이는 상기 변압기에 의해 송신된 무선 주파수 신호의 파장의 분수 배수에 기초하거나 이와 동일한 것 중 적어도 하나인, 변압기.
  5. 무선 주파수 (Radio Frequency; RF) 의 주파수 컴포넌트를 포함하는 제 1 무선 주파수 신호를 생성하기 위한 무선 주파수 생성기; 및
    제 1 항에 기재된 변압기를 포함하고, 상기 변압기는 상기 제 1 무선 주파수 신호를 제 2 무선 주파수 신호로 변환하기 위한 것이고, 상기 제 2 무선 주파수 신호는 상기 무선 주파수의 주파수 컴포넌트를 포함하는, 무선 주파수 분배 회로.
  6. 제 5 항에 기재된 무선 주파수 분배 회로;
    상기 프로세스 챔버;
    전극을 포함하고 상기 프로세스 챔버 내에 구현된 샤워헤드; 및
    상기 샤워헤드에 인접하게 상기 프로세스 챔버 내에 구현된 기판 지지부를 포함하고,
    상기 변압기는 상기 전극에 제 2 무선 주파수 신호를 공급하기 위한 것인, 기판 프로세싱 시스템.
  7. 적어도 하나의 무선 주파수 생성기로부터 제 1 무선 주파수 신호 및 제 2 무선 주파수 신호를 수신하고 상기 제 1 무선 주파수 신호를 필터링하기 위한 제 1 필터로서, 상기 제 1 무선 주파수 신호는 제 1 주파수에 있고 상기 제 2 무선 주파수 신호는 제 2 주파수에 있고, 그리고 상기 제 2 주파수는 상기 제 1 주파수보다 작은, 상기 제 1 필터;
    상기 적어도 하나의 무선 주파수 생성기로부터 상기 제 1 무선 주파수 신호 및 상기 제 2 무선 주파수 신호를 수신하고 상기 제 1 무선 주파수 신호를 필터링하기 위한 제 2 필터;
    상기 적어도 하나의 무선 주파수 생성기의 출력을 상기 제 1 필터의 입력에 매칭시키기 위한 제 1 매칭 네트워크;
    상기 적어도 하나의 무선 주파수 생성기의 출력을 상기 제 2 필터의 입력에 매칭시키기 위한 제 2 매칭 네트워크; 및
    상기 제 1 무선 주파수 신호를 제 3 무선 주파수 신호로 변환하고, 상기 제 2 무선 주파수 신호를 제 4 무선 주파수 신호로 변환하고, 그리고 상기 제 1 무선 주파수 신호를 상기 제 2 무선 주파수 신호와 또는 상기 제 3 무선 주파수 신호를 상기 제 4 무선 주파수 신호와 결합시키기 위한 변압기 커플링된 결합기 (combiner) 를 포함하고, 상기 제 3 무선 주파수 신호는 상기 제 1 주파수의 주파수 컴포넌트를 포함하고, 그리고 상기 제 4 무선 주파수 신호는 상기 제 2 주파수의 주파수 컴포넌트를 포함하는, 무선 주파수 분배 회로.
  8. 제 7 항에 있어서,
    상기 변압기 커플링된 결합기는,
    상기 제 1 필터의 출력을 수신하기 위한 제 1 변압기; 및
    상기 제 2 필터의 출력을 수신하기 위한 제 2 변압기를 포함하는, 무선 주파수 분배 회로.
  9. 제 8 항에 있어서,
    상기 제 1 변압기는,
    상기 제 1 필터에 연결된 주 코일, 및
    보조 코일을 포함하고, 그리고
    상기 제 2 변압기는,
    상기 제 2 필터 및 상기 제 1 변압기의 상기 주 코일에 연결된 주 코일, 및
    상기 제 1 변압기의 상기 보조 코일에 연결된 보조 코일을 포함하는, 무선 주파수 분배 회로.
  10. 제 9 항에 있어서,
    상기 제 1 변압기의 상기 주 코일 및 상기 보조 코일은 접지 기준에 연결되고, 그리고
    상기 제 2 변압기의 상기 주 코일 및 상기 보조 코일은 상기 접지 기준에 연결되는, 무선 주파수 분배 회로.
  11. 제 9 항에 있어서, 상기 제 1 변압기는,
    상기 주 코일로서,
    제 1 동축 케이블의 제 1 차폐부,
    제 2 동축 케이블의 제 2 차폐부, 및
    상기 제 1 차폐부를 상기 제 2 차폐부에 연결하는 전도성 상호 연결부를 포함하는, 상기 주 코일; 및
    상기 보조 코일로서,
    상기 제 1 동축 케이블의 제 1 코어,
    상기 제 2 동축 케이블의 제 2 코어, 및
    상기 제 1 코어를 상기 제 2 코어에 연결하는 한 쌍의 전도성 라인들을 포함하는, 상기 보조 코일을 포함하는, 무선 주파수 분배 회로.
  12. 제 11 항에 있어서,
    상기 제 1 동축 케이블은 상기 제 2 동축 케이블에 평행하게 연장하는, 무선 주파수 분배 회로.
  13. 제 11 항에 있어서,
    상기 제 1 코어, 상기 제 2 코어, 및 상기 한 쌍의 전도성 라인들의 길이들의 합은 상기 제 1 동축 케이블 및 상기 제 2 동축 케이블 각각의 길이의 배수에 기초하거나 이와 동일한 것 중 적어도 하나인, 무선 주파수 분배 회로.
  14. 제 11 항에 있어서,
    상기 제 1 동축 케이블 및 상기 제 2 동축 케이블 각각의 길이는 상기 제 1 무선 주파수 신호의 파장의 분수 배수에 기초하거나 이와 동일한 것 중 적어도 하나인, 무선 주파수 분배 회로.
  15. 제 7 항에 있어서,
    상기 변압기 커플링된 결합기는 제 1 변압기를 포함하고, 그리고
    상기 제 1 변압기는,
    상기 제 1 필터에 연결된 제 1 주 코일,
    상기 제 2 필터에 연결된 제 2 주 코일,
    상기 제 3 무선 주파수 신호를 수신하도록 연결된 제 1 보조 코일, 및
    상기 제 4 무선 주파수 신호를 수신하도록 연결된 제 2 보조 코일을 포함하는, 무선 주파수 분배 회로.
  16. 제 15 항에 있어서,
    상기 제 1 변압기는 제 3 보조 코일을 포함하고,
    상기 제 3 보조 코일은 제 5 무선 주파수 신호를 수신하기 위한 것이고, 그리고
    상기 제 5 무선 주파수 신호는 상기 제 1 주파수의 주파수 컴포넌트 및 상기 제 2 주파수의 주파수 컴포넌트를 포함하는, 무선 주파수 분배 회로.
  17. 제 7 항에 있어서, 상기 변압기 커플링된 결합기는,
    상기 제 1 필터에 연결된 제 1 주 코일;
    상기 제 2 필터에 연결된 제 2 주 코일;
    상기 제 3 무선 주파수 신호를 출력하는 제 1 보조 코일로서, 상기 제 3 무선 주파수 신호는 각각 상기 제 1 주파수 및 상기 제 2 주파수의 주파수 컴포넌트들을 포함하는, 상기 제 1 보조 코일; 및
    상기 제 4 무선 주파수 신호를 출력하는 제 2 보조 코일을 포함하고, 상기 제 4 무선 주파수 신호는 각각 상기 제 1 주파수 및 상기 제 2 주파수의 주파수 컴포넌트들을 포함하는, 무선 주파수 분배 회로.
  18. 제 17 항에 있어서,
    상기 변압기 커플링된 결합기는,
    제 5 무선 주파수 신호를 출력하는 제 3 보조 코일로서, 상기 제 5 무선 주파수 신호는 각각 상기 제 1 주파수 및 상기 제 2 주파수의 주파수 컴포넌트들을 포함하는, 상기 제 3 보조 코일; 및
    제 6 무선 주파수 신호를 출력하는 제 4 보조 코일을 포함하고, 상기 제 6 무선 주파수 신호는 각각 상기 제 1 주파수 및 상기 제 2 주파수의 주파수 컴포넌트들을 포함하는, 무선 주파수 분배 회로.
  19. 제 7 항에 기재된 무선 주파수 분배 회로;
    프로세스 챔버;
    전극을 포함하고 상기 프로세스 챔버 내에 구현된 샤워헤드; 및
    상기 샤워헤드에 인접하게 상기 프로세스 챔버 내에 구현된 기판 지지부를 포함하는, 기판 프로세싱 시스템.
  20. 기판 프로세싱 시스템의 전극에 무선 주파수 전력을 공급하기 위한 무선 주파수 분배 회로에 있어서,
    제 1 무선 주파수 신호를 생성하기 위한 무선 주파수 생성기;
    상기 제 1 무선 주파수 신호를 제 2 무선 주파수 신호로 변환하고 상기 기판 프로세싱 시스템의 프로세스 챔버 내에서 플라즈마 이온화 밀도 및 이온화 에너지를 조정하도록 상기 제 2 무선 주파수 신호를 상기 전극에 공급하기 위한 변압기로서, 상기 변압기는 동축 변압기인, 상기 변압기; 및
    상기 무선 주파수 생성기의 출력을 상기 변압기의 입력에 매칭시키기 위한 매칭 네트워크를 포함하는, 무선 주파수 분배 회로.
KR1020227013719A 2019-10-01 2020-09-28 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들 KR102505150B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237006703A KR20230032001A (ko) 2019-10-01 2020-09-28 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962908846P 2019-10-01 2019-10-01
US62/908,846 2019-10-01
PCT/US2020/053014 WO2021067160A1 (en) 2019-10-01 2020-09-28 Radio frequency distribution circuits including transformers and/or transformer coupled combiners

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237006703A Division KR20230032001A (ko) 2019-10-01 2020-09-28 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들

Publications (2)

Publication Number Publication Date
KR20220057645A KR20220057645A (ko) 2022-05-09
KR102505150B1 true KR102505150B1 (ko) 2023-02-28

Family

ID=75338543

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227013719A KR102505150B1 (ko) 2019-10-01 2020-09-28 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들
KR1020237006703A KR20230032001A (ko) 2019-10-01 2020-09-28 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237006703A KR20230032001A (ko) 2019-10-01 2020-09-28 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들

Country Status (6)

Country Link
US (1) US20220328236A1 (ko)
JP (1) JP2022550201A (ko)
KR (2) KR102505150B1 (ko)
CN (1) CN114521276A (ko)
TW (1) TW202131361A (ko)
WO (1) WO2021067160A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3832659B2 (ja) 2003-06-06 2006-10-11 シャープ株式会社 光情報記録媒体、それを用いた記録方法、再生方法、光情報記録装置、および光情報再生装置
CN113422614B (zh) * 2021-05-13 2022-12-09 北京北方华创微电子装备有限公司 射频耦合装置
US11823868B2 (en) 2021-06-11 2023-11-21 Applied Materials, Inc. Hardware switch on main feed line in a radio frequency plasma processing chamber

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101791706B1 (ko) * 2009-10-21 2017-10-30 램 리써치 코포레이션 전력 회로를 위한 rf 분리

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6922324B1 (en) * 2000-07-10 2005-07-26 Christopher M. Horwitz Remote powering of electrostatic chucks
WO2007117604A2 (en) * 2006-04-07 2007-10-18 The Government Of The United States Of America, Represented By The Secretary, Department Of Health And Human Services Inductive decoupling of an rf coil array
KR101112741B1 (ko) * 2010-04-20 2012-02-22 다이나믹솔라디자인 주식회사 다중 분할 전극 세트를 위한 급전 장치를 구비한 플라즈마 챔버
KR101129675B1 (ko) * 2010-05-03 2012-03-28 한국표준과학연구원 플라즈마 발생 장치 및 변압기
KR20130047532A (ko) * 2011-10-31 2013-05-08 세메스 주식회사 기판처리장치 및 임피던스매칭방법
JP2013098177A (ja) * 2011-10-31 2013-05-20 Semes Co Ltd 基板処理装置及びインピーダンスマッチング方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101791706B1 (ko) * 2009-10-21 2017-10-30 램 리써치 코포레이션 전력 회로를 위한 rf 분리

Also Published As

Publication number Publication date
CN114521276A (zh) 2022-05-20
KR20230032001A (ko) 2023-03-07
WO2021067160A1 (en) 2021-04-08
US20220328236A1 (en) 2022-10-13
JP2022550201A (ja) 2022-11-30
TW202131361A (zh) 2021-08-16
KR20220057645A (ko) 2022-05-09

Similar Documents

Publication Publication Date Title
KR102088594B1 (ko) 정전 척들의 전극들의 파라미터들을 설정하고 조정하기 위한 임피던스들을 갖는 튜닝 회로들을 포함하는 rf 튜닝 시스템들
KR102505150B1 (ko) 변압기들 및/또는 변압기 커플링된 결합기들 (combiners) 을 포함하는 무선 주파수 분배 회로들
US20210327689A1 (en) Metal contamination reduction in substrate processing systems with transformer coupled plasma
US20240105429A1 (en) High power cable for heated components in rf environment
US20230290611A1 (en) Distributed plasma source array
US20220375719A1 (en) Frequency based impedance adjustment in tuning circuits
US20220415625A1 (en) Substrate supports with integrated rf filters
CN114556542A (zh) 用于衬底处理系统的衬底支撑件的加热器元件的电源隔离电路
CN115152144A (zh) 具有结构电容的包含堆叠线圈的平面型多层射频滤波器
JPWO2021067160A5 (ko)
WO2020146189A1 (en) Fibonacci coil for plasma processing chamber

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant