TWI821681B - 介面工具 - Google Patents

介面工具 Download PDF

Info

Publication number
TWI821681B
TWI821681B TW110121057A TW110121057A TWI821681B TW I821681 B TWI821681 B TW I821681B TW 110121057 A TW110121057 A TW 110121057A TW 110121057 A TW110121057 A TW 110121057A TW I821681 B TWI821681 B TW I821681B
Authority
TW
Taiwan
Prior art keywords
chamber
gas
flow
circulation system
closed
Prior art date
Application number
TW110121057A
Other languages
English (en)
Other versions
TW202249161A (zh
Inventor
許誌修
倪其聰
林木滄
林思宏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202249161A publication Critical patent/TW202249161A/zh
Application granted granted Critical
Publication of TWI821681B publication Critical patent/TWI821681B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F3/00Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems
    • F24F3/12Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems characterised by the treatment of the air otherwise than by heating and cooling
    • F24F3/16Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems characterised by the treatment of the air otherwise than by heating and cooling by purification, e.g. by filtering; by sterilisation; by ozonisation
    • F24F3/167Clean rooms, i.e. enclosed spaces in which a uniform flow of filtered air is distributed
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F9/00Use of air currents for screening, e.g. air curtains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F9/00Use of air currents for screening, e.g. air curtains
    • F24F2009/005Use of air currents for screening, e.g. air curtains combined with a door

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Combustion & Propulsion (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Stored Programmes (AREA)
  • Ultra Sonic Daignosis Equipment (AREA)
  • Cutting Tools, Boring Holders, And Turrets (AREA)

Abstract

封閉式氣體循環系統可包括密封氣室、循環風扇和風扇過濾單元(FFU)入口,以容納、過濾、調節和再循環通過介面工具腔室的氣體。供應給腔室的氣體在封閉式氣體循環系統中維持在調節的環境中,這與通過FFU入口將外部空氣引入腔室不同。這使得能夠精確控制腔室中所使用氣體的相對濕度和氧氣濃度,從而減少了通過腔室運送的半導體晶圓的氧化。封閉式氣體循環系統還可以包括氣流整流器、回流孔和一或多個真空泵,以在腔室內形成向下流動的經準直氣體並自動控制通過腔室和密封氣室的前饋壓力和氣體流量。

Description

介面工具
本發明實施例係關於一種介面工具。
半導體晶圓能在半導體製造設施中的各種半導體處理工具中處理,以產生各種積體電路及/或半導體元件。半導體晶圓能在半導體製造設施內各處及/或在半導體製造設施中的半導體處理工具之間運送。
根據本發明的一實施例,一種使用半導體工具的方法包括:確定與一介面工具相關聯的一裝載埠上的一運輸載體的一門已被打開;根據確定該門已被打開而使一封閉式氣體循環系統通過該介面工具的一風扇過濾器單元(FFU)入口從一密封氣室向該介面工具的一腔室供應一氣體的一供應流;使用該腔室中的一氣流整流器,從該供應流形成該腔室中的該氣體的一層流;使用該腔室中的一晶圓運輸工具在該運輸載體和一半導體處理工具之間運送一晶圓;在運送該晶圓時利用該腔室中的該氣體的該層流去除該腔室中的污染物。
根據本發明的一實施例,一種介面工具包括:一腔室;一晶圓運輸工具,其在該腔室中;及一封閉式氣體循環系統包括:一密封氣室,其在該腔室外部並且至少部分地圍繞該腔室;一氣流整流器,其準直從該密封氣室來的一氣體的一供應流到該腔室;一真空泵,其使該氣體在該腔室內向下流動以匯集該腔室內的污染物;一回流孔,其包括一多個區域,每個區域具有穿過該回流孔的不同尺寸的孔,以促進在該腔室內向下之該氣體的一層流;及一或多個循環風扇,其在密封氣室中以:匯集通過該回流孔的該氣體,及供應該氣體的一回流到該密封氣室。
根據本發明的一實施例,一種使用半導體工具的方法包括:使用包含在一介面工具的一封閉式氣體循環系統的一密封氣室中的一第一組循環風扇,使一氣體的一回流從該介面工具的一腔室流向該密封氣室;使用包含在該密封氣室中的一第二組循環風扇,使該氣體的一供應流從該密封氣室通過一風扇過濾器單元(FFU)入口流向該腔室;使用該腔室內的一氣流整流器準直該氣體的該供應流,以在該腔室內形成該氣體的一層流;及使用該封閉式氣體循環系統的一或多個真空泵,使該氣體的該層流通過一回流孔在該腔室內向下流動,其中當該氣體在該腔室中向下流向該回流孔時,該氣體會在該腔室中匯集污染物。
以下揭露提供用於實施所提供標的之不同特徵之諸多不同實施例或實例。下文將描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且不意在產生限制。例如,在以下描述中,在第二構件上方或第二構件上形成第一構件可包含其中形成直接接觸之第一構件及第二構件的實施例,且亦可包含其中可在第一構件與第二構件之間形成額外構件使得第一構件及第二構件可不直接接觸的實施例。另外,本揭露可在各個實例中重複參考元件符號及/或字母。此重複係為了簡單及清楚且其本身不指示所討論之各種實施例及/或組態之間的一關係。
此外,為便於描述,諸如「下面」、「下方」、「下」、「上方」、「上」及其類似者之空間相對術語在本文中可用於描述一元件或構件與另一(些)元件或構件之關係,如圖中所繪示出。除圖中所描繪之定向之外,空間相對術語亦意欲涵蓋裝置在使用或操作中之不同定向。設備可依其他方式定向(旋轉90度或依其他定向)且亦可因此解譯本文中所使用之空間相對描述詞。
包含在位於半導體處理工具和裝載埠之間的介面工具(例如,設備前段部分模組(EFEM)或相似類型的介面工具)中的晶圓傳輸工具(例如,機械手臂)可以在傳輸載體(例如,晶圓盒子、前開式晶圓傳送盒(FOUP)、盒子、容器或相似類型的裝置)和半導體處理工具(例如,蝕刻工具、沉積工具)之間運送半導體晶圓。為了將半導體晶圓從運輸載體運送到半導體處理工具,可以將運輸載體放置在與半導體處理工具相關聯的裝載埠內及/或之上。晶圓運輸工具可以從運輸載體取得半導體晶圓,並且可以通過介面工具的腔室將半導體晶圓運送到半導體處理工具。在製程處理之後,晶圓運輸工具可以通過介面工具的腔室將半導體晶圓送回到運輸工具。
介面工具的腔室內的環境可以經調節以最小化及/或防止污染物通過腔室轉移到半導體晶圓。例如,空氣可以通過風扇過濾器單元 (Fan Filter Unit,FFU)供應給腔室,該風扇過濾器單元在將空氣向下吹入腔室之前先過濾空氣。向下流過腔室的空氣在到達抽氣室之前能在腔室中匯集污染物,從而使污染物不會落在通過腔室轉移的半導體晶圓上。然而,FFU提供的氣流可能是紊流,這可能會干擾腔室內污染物的有效去除。紊流可能會減慢或分散氣流(從而去除污染物)及/或可能給腔室中的污染物帶來不預期的傳播量。此外,通過FFU供應給腔室的空氣可能具有高的相對濕度及/或高的氧含量,這可導致通過腔室傳送的半導體晶圓的氧化及/或污染。
本文所描述的一些實施方式提供了封閉式氣體循環系統。封閉式氣體循環系統包括各種組件及/或子系統,以調節供應給介面工具腔室的空氣。封閉式氣體循環系統可包括密封氣室、循環風扇、和FFU入口以容納、過濾、調節和再循環通過腔室的氣體。供應給腔室的氣體在封閉式氣體循環系統中維持在調節的環境中,這與通過FFU入口將外部空氣引入腔室不同。這使得能夠精確控制腔室中所使用氣體的相對濕度和氧氣濃度,從而減少了通過腔室運送的半導體晶圓的氧化。
封閉式氣體循環系統還可以包括氣流整流器、回流孔和一或多個真空泵,以在腔室內形成向下流動的經準直氣體並自動控制通過腔室和密封氣室的前饋壓力和氣體流量。在腔室中向下流動的經準直氣體可以減少腔室內的紊流,並且可以增加通過腔室循環的氣體的污染物匯集效率。
因此,封閉式氣體循環系統可以減少腔室中的相對濕度和氧氣濃度,並且可以減少半導體晶圓污染,這可以減少半導體晶圓缺陷,可以增加晶圓驗收測試法(wafer acceptance test,WAT)的良率,並且可以增加半導體元件的製造良率。此外,封閉式氣體循環系統可包括控制器和一或多個感測器,以收集感測器數據並使封閉式氣體循環系統的一或多個步驟自動化,這可以提高封閉式氣體循環系統(和介面工具)的操作效率,可以減少介面工具的停機時間,並可以提高介面工具的生產率。
圖1A-1C是本文所描述的示例半導體處理環境100之圖。示例半導體處理環境100可能包括,或可能被包括在半導體製造設施、半導體代工廠、半導體處理設施、半導體無塵室及/或在處理半導體晶圓及/或元件的其他環境中。
圖1A係繪示出示例半導體處理環境100之透視圖。如圖1A所示,示例半導體處理環境100可能包括介面工具102,該介面工具102經配置以在半導體處理工具104和支撐在一或多個裝載埠108上的一或多個運輸載體106之間、以及其他工具及/或裝置之間運送半導體晶圓。半導體處理工具104可能包括經配置以在一或多個半導體晶圓及/或元件上執行一或多個半導體處理步驟的一或多個工具。例如,半導體處理工具104可能包括沉積工具(例如,做為將一或多個層沉積到半導體晶圓上的半導體處理工具)、電鍍工具(例如,做為將一或多個金屬層沉積到半導體晶圓上的電鍍工具)、曝光工具(例如,極紫外光(EUV)工具、電子束(e-beam)工具)、蝕刻工具(例如,濕式蝕刻工具、乾式蝕刻工具)或其他類型的半導體處理工具。
裝載埠108可包括經配置以接收和支撐運輸載體106的平台。運輸載體106可能包括晶圓卡匣、前開式晶圓傳送盒(FOUP)、盒子、容器或做為固定及/或存放多個半導體晶圓的相似類型的裝置。裝載埠108可以從運輸機器人、運輸小車、懸吊式自動搬運系統(overhead hoist transport,OHT)或其他做為將運輸載體往返於各個位置的裝置中接收運輸載體106。
裝載埠108上的運輸載體106中的半導體晶圓可以通過介面工具102的腔室110運送到半導體處理工具104。半導體晶圓可以直接運送到半導體處理工具104的處理區域中,可以運送到半導體處理工具104的載台區域中,或者可以運送到半導體處理工具的其他區域中。額外地及/或可替代地,可以通過腔室110將半導體晶圓從半導體處理工具104運送到裝載埠108上的運輸載體106。腔室110可以包括密封的外殼,該密封的外殼提供了可以通過其傳送半導體晶圓的調節空間(例如,溫度調節、濕度調節)。腔室110可以被密封以防止濕氣、氧氣和污染物(例如,灰塵和其他顆粒)從腔室110外部的示例半導體處理環境100的開放區域進入。
介面工具102可以包括封閉式氣體循環系統112,其經配置以使氣體循環通過腔室110以調節腔室110中的空間並從腔室110去除污染物。封閉式氣體循環系統112可以是封閉的系統,因為供應給腔室110的氣體被封閉並保留位在腔室110外部且在腔室110周圍的密封氣室114中,並且在示例半導體處理環境100的開放環境中不與外部空氣混合。換句話說,氣體從腔室110被除去,通過密封氣室114循環,並且從密封氣室114重新引入到腔室110中,而沒有使氣體暴露於外部空氣。這允許精確控制氣體和腔室110的各種參數,例如精確控制氣體和腔室110的相對濕度、精確控制氣體和腔室110的氧氣濃度、及/或精確控制氣體和腔室110的污染物。
密封氣室114可以由不透氣材料及/或不透氣部件形成,例如塑膠材料及/或塑膠組件、聚矽氧材料及/或聚矽氧組件、金屬材料及/或金屬組件、橡膠材料及/或橡膠組件及/或其組合。在一些實施方式中,密封氣室114包括細長平板、邊緣和轉角支撐件、墊圈、填隙及/或其他組件。在一些實施方式中,密封氣室114包括一件式組件。在一些實施方式中,密封氣室114將腔室110完全封閉在介面工具102所位於的地板上方。在一些示例中,允許氣體沿著腔室110的外表面的所有四個側面和頂部流過密封氣室114。在一些實施方式中,密封氣室114包圍側面的子集合(例如,側面中的兩個)和腔室110的頂部。在這些示例中,允許氣體沿著腔室110的外表面的側面和頂部的子集合流經密封氣室114。
可以將氣體引入封閉式氣體循環系統112中,並通過氣體面板116從封閉式氣體循環系統112中吹淨。氣體的吹淨並氣體的引入是可用於控制腔室110中的相對濕度和氧氣濃度的技術的子集合。該氣體可以包括乾燥空氣氣體、極度清潔乾燥空氣(extreme clean dry air, XCDA)氣體、氮氣(N 2)氣體、氮基的氣體或其他類型的惰性氣體,該惰性氣體可抵抗與半導體晶圓加工中使用的材料發生化學反應 。
多個循環風扇118(或鼓風機)其包含在密封氣室114的各個位置中,以將氣體從腔室110抽到密封氣室114中、使氣體通過密封氣室114循環、並通過位於腔室110頂部的FFU入口120向腔室110提供氣體。FFU入口120可包括風扇或鼓風機及過濾器(例如,高效率空氣微粒子(high efficiency particulate air,HEPA)過濾器或其他類型的空氣過濾器),經配置以在引入腔室110之前,先過濾顆粒和其他污染物的進入氣體。
封閉式氣體循環系統112可以包括控制器122和一或多個感測器,以產生和收集與腔室110、封閉式氣體循環系統112及/或氣體循環通過腔室110和封閉式氣體循環系統112的各種步驟參數相關的感測器數據。控制器122還可根據感測器數據及/或一或多種其他類型的數據自動控制封閉式氣體循環系統112的各個方面,例如啟動密閉室氣體循環系統112的操作、終止封閉式氣體循環系統112的操作、從封閉式氣體循環系統112吹淨氣體、將氣體引入封閉式氣體循環系統112及/或一或多個其他操作參數。
圖1B係繪示出沿著示例半導體處理環境100的一側的橫截面之正視圖。如圖1B所示,支撐在裝載埠108上的運輸載體106可以包括外殼124和門126。外殼124可以經配置以在其中存放多個半導體晶圓及/或可以經配置以被運輸機器人或OHT抓住和移動。門126可以經配置以裝在外殼體124上,以在外殼124和門126之間形成氣密密封,以最小化及/或防止其中的半導體晶圓暴露於濕氣、氧氣和其他污染物中。
門126可以從外殼124卸下,以提供通道進入外殼124。這允許包含在介面工具102的腔室110中的晶圓運輸工具128存取存放在運輸載體106中的半導體晶圓、將半導體晶圓放入運輸載體106中、及/或在運輸載體106和半導體處理工具104之間運送半導體晶圓。晶圓運輸工具128可通過腔室110在運輸載體106和半導體處理工具104之間運送半導體晶圓,以提供經調節和過濾的環境,在其中運送半導體晶圓。晶片運輸工具128可以通過腔室110的一側中的開口130進入運輸載體106,並且可以通過腔室110的另一側中的開口132進入半導體處理工具104。在一些實施方式中,開口130和開口132在腔室110的同一側。在一些實施方式中,開口130和開口132在腔室110的相對側上或在腔室110的相鄰側上。在一些實施方式中,腔室110可包括一或多個門以在開口130及/或開口132周圍形成氣密密封(例如,當運輸載體106未嵌入開口130中時)。
圖1B進一步繪示出通過腔室110的氣體的示例流動。如圖1B所示,氣體可以從密封氣室114並通過FFU入口120提供至腔室110中。氣體的供應流134可在腔室內從FFU入口120向下流動到晶圓運輸工具128上方的腔室110中所包括的氣流整流器136。封閉式氣體循環系統112可以包括氣流整流器136,以整流腔室110中的氣體的供應流134。特別地是,氣流整流器136可以經配置以準直氣體的供應流134,以形成向下進入腔室110的氣體的層流138。層流138可包含在腔室110中向下的經準直或平行的氣體流動路徑。層流138減少、最小化及/或防止氣體的流動路徑的混合,這減少、最小化及/或防止腔室110中的紊流。
氣流整流器136可以由各種類型的材料形成,例如塑膠材料、金屬、複合材料或其組合。在一些實施方式中,氣流整流器136由聚乙烯或聚氯乙烯(PVC)形成。氣流整流器136可跨越腔室110的整個水平橫截面區域,以最小化及/或防止氣流整流器136周圍的氣流洩漏。這樣,通過FFU入口120供應給腔室110的所有氣體都流過氣流整流器136。
為了準直氣體的供應流134以形成層流138,氣流整流器136可以包括多孔結構,該多孔結構包括貫穿氣流整流器136的整個厚度的多個孔(例如,孔、開口)。氣流整流器136可包括例如成千上百個孔,氣體可流過這些孔。孔將氣體的供應流134劃分成構成層流138的單獨流動路徑。孔的尺寸(例如,寬度或直徑)可以在0.01微米至1微米的範圍內,使其不會太小並限制氣體通過孔的流動,並且不太大以準直氣體。孔可以以產生層流138的方式在氣流整流器136上均勻及/或不均勻地間隔開。
如圖1B所示,層流138的氣體可以在腔室110中向下流過晶圓運輸工具128。氣體的層流138可以向下流動通過晶圓運輸工具128下方的回流孔140。封閉式氣體循環系統112可以包括一或多個真空泵142,以使氣體的層流138通過回流孔140在腔室110中向下流動。特別地是,一或多個真空泵142可以位於腔室110的底部附近(例如,在腔室110的外面)或位於腔室110下方(例如,在介面工具102所位於的地板下方),並且可能在腔室110的頂部和腔室110的底部之間產生負壓差。腔室110的頂部與腔室110的底部之間的負壓差迫使氣體通過回流孔140在腔室110中向下流動。負壓差可以在大約-0.01帕斯卡到大約-10帕斯卡的範圍內,以促進去除腔室110中的顆粒和其他污染物並使腔室110中的紊流最小化。
流過回流孔140的氣體可以被匯集,並作為該氣體的回流144被提供回到密封氣室114。氣體可以以與上述類似的方式以連續的方式通過封閉式氣體循環系統112和腔室110進行再循環,以從腔室110中去除污染物並控制腔室110中的相對濕度和氧氣濃度(以及其他環境參數)。
回流孔140可包括多孔結構。多孔結構可以包括貫穿回流孔140的整個厚度的多個孔,氣體可以流過這些孔。回流孔140的孔尺寸(例如,寬度或直徑)可以在大約1厘米至大約10厘米的範圍內。在一些實施方式中,孔的尺寸根據一或多個參數,例如腔室110的尺寸(例如,對於較大的腔室可以包括較大的孔,對於較小的腔室可以包括較小的孔),通過腔室110經配置的氣體流量(或流速範圍)(例如,對於較高的流速可以包括較大的孔,對於較小的流速可以包括較小的孔),用於腔室110經配置的負壓差(或負壓差範圍)(例如,對於較小的負壓差可以包括較大的孔,對於較大的負壓差可以包括較小的孔),及/或一或多個其他參數。
回流孔140可以進一步包括多個區域,其中每個區域(或區域的子集合)可以包括各自的多個孔。多個孔中的每個孔各自可包括不同的孔尺寸。這些區域可以經配置以使得這些孔的尺寸跨越從腔室110的一側到腔室110的另一側或者從腔室110的第一區域到腔室110的第二區域而增大(或減小)。這可以在回流孔140上形成孔徑大小的梯度,這可以促進氣體的層流138朝著進入密封氣室114的入口流動。作為示例,第一區域140a可以包括具有1厘米的尺寸的孔,第二區域140b可以包括具有5厘米的尺寸的孔,並且第三區域140c可以包括具有10厘米的尺寸的孔。
如圖1B進一步所示,封閉式氣體循環系統112可以包括一或多個感測器146,感測器146經配置以整合並提供與腔室110、封閉式氣體循環系統112、及/或通過腔室110和封閉式氣體循環系統112循環的氣體的各種操作參數相關的感測器數據到控制器122。儘管圖1B示出了一或多個感測器146被包含在腔室110中,一或多個感測器146可以額外地及/或可替代地被包含在其他位置中,例如在密封氣室114中、在一或多個真空泵142上及/或在一或多個其他位置處或之上。控制器122可以接收感測器數據並且可以控制包含在封閉式氣體循環系統112及/或介面工具102中的各種組件。
一或多個感測器146可以包括壓力感測器,其經配置以整合壓力感測器數據(例如,可以指示或可以用於確定腔室110及/或密封氣室114中的壓力或壓力差的數據);相對濕度感測器,其經配置以整合相對濕度數據(例如,可指示或可用於確定腔室110及/或密封氣室114中的相對濕度百分比的數據);氧氣感測器,其其經配置以整合氧氣濃度數據(例如,可以指示或可以用來確定腔室110及/或密封氣室114中的氧氣濃度的數據);流量計,其經配置以整合流量數據(例如,可以指示或可以用於確定流過腔室110及/或流過密封氣室114的氣體的體積流量的數據);風速計,其經配置以整合流速數據(例如,可指示或可用於確定流過腔室110及/或流過密封氣室114的氣體的線性空氣速度的數據);近接感測器或其他類型的感測器,其經配置以整合門126的位置數據(例如,可用於確定門126是打開的還是關閉的);其他類型的感測器或其組合。
在一些實施方式中,控制器122根據從一或多個感測器146接收的門126的位置數據(例如,根據確定門126已從運輸載體106被打開或卸下),自動啟動封閉式氣體循環系統112的操作,這可以包括啟動一或多個循環風扇118、啟動一或多個真空泵142及/或啟動FFU入口120的風扇。在一些實施方式中,控制器122根據從一或多個感測器146接收到的門126的位置數據(例如,根據確定門126已關閉或放置在運輸載體106上),自動停止封閉式氣體循環系統112的操作,這可以包括停用一或多個循環風扇118、停用一或多個真空泵142及/或停用FFU入口120的風扇。
在一些實施方式中,控制器122可根據壓力感測器數據、流速數據、相對濕度數據、氧氣濃度數據及/或流速數據自動調節腔室110中的負壓差,這可以包括調節(或使一個或多個真空泵142進行調節)一或多個真空泵142的蝶形閥的位置以增加或減小負壓差。在一些實施方式中,控制器122調整負壓差是根據確定負壓差不在封閉式氣體循環系統112的配置負壓差範圍內,或根據確定負壓差不在封閉式氣體循環系統112的負壓差設置的公差範圍內。
在一些實施方式中,控制器122調節負壓差是根據確定流量不在封閉式氣體循環系統112的配置流量範圍內,或根據確定流量不在封閉式氣體循環系統112的流量設置的配置公差內。在一些實施方式中,控制器122調節負壓差是根據確定流速不在封閉式氣體循環系統112的配置流速範圍內,或根據確定流速不在封閉式氣體循環系統112的流速設置的配置公差內。流速範圍可以從每秒約0.1米(例如,為氣體提供足夠的流速以從腔室110去除污染物)到每秒約1米(例如,以最小化流過腔室110的氣體的紊流)。
在一些實施方式中,控制器122調節負壓差、流速及/或氣體流速,以控制通過封閉式氣體循環系統112的氣體的前饋氣流(例如,通過封閉式氣體循環系統112的整個循環的氣流,包括通過FFU入口120、通過腔室110、以及通過密封氣室114的氣流)。控制器122可以控制前饋氣流(例如,通過調整負壓差)以調節相對濕度(例如,根據確定相對濕度不滿足氣體的相對濕度閾值)及/或降低氧氣濃度(例如,根據確定氧氣濃度不滿足氣體的氧氣濃度閾值)。作為示例,控制器122可以將腔室110中的相對濕度減小到小於大約43%,並且在一些情況下,小於大約1%,以最小化在通過腔室110運送的半導體晶圓上的冷凝的形成。作為另一示例,控制器122可以將腔室110中的氧氣濃度降低至2.1x10 5百萬分之一(ppm)以下及/或1x10 3百萬分之一(ppm)以下,以最小化通過腔室110運送的半導體晶圓的氧化。
在一些實施方式中,控制器122調節一或多個循環風扇118的風扇速度以提供均勻氣體流過密封氣室114、以調整通過封閉式氣體循環系統112的前饋氣流、以控制通過FFU入口120供給腔室110的氣體量、及/或控製或調整一或多個其他操作參數。在一些實施方式中,控制器122通過氣體面板116將氣體引入封閉式氣體循環系統112中及/或通過氣體面板116將氣體從封閉式氣體循環系統112中吹淨,以控製或調節腔室110中的相對濕度、以控製或調節腔室110中的氧氣濃度、及/或增加或減少流經封閉式氣體循環系統112的氣體量。
圖1C係繪示出沿著示例半導體處理環境100的正面的橫截面之正視圖。而且,圖1C繪示出通過封閉式氣體循環系統112和腔室110的氣體的示例再循環路徑。如圖1C所示,可以從密封氣室114通過FFU入口120提供氣體的供應流134。氣體的供應流134流過氣流整流器136,氣流整流器136準直氣體以形成氣體的層流138。由一或多個真空泵142形成的腔室110中的負壓差導致氣體的層流138在腔室110中向下流動。氣體被匯集在腔室110的底部附近,並且氣體的回流144被供應給密封氣室114。靠近密封氣室114底部的循環風扇118a和118b使氣體的回流144流入密封氣室114。循環風扇118a和118b以及循環風扇118c和118d的組合導致氣體的回流144沿著密封氣室114向上流動。氣體的回流144供應給FFU入口120,該入口FFU過濾氣體並將供應流134供應給腔室110。當封閉式氣體循環系統112在運行時,通過腔室110和封閉式氣體循環系統112的氣體的這種再循環能持續下去。
如上所描述,提供圖1A-1C作為一或多個示例。其他示例可能與關於圖1A-1C所描述的不同。
圖2A-2H是本文描述的示例實施方式200之圖。示例實施方式200可包括封閉式氣體循環系統112的示例操作,該操作與將半導體晶圓從運輸載體106運送到半導體處理工具104有關。
如圖2A所示,可以將運輸載體106放置在裝載埠108上。可以將運輸載體106的門126安裝在運輸載體106的外殼124上,以防止其中的半導體晶圓暴露於外部環境中的濕氣、氧氣及/或污染物。
如圖2B所示,門126可以從運輸載體106的外殼124上卸下,以提供進入其中的半導體晶圓的通道。控制器122可以確定門126已經被打開並且已經從外殼124卸下。例如,控制器122可以根據從一或多個感測器146接收與門126的位置相關聯的感測器數據(例如,接近感測器數據)來確定門126已經被打開並且已經從外殼124卸下。
如圖2C所示,控制器122可以根據確定門126已經從外殼124卸下而自動啟動封閉式氣體循環系統112的操作。例如,控制器122可以自動使封閉式氣體循環系統112通過FFU入口120從密封氣室114向腔室110供應氣體的供應流134。氣體的供應流134可以流過氣流整流器136,其可以從腔室110中的供應流134形成氣體的層流138。氣體的層流138可以在腔室110中向下流過晶圓傳輸工具128並流過回流孔140。流過回流孔140的氣體可以被匯集並作為氣體的回流144供應給密封氣室114。循環風扇118可以使回流144通過密封氣室114循環到FFU入口120。FFU入口120過濾氣體,並且氣體以類似的方式通過腔室110和封閉式氣體循環系統112再循環。
如圖2D至圖2F所示,晶圓運輸工具128可以在封閉式氣體循環系統112運行的同時將半導體晶圓202從運輸載體106運送到半導體處理工具104。腔室110中的氣體的層流138可用於去除室110中的污染物,同時晶圓運輸工具128將半導體晶圓202從運輸載體106傳送到半導體處理工具104。在一些實施方案中,可在半導體晶圓202運送之前使封閉式氣體循環系統112操作一段時間(例如,約12分鐘或更長),使得封閉式氣體循環系統112被允許達到穩定的操作狀態。這允許在運送半導體晶圓202之前將腔室110中的相對濕度和氧濃度降低到可接受的程度。
如圖2D所示,晶圓運輸工具128可以通過腔室110中的開口130從運輸載體106取得半導體晶圓202。如圖2E所示,晶圓運輸工具128可以通過開口130將半導體晶圓收並進入腔室110中。如圖2F所示,晶圓運輸工具128可以通過開口132將半導體晶圓202提供給半導體處理工具104。
如圖2G所示,在將半導體晶圓202運送到半導體處理工具104之後,可以將運輸載體106的門126放回到運輸載體106的外殼124上。控制器122可以確定門126已經關閉並且放置或裝回到外殼124上。例如,控制器122可根據從一或多個感測器146接收與門126的位置相關的感測器數據(例如,接近感測器數據)來確定門126已經關閉並且放置或裝回到外殼124上。
如圖2H所示,控制器122可以根據確定門126已經關閉並且放置或裝回到外殼124上而自動停止封閉式氣體循環系統112的操作。例如,控制器122可以自動使封閉式氣體循環系統112停止供應從密封氣室114通過FFU入口120到腔室110的氣體的供應流134。控制器122還可停用FFU入口120、一或多個真空泵142及/或循環風扇118。
如上所描述,以圖2A-2H為例。其他示例可以與關於圖2A-2H所描述的示例不同。
圖3A-3H是本文所描述的示例實施方式300之圖。示例實施方式300可包括封閉式氣體循環系統112的示例操作,該操作與將半導體晶圓從半導體處理工具104運送到運輸載體106有關。
如圖3A所示,運輸載體106可以放置在裝載埠108上。運輸載體106的門126可以裝在運輸載體106的外殼124上,以防止濕氣、氧氣及/或污染物進入運輸載體106。
如圖3B所示,門126可以打開並從運輸載體106的外殼124中卸下,以提供通道進入對外殼124。控制器122可以確定門126已經被打開並且已經從外殼124卸下。例如,控制器122可以根據從一或多個感測器146接收與門126的位置相關聯的感測器數據(例如,接近感測器數據)來確定門126已經被打開並且已經從外殼124卸下。
如圖3C所示,控制器122可以根據確定門126已經被打開並且已經從外殼124卸下而自動啟動封閉式氣體循環系統112的操作。例如,控制器122可以自動使封閉式氣體循環系統112通過FFU入口120從密封氣室114向腔室110供應氣體的供應流134。氣體的供應流134可以流過氣流整流器136,其可以從腔室110中的供應流134形成氣體的層流138。氣體的層流138可以在腔室110中向下流過晶圓傳輸工具128並流過回流孔140。流過回流孔140的氣體可以被匯集並作為氣體的回流144供應給密封氣室114。循環風扇118可以使回流144通過密封氣室114循環到FFU入口120。FFU入口120過濾氣體,並且氣體以類似的方式通過腔室110和封閉式氣體循環系統112再循環。
如圖3D至圖3F所示,晶圓運輸工具128可以在封閉式氣體循環系統112運行的同時將半導體晶圓302從運輸載體106運送到半導體處理工具104。腔室110中的氣體的層流138可用於去除室110中的污染物,同時晶圓運輸工具128將半導體晶圓202從半導體處理工具104傳送到運輸載體106。在一些實施方案中,可在半導體晶圓302運送之前使封閉式氣體循環系統112操作一段時間,使得封閉式氣體循環系統112被允許達到穩定的操作狀態。這允許在運送半導體晶圓302之前將腔室110中的相對濕度和氧濃度降低到可接受的程度。
如圖3D所示,晶圓運輸工具128可以通過腔室110中的開口132從半導體處理工具104取得半導體晶圓302。如圖3E所示,晶圓運輸工具128可以通過開口132將半導體晶圓收回到腔室110中。如圖3F所示,晶圓運輸工具128可以通過開口130將半導體晶圓302提供給運輸載體106。
如圖3G所示,在將半導體晶圓302運送到運輸載體106之後,可以將運輸載體106的門126放回到運輸載體106的外殼124上以關閉門126。控制器122可以確定門126已經關閉並且放置或裝回到外殼124上。例如,控制器122可根據從一或多個感測器146接收與門126的位置相關的感測器數據(例如,接近感測器數據)來確定門126已經關閉並且放置或裝回到外殼124上。
如圖3H所示,控制器122可以根據確定門126已經被放置或裝回到外殼124上而自動停止封閉式氣體循環系統112的操作。例如,控制器122可以自動使封閉式氣體循環系統112停止供應從密封氣室114通過FFU入口120到腔室110的氣體的供應流134。控制器122還可停用FFU入口120、一或多個真空泵142及/或循環風扇118。
如上所描述,以圖3A-3H為示例。其他示例可以與關於圖3A-3H所描述的示例不同。
圖4A和圖4B是本文所描述的介面工具中的示例氣流場400之圖。圖4A係繪示出向量流場402,圖4B係繪示出流線流場404。如向量流場402和流線流場404所示,氣體從密封氣室114通過FFU入口120供應到腔室110。從FFU入口120流出的氣體可能略有紊流。來自FFU入口120的氣體可以流入氣流整流器136,該氣流整流器136向下準直氣體進入層流138,向下穿過腔室110並朝向進入密封氣室114的入口。
如上所描述,提供了圖4A和4B作為示例。其他示例可以與關於圖4A和4B所描述的示例不同。
圖5是本文所描述的封閉式氣體循環系統112的示例操作時間線500之圖。示例操作時間線500可以繪示出在封閉式氣體循環系統的操作期間,腔室110中的相對濕度的變化。
如圖5所示,腔室110中的相對濕度可以從封閉式氣體循環系統112的初始操作狀態逐漸降低到封閉式氣體循環系統112的穩態操作。初始操作狀態可以指的是封閉式氣體循環系統112開始操作的時間段。循環狀態可以指操作的時間段,在該時間段中,氣體循環通過封閉式氣體循環系統112和腔室110,以從腔室110去除濕氣,直到封閉式氣體循環系統112達到穩態操作為止,在該穩態操作中,腔室中的相對濕度得以穩定。
如上所描述,以圖5為例。其他示例可以與關於圖5所描述的示例不同。
圖6是設備600的示例組件的圖,其可以對應於控制器122及/或封閉式氣體循環系統112的一或多個組件。在一些實施方式中,控制器122及/或封閉式氣體循環系統112的一或多個組件可以包括一或多個設備600及/或設備600的一或多個組件。如圖6所示,設備600可以包括匯流排610、處理器620、記憶體630、儲存組件640、輸入組件650、輸出組件660和通訊組件670。
匯流排610包括使得能夠在設備600的組件之間進行有線及/或無線通信的組件。處理器620包括中央處理器、圖形處理器、微處理器、控制器、微控制器、數位信號處理器、現場可程式邏輯閘陣列、特殊應用積體電路及/或其他類型的處理組件。處理器620以硬體、韌體或硬體和軟體的組合來實施。在一些實施方式中,處理器620包括一或多個能夠被編程以執行功能的處理器。記憶體630包括隨機存取記憶體、唯讀記憶體及/或其他類型的記憶體(例如,快閃記憶體、磁性記憶體及/或光學記憶體)。
儲存組件640儲存與設備600的操作有關的信息及/或軟體。例如,儲存組件640可能包括硬碟機、磁碟機、光碟機、固態磁碟機、光碟、數位多功能光碟及/或其他類型的非暫時性電腦可讀取媒體。輸入組件650使設備600能夠接收輸入,例如用戶輸入及/或感測到的輸入。例如,輸入組件650可能包括觸控螢幕、鍵盤、小鍵盤、滑鼠、按鈕、麥克風、開關、感測器、全球定位系統組件、加速度計、陀螺儀及/或致動器。輸出組件660使設備600能夠例如經由顯示器、揚聲器及/或一或多個發光二極體來提供輸出。通訊組件670使設備600能夠例如經由有線連接及/或無線連接與其他設備通訊。例如,通訊組件670可能包括接收器、傳輸器、收發器、數據機、網絡介面卡及/或天線。
設備600可能執行本文描述的一或多個處理程序。例如,非暫時性電腦可讀取媒體(例如,記憶體630及/或儲存組件640)可能儲存一組指令(例如,一或多個指令、代碼、軟體代碼及/或程式代碼)以供處理器620執行。處理器620可能執行指令集以執行本文描述的一或多個處理程序。在一些實施方式中,由一或多個處理器620執行的指令集使一或多個處理器620及/或設備600執行本文所描述的一或多個處理程序。在一些實施方式中,可能代替或與指令結合使用固線式電路來執行本文所描述的一或多個處理程序。因此,本文描述的實施方式不限於硬體電路和軟體的任何特定組合。
圖6所示組件的數量和設置作為提供示例。與圖6所示的設備相比,設備600可能包括額外的組件、更少的組件、不同的組件或不同設置的組件。另外地或可替代地,設備600的一組組件(例如,一或多個組件)能執行被描述為由設備600的其他組組件執行的一或多個功能。
圖7係關於與進入運輸載體相關的示例處理程序700之流程圖。在一些實施方式中,圖7的一或一個以上處理區塊可由控制器(例如,控制器122)執行。在一些實施方式中,圖7的一或多個處理區塊可以由與控制器分開或包括控制器的其他裝置或一組裝置來執行,例如封閉式氣體循環系統(例如,封閉式氣體循環系統112)、晶圓運輸工具(例如,晶圓運輸工具128)及/或其他裝置。另外地或可替代地,圖7的一或多個處理區塊可能由設備600的一或多個組件執行,例如處理器620、記憶體630、儲存組件640、輸入組件650、輸出組件660及/或通訊組件670。
如圖7所示,處理程序700可以包括確定與介面工具相關聯的裝載埠上的運輸載體的門已經被打開(區塊710)。例如,如上所描述,控制器122可以確定已經打開了與介面工具102相關聯的裝載埠108上的運輸載體106的門126。
如圖7進一步所示,處理程序700可以包括根據確定門已被打開而自動使封閉式氣體循環系統通過介面工具的FFU入口從密封氣室向介面工具的腔室提供氣體的供應流(區塊720)。例如,如上所描述,控制器122可以根據確定門126已經被打開而自動使封閉式氣體循環系統112通過介面工具102的FFU入口120從密封氣室114向介面工具102的腔室110提供氣體的供應流134。
如圖7進一步所示,處理程序700可包括使用腔室中的氣流整流器從腔室中的供應流形成氣體的層流(區塊730)。例如,如上所描述,封閉式氣體循環系統112可以使用腔室110中的氣流整流器136,從腔室110中的供應流134形成氣體的層流138。
如圖7進一步所示,處理程序700可以包括使用腔室中的晶圓運輸工具,通過腔室在運輸載體和半導體處理工具之間運送晶圓(區塊740)。例如,如上所描述,介面工具102可以使用腔室110中的晶圓運輸工具128,通過腔室110在運輸載體106和半導體處理工具104之間運送晶圓(例如,半導體晶圓202、半導體晶圓302)。
如圖7進一步所示,處理程序700可以包括在運送晶圓的同時使用腔室中的氣體的層流來去除腔室中的污染物(區塊750)。例如,如上所描述,在運送晶圓的同時,封閉式氣體循環系統112可以使用腔室110中的氣體的層流138來去除腔室110中的污染物。
處理程序700可能包括額外的實施方式,諸如以下描述的及/或結合本文中其他地方描述的一或多個其他過程的任何單個實施方式或實施方式的任何組合。
在第一實施方式中,在運輸載體之間運送晶圓,包括通過腔室將晶圓從運輸載體運送到半導體處理工具。在第二實施方式中,單獨地或與第一實施方式結合使用,處理程序700包括在晶圓已經在運輸載體和半導體處理工具之間運送之後,確定運輸載體的門已經關閉,並且根據確定門已經關閉而自動使封閉式氣體循環系統停止供應從密封氣室到腔室的氣體的供應流。
在第三實施方式中,單獨地或與第一和第二實施方式中的一或多個組合,處理程序700包括,使用控制器並根據從封閉式氣體循環系統的相對濕度感測器(例如,一或多個感測器146)接收到的感測器數據,確定腔室內的相對濕度不滿足相對濕度閾值,並且使用控制器並根據確定腔室中的相對濕度不滿足相對濕度閾值,以調節腔室中氣體的層流的流速以滿足相對濕度閾值。在第四實施方式中,單獨地或與第一至第三實施方式中的一或多個組合,調節流速包括使用控制器並根據從封閉式氣體循環系統的風速計(例如,一或多個感測器146)接收到的感測器數據來調節封閉式氣體循環系統中包括的真空泵(例如,一或多個真空泵142)的蝶形阻尼器位置,以調節流速。
在第五實施方式中,單獨地或與第一至第四實施方式中的一或多個組合,自動使封閉式氣體循環系統從密封氣室到腔室的供應氣體的供應流,包括使密封氣室中的一或多個循環風扇(例如,一或多個循環風扇118)從密封氣室到腔室的供應氣體的供應流。在第六實施方式中,單獨地或與第一至第五實施方式中的一或多個實施方式組合,在運輸載體之間運送晶圓包括將晶圓從半導體處理工具通過腔室運送到運輸載體。
儘管圖7顯示了處理程序700的示例區塊,但在一些實施方式中,與圖7中所描繪的那些相比,處理程序700可能包括額外的區塊、更少的區塊、不同的區塊或不同地佈置的區塊。另外,或者可替代地,處理程序700的兩個或更多區塊可能並行執行。
圖8是與操作封閉式氣體循環系統相關的示例處理程序800之流程圖。在一些實施方案中,圖8的一或一個以上處理區塊可由封閉式氣體循環系統(例如,封閉氣體循環系統112)執行。在一些實施方式中,圖8的一或多個處理區塊可以由與介面工具分開或包括介面工具的其他裝置或一組裝置來執行,諸如介面工具(例如,介面工具102)、晶圓運輸工具(例如,晶圓運輸工具128)、控制器(例如,控制器122)及/或其他裝置。另外地或可替代地,圖8的一或多個處理區塊可能由設備600的一或多個組件執行,例如處理器620、記憶體630、儲存組件640、輸入組件650、輸出組件660及/或通訊組件670。
如圖8所示,處理程序800可以包括,使用包含在介面工具的封閉式氣體循環系統的密封氣室中的第一組循環風扇,使氣體的回流從介面工具的腔室流向密封氣室(區塊810)。例如,如上所描述,封閉式氣體循環系統112可以使用包含在介面工具102的封閉式氣體循環系統112的密封氣室114中的第一組循環風扇118a和118b,使氣體的回流144從介面工具102的腔室110流到密封氣室114。
如圖8進一步所示,處理程序800可以使用包含在密閉氣室中的第二組循環風扇,使氣體的供應流通過FFU入口從密封氣室流向腔室(區塊820)。例如,如上所描述,封閉式氣體循環系統112可能會使用包含在密封氣室114中的第二組循環風扇118c和118d使得氣體的供應流134從密封氣室114通過FFU入口120流入腔室110。
如圖8進一步所示,處理程序800可以包括使用腔室中的氣流整流器準直氣體的供應流以在腔室中形成氣體的層流(區塊830)。例如,如上所描述,封閉式氣體循環系統112可以使用腔室110中的氣流整流器136來準直氣體的供應流134,以在腔室110中形成氣體的層流138。
如圖8進一步所示,處理程序800可以包括,使用封閉式氣體循環系統的一或多個真空泵,使氣體的層流通過回流孔在腔室內向下流動,其中當氣體在腔室中朝回流孔向下流動時,氣體在腔室中收集污染物(區塊840)。例如,如上所描述,封閉式氣體循環系統112可以使用封閉式氣體循環系統112的一或多個真空泵142,使氣體的層流138通過回流孔140在腔室110中向下流動。在一些實施方式中,當氣體在腔室110中向下流向回流孔140時,氣體在腔室110中匯集污染物。
處理程序800可能包括額外的實施方式,諸如以下描述的及/或結合本文中其他地方描述的一或多個其他處理程序的任何單個實施方式或實施方式的任何組合。
在第一實施方式中,FFU入口過濾掉氣體中的污染物。在第二實施方式中,單獨地或與第一實施方式組合,回流孔包括多個區域(例如,區域140a、140b和140c),其中多個區域中的每一個都包括各自的多個孔,氣體通過這些孔流入回流中,並且其中每個各自的多個孔包括不同的孔尺寸以促進氣體的層流。
在第三實施方式中,單獨地或與第一和第二實施方式中的一或多個組合,處理程序800包括,使用控制器(例如,控制器122)並根據從封閉式氣體循環系統的風速計(例如,一或多個感測器146)接收的感測器數據確定腔室內氣體的層流的流速不在流速範圍內,並且使用控制器並根據所確定的流速不在流速範圍內,從而調節腔室中的負壓差將流速調節到流速範圍內。在第四實施方式中,單獨地或與第一至第三實施方式中的一或多個組合,流速範圍從每秒約0.1米到每秒約1米。在第五實施方式中,單獨地或與第一至第四實施方式中的一或多個組合,上述氣體包括XCDA氣體或N 2氣體。
儘管圖8顯示了處理程序800的示例區塊,但在一些實施方式中,與圖8中所描繪的那些相比,處理程序800可能包括額外的區塊、更少的區塊、不同的區塊或不同地佈置的區塊。另外,或者可替代地,處理程序800的兩個或更多區塊可能並行執行。
以此方式,封閉式氣體循環系統可包括密封氣室、循環風扇和FFU入口,以容納、過濾、調節和再循環通過介面工具腔室的氣體。供應給腔室的氣體在封閉式氣體循環系統中維持在調節的環境中,這與通過FFU入口將外部空氣引入腔室不同。這使得能夠精確控制腔室中使用的氣體的相對濕度和氧氣濃度,從而減少了通過腔室運送的半導體晶圓的氧化。封閉式氣體循環系統還可以包括氣流整流器、回流孔和一或多個真空泵,以在腔室內形成向下流動的經準直氣體並自動控制通過腔室和密封氣室的前饋壓力和氣體流量。在腔室中向下流動的經準直氣體可以減少腔室內的紊流,並且可以增加通過腔室循環的氣體的污染物匯集效率。
如上文所更詳細描述的,本文所描述的一些實施方式提供了一種方法。該方法包括確定與介面工具相關聯的裝載埠上的運輸載體的門已被打開。該方法包括根據確定門已被打開而自動使封閉式氣體循環系統通過介面工具的FFU入口從密封氣室向介面工具的腔室提供氣體的供應流。該方法包括使用腔室中的氣流整流器從供應流形成腔室中的氣體的層流。該方法包括使用腔室中的晶圓運輸工具在運輸載體和半導體處理工具之間運送晶圓。該方法包括在運送晶圓時利用腔室中的氣體的層流來去除腔室中的污染物。
如上文所更詳細描述的,本文所描述的一些實施方式提供了介面工具。介面工具包括腔室。介面工具在腔室中包括晶圓運輸工具。介面工具包括封閉式氣體循環系統。封閉式氣體循環系統包括在腔室外部並且至少部分地圍繞腔室的密封氣室。封閉式氣體循環系統包括氣流整流器,以準直從密封氣室到腔室的氣體的供應流。封閉式氣體循環系統包括真空泵,以使氣體在腔室內向下流動以匯集腔室內的污染物。封閉式氣體循環系統包括回流孔,該回流孔包括多個區域,每個區域具有穿過回流孔的不同尺寸的孔,以促進在腔室內向下的氣體的層流。封閉式氣體循環系統包含在密封氣室中一或多個循環風扇,以匯集通過回流孔的氣體,並向密封氣室提供氣體的回流。
如上文所更詳細描述的,本文所描述的一些實施方式提供了一種方法。該方法包括,使用包含在介面工具的封閉式氣體循環系統的密封氣室中的第一組循環風扇,使氣體的回流從介面工具的腔室流向密封氣室。該方法包括,使用包含在密封氣室中的第二組循環風扇,使氣體的供應流從密封氣室通過FFU入口流向腔室。該方法包括使用腔室內的氣流整流器準直氣體的供應流,以形成腔室內的氣體的層流。該方法包括使用封閉式氣體循環系統的一或多個真空泵,使氣體的層流通過回流孔在腔室內向下流動,其中當氣體在腔室中向下流向回流孔時,氣體會在腔室中匯集污染物。
上文已概述若干實施例之特徵,使得熟習技術者可較佳理解本揭露之態樣。熟習技術者應瞭解,其可易於將本揭露用作設計或修改其他程序及結構以實施相同於本文中所引入之實施例之目的及/或達成相同於本文中所引入之實施例之優點的一基礎。熟習技術者亦應認識到,此等等效建構不應背離本揭露之精神及範疇,且其可在不背離本揭露之精神及範疇的情況下對本文作出各種改變、替換及變更。
100:示例半導體處理環境 102:介面工具 104:半導體處理工具 106:運輸載體 108:裝載埠 110:腔室 112:封閉式氣體循環系統 114:密封氣室 116:氣體面板 118:循環風扇 118a:循環風扇 118b:循環風扇 118c:循環風扇 118d:循環風扇 120:風扇過濾單元(FFU)入口 122:控制器 124:外殼 126:門 128:晶圓運輸工具 130:開口 132:開口 134:供應流 136:氣流整流器 138:層流 140:回流孔 140a:回流孔區域 140b:回流孔區域 140c:回流孔區域 142:真空泵 144:回流 146:感測器 200:示例實施方式 300:示例實施方式 400:示例氣流場 402:向量流場 404:流線流場 500:示例操作時間線 600:設備 610:匯流排 620:處理器 630:記憶體 640:儲存組件 650:輸入組件 660:輸出組件 670:通訊組件 700:處理程序 710:步驟區塊 720:步驟區塊 730:步驟區塊 740:步驟區塊 750:步驟區塊 800:處理程序 810:步驟區塊 820:步驟區塊 830:步驟區塊 840:步驟區塊
自結合附圖閱讀之以下詳細描述最佳理解本揭露之態樣。應注意,根據行業標準做法,各種構件未按比例繪製。實際上,為使討論清楚,可任意增大或減小各種構件之尺寸。
圖1A-1C係本文所描述的示例半導體處理環境圖。
圖2A-2H係關於在本文所描述的示例實施方式圖。
圖3A-3H係關於在本文所描述的示例實施方式圖。
圖4A和圖4B係關於在本文所描述的介面工具中的示例氣流場圖。
圖5係關於在本文所描述的封閉式氣體循環系統的示例操作時間線圖。
圖6係圖1A-1C的一或多個裝置的示例組件圖。
圖7係與進入運輸載體有關的示例處理過程流程圖。
圖8係與操作封閉式氣體循環系統有關的示例處理過程流程圖。
100:示例半導體處理環境
102:介面工具
104:半導體處理工具
106:運輸載體
108:裝載埠
110:腔室
112:封閉式氣體循環系統
114:密封氣室
116:氣體面板
118:循環風扇
120:風扇過濾單元(FFU)入口
122:控制器

Claims (10)

  1. 一種使用半導體工具的方法,其包括:確定與一介面工具相關聯的一裝載埠上的一運輸載體的一門已被打開;根據確定該門已被打開而使一封閉式氣體循環系統通過該介面工具的一風扇過濾器單元(FFU)入口從一密封氣室向該介面工具的一腔室供應一氣體的一供應流;使用該腔室中的一氣流整流器,從該供應流形成該腔室中的該氣體的一層流;使用該腔室中的一晶圓運輸工具在該運輸載體和一半導體處理工具之間運送一晶圓;在運送該晶圓時利用該腔室中的該氣體的該層流去除該腔室中的污染物;在該晶圓已經在該運輸載體和該半導體處理工具之間運送之後,確定該運輸載體的該門已經關閉;及根據確定該門已經關閉而使該封閉式氣體循環系統停止將該氣體的該供應流從該密封氣室供應到該腔室。
  2. 如請求項1之方法,其中在該運輸載體之間運送該晶圓包括:通過該腔室將該晶圓從該運輸載體運送到該半導體處理工具。
  3. 如請求項1之方法,進一步包括: 使用一控制器並根據從該封閉式氣體循環系統的一相對濕度感測器接收到的感測器數據,以確定該腔室內的一相對濕度不滿足一相對濕度閾值;及使用該控制器並根據確定該腔室中的該相對濕度不滿足該相對濕度閾值,調節該腔室中該氣體的該層流的一流速,以滿足該相對濕度閾值。
  4. 如請求項3之方法,其中調節該流速包括:使用該控制器並根據從該封閉式氣體循環系統的一風速計接收到的感測器數據,來調節包括在該封閉式氣體循環系統中的一真空泵的一蝶形阻尼器位置以調節該流速。
  5. 一種介面工具,包括:一腔室;一晶圓運輸工具,其在該腔室中;及一封閉式氣體循環系統包括:一密封氣室,其在該腔室外部並且至少部分地圍繞該腔室;一氣流整流器,其準直從該密封氣室來的一氣體的一供應流到該腔室;一真空泵,其使該氣體在該腔室內向下流動以匯集該腔室內的污染物;一回流孔,其包括一多個區域,每個區域具有穿過該回流孔的不同尺寸的孔,以促進在該腔室內向下之該氣體的一層流,其中 該等孔的一尺寸在跨越從該腔室的一第一側面到該腔室與該第一側面相對的一第二側面的多個區域中增加,以促進該氣體的該層流向下進入該腔室;及一或多個循環風扇,其在密封氣室中以:匯集通過該回流孔的該氣體,及供應該氣體的一回流到該密封氣室。
  6. 如請求項5之介面工具,其中該密封氣室是密封的,以防止該氣體與該介面工具所處的一環境外部空氣混合以滿足以下至少一項:該氣體的一相對濕度閾值,或該氣體的一氧氣濃度閾值。
  7. 如請求項5之介面工具,其中該真空泵經配置以在該腔室內產生大約-0.1帕斯卡到大約-10帕斯卡的一範圍的一負壓差。
  8. 一種使用半導體工具的方法,包括:使用包含在一介面工具的一封閉式氣體循環系統的一密封氣室中的一第一組循環風扇,使一氣體的一回流從該介面工具的一腔室流向該密封氣室;使用包含在該密封氣室中的一第二組循環風扇,使該氣體的一供應流從該密封氣室通過一風扇過濾器單元(FFU)入口流向該腔室;使用該腔室內的一氣流整流器準直該氣體的該供應流,以在該腔室內形成該氣體的一層流;及 使用該封閉式氣體循環系統的一或多個真空泵,使該氣體的該層流通過一回流孔在該腔室內向下流動,其中該真空泵或該等真空泵經配置以在該腔室內產生大約-0.1帕斯卡到大約-10帕斯卡的一範圍的一負壓差,其中當該氣體在該腔室中向下流向該回流孔時,該氣體會在該腔室中匯集污染物。
  9. 如請求項8之方法,其中該FFU入口過濾該氣體中的該污染物。
  10. 如請求項8之方法,其中該回流孔包括多個區域;其中,該多個區域中的每一個包括各自的多個孔,該氣體通過該多個孔流入該回流;及其中,該等各自的多個孔中的每一個包括一不同孔尺寸,以促進該氣體的該層流。
TW110121057A 2021-03-05 2021-06-09 介面工具 TWI821681B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/249,568 US11854851B2 (en) 2021-03-05 2021-03-05 Interface tool
US17/249,568 2021-03-05

Publications (2)

Publication Number Publication Date
TW202249161A TW202249161A (zh) 2022-12-16
TWI821681B true TWI821681B (zh) 2023-11-11

Family

ID=82136485

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110121057A TWI821681B (zh) 2021-03-05 2021-06-09 介面工具

Country Status (3)

Country Link
US (2) US11854851B2 (zh)
CN (1) CN114695217A (zh)
TW (1) TWI821681B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023031991A (ja) * 2021-08-26 2023-03-09 シンフォニアテクノロジー株式会社 Efem、不活性ガス供給制御方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040168742A1 (en) * 2003-02-12 2004-09-02 Kim Hyun-Joon Module for transferring a substrate
US20060169208A1 (en) * 2005-01-28 2006-08-03 E-Beam Corporation Substrate processing apparatus and substrate processing method
US20180148834A1 (en) * 2015-08-04 2018-05-31 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101622076B (zh) * 2007-02-27 2013-06-26 株式会社东芝 涂布装置、涂布体的制造方法及流体喷出装置
CN105453246A (zh) * 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
TWI678751B (zh) * 2013-12-13 2019-12-01 日商昕芙旎雅股份有限公司 設備前端模組(efem)
KR102227862B1 (ko) * 2017-02-07 2021-03-15 무라다기카이가부시끼가이샤 스토커
US11331700B2 (en) * 2017-02-10 2022-05-17 Kateeva, Inc. Manufacturing enclosure environmental containment systems and methods
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
CN113169103A (zh) * 2018-11-28 2021-07-23 昕芙旎雅有限公司 晶片储存器

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040168742A1 (en) * 2003-02-12 2004-09-02 Kim Hyun-Joon Module for transferring a substrate
US20060169208A1 (en) * 2005-01-28 2006-08-03 E-Beam Corporation Substrate processing apparatus and substrate processing method
US20180148834A1 (en) * 2015-08-04 2018-05-31 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US20240087935A1 (en) 2024-03-14
TW202249161A (zh) 2022-12-16
US20220285192A1 (en) 2022-09-08
US11854851B2 (en) 2023-12-26
CN114695217A (zh) 2022-07-01

Similar Documents

Publication Publication Date Title
US11512392B2 (en) Substrate processing apparatus
TWI220290B (en) Sheet shape electronic device clean transfer apparatus and manufacturing system for sheet shape electronic device
JP4344593B2 (ja) ミニエンバイロメント装置、薄板状物製造システム及び清浄容器の雰囲気置換方法
US20060225299A1 (en) Method of transferring a substrate
TW201620065A (zh) 裝載埠及裝載埠的氣氛置換方法
US11610794B2 (en) Side storage pods, equipment front end modules, and methods for operating the same
US11640915B2 (en) Side storage pods, equipment front end modules, and methods for operating EFEMs
US20120083120A1 (en) Substrate processing apparatus and method of manufacturing a semiconductor device
US20240087935A1 (en) Interface tool
JP2002170876A (ja) 基板搬送容器
JP2022505473A (ja) 前面ダクト式機器フロントエンドモジュール、側面ストレージポッド、及びそれらの操作方法
US20210235583A1 (en) Oxygen and humidity control in storage device
JP2010129634A (ja) 基板の保管装置及び基板の処理装置
US20220208582A1 (en) Remote optimization of purge flow rates in a container
KR20200084171A (ko) 기판 처리 장치 및 기판 처리 방법
JP2003264219A (ja) 局所クリーン装置
KR20220023710A (ko) 기판을 반송하는 장치, 기판을 처리하는 시스템, 및 기판을 처리하는 방법
KR102388390B1 (ko) 로드 포트 유닛, 이를 포함하는 저장 장치 및 배기 방법
US11735455B2 (en) Systems, devices, and methods for air flow optimization including adjacent a FOUP
JP4131378B2 (ja) 薄板状電子部品搬送装置及び薄板状電子製品製造設備
KR20230169852A (ko) 기체 공급 장치, 기판 처리 장치 및 기판 반송 장치
KR20230165338A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
TW202322257A (zh) 轉移晶圓基底的系統、降低相對濕度方法及減少氣流方法
JP2002043198A (ja) 半導体製造装置
KR20070032434A (ko) 기판 이송 시스템 및 기판을 이송하는 방법