TWI820170B - Plasma processing method and plasma processing apparatus - Google Patents

Plasma processing method and plasma processing apparatus Download PDF

Info

Publication number
TWI820170B
TWI820170B TW108125909A TW108125909A TWI820170B TW I820170 B TWI820170 B TW I820170B TW 108125909 A TW108125909 A TW 108125909A TW 108125909 A TW108125909 A TW 108125909A TW I820170 B TWI820170 B TW I820170B
Authority
TW
Taiwan
Prior art keywords
film
plasma treatment
treatment method
plasma
side walls
Prior art date
Application number
TW108125909A
Other languages
Chinese (zh)
Other versions
TW202014548A (en
Inventor
中谷理子
久松亨
石川慎也
熊倉翔
本田昌伸
木原嘉英
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202014548A publication Critical patent/TW202014548A/en
Application granted granted Critical
Publication of TWI820170B publication Critical patent/TWI820170B/en

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A plasma processing method executed by a plasma processing apparatus in the present disclosure includes a first step and a second step. In the first step, the plasma processing apparatus forms a first film on the side walls of an opening in the processing target, the first film having different thicknesses along a spacing between pairs of side walls facing each other. In the second step, the plasma processing apparatus forms a second film by performing a film forming cycle once or more times after the first step, the second film having different thicknesses along the spacing between the pairs of side walls facing each other.

Description

電漿處理方法及電漿處理裝置Plasma treatment method and plasma treatment device

以下揭示係關於電漿處理方法及電漿處理裝置。 The following disclosure relates to plasma treatment methods and plasma treatment apparatuses.

作為一種在基板上進行成膜之手段,吾人普知有電漿增強原子層沉積(PE-ALD:Plasma Enhanced Atomic Layer Deposition)法。吾人將包含PE-ALD法之各樣技術使用於半導體裝置之圖案形成。 As a means of forming a film on a substrate, the plasma enhanced atomic layer deposition (PE-ALD) method is widely known. We apply various technologies including the PE-ALD method to the patterning of semiconductor devices.

例如,吾人提案一種使用ALD(Atomic Layer Deposition,原子層沉積)之手段,俾因應於形成於被處理基板之開口部的位置而選擇性促進成膜(專利文獻1)。又,吾人提案一種手段,選擇性形成SAM(Self-assembled monolayer,自組裝單層膜),並在其後進行氣相蝕刻(專利文獻2)。又,吾人提案一種手段,使用離子注入而實現針對3D奈米構造體之選擇性成膜(非專利文獻1)。 For example, we propose a method using ALD (Atomic Layer Deposition) to selectively promote film formation depending on the position of the opening formed in the substrate to be processed (Patent Document 1). Furthermore, we have proposed a method of selectively forming a SAM (Self-assembled monolayer) and then performing vapor phase etching (Patent Document 2). Furthermore, we have proposed a method to achieve selective film formation of 3D nanostructures using ion implantation (Non-Patent Document 1).

〔先前技術文獻〕 [Prior Technical Document] 〔專利文獻〕 〔Patent documents〕

專利文獻1:美國專利申請案公開第2017/0140983號說明書 Patent Document 1: U.S. Patent Application Publication No. 2017/0140983

專利文獻2:美國專利申請案公開第2017/0148642號說明書 Patent Document 2: Specification of U.S. Patent Application Publication No. 2017/0148642

〔非專利文獻〕 [Non-patent literature]

非專利文獻1:Woo-Hee Kim等,”A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation” ACS Nano 2016, 10, 4451-4458. Non-patent document 1: Woo-Hee Kim et al., "A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation" ACS Nano 2016, 10, 4451-4458.

本說明書提供一種可實現形成於基板上之圖案的精密尺寸控制之技術。 This specification provides a technology that can achieve precise dimensional control of patterns formed on a substrate.

本說明書的一態樣之電漿處理裝置所執行之電漿處理方法包含第一程序與第二程序。第一程序之中,電漿處理裝置,在處理對象所具有之開口部的側壁上,形成厚度依相向之成對側壁彼此之間隔而異的第一膜。第一程序後的第二程序之中,電漿處理裝置,將成膜循環施行一次以上,形成厚度依相向之成對側壁彼此之間隔而異的第二膜。 A plasma processing method performed by a plasma processing device according to this specification includes a first process and a second process. In the first process, the plasma processing device forms a first film whose thickness varies depending on the distance between the pair of opposing side walls on the side walls of the opening of the treatment object. In the second process after the first process, the plasma treatment device performs the film forming cycle more than once to form a second film with a thickness that varies depending on the distance between the opposite pairs of side walls.

依據本說明書,則可實現形成於基板上之圖案之精密尺寸控制。 According to this specification, precise dimensional control of the pattern formed on the substrate can be achieved.

10:電漿處理裝置 10: Plasma treatment device

21:腔室 21: Chamber

22:絕緣板 22:Insulation board

23:支持台 23:Support Desk

24:基座 24: base

25:靜電夾盤 25:Electrostatic chuck

25a:聚焦環 25a: Focus ring

26:電極 26:Electrode

27:直流電源 27:DC power supply

28:內壁構件 28:Inner wall components

29:冷媒室 29:Refrigerant room

30a、30b:配管 30a, 30b: Piping

31:配管 31:Piping

33:匹配器 33: Matcher

34:射頻電源 34:RF power supply

36a、36b:壓力計 36a, 36b: Pressure gauge

37a、37b:閥 37a, 37b: valve

38、38a、38b:配管 38, 38a, 38b: piping

40:上部電極 40: Upper electrode

41:頂板支持部 41:Roof support part

42:頂板 42:Top plate

42a:氣體導入口 42a:Gas inlet

43:擴散室 43: Diffusion chamber

43a:流通口 43a: Circulation port

45:絕緣性構件 45:Insulating components

46:氣體導入口 46:Gas inlet

47:配管 47:Piping

48a~48c:氣體供給源 48a~48c: Gas supply source

49a~49c:質流控制器(MFC) 49a~49c: Mass flow controller (MFC)

50a~50c:閥 50a~50c: valve

51:匹配器 51: Matcher

52:射頻電源 52:RF power supply

60:控制裝置 60:Control device

61:記憶體 61:Memory

62:處理器 62: Processor

63:使用者介面 63:User interface

71:排氣口 71:Exhaust port

72:排氣管 72:Exhaust pipe

73:排氣裝置 73:Exhaust device

74:開口 74:Open your mouth

76、77:沉積障蔽 76, 77: Deposition barrier

78:開口 78:Open your mouth

79:GND區塊 79:GND block

G:閘閥 G: Gate valve

O1~O7:開口部 O1~O7: opening

W:晶圓 W:wafer

S61~S65:步驟 S61~S65: steps

圖1顯示一實施形態之電漿處理裝置的構成的一例。 FIG. 1 shows an example of the structure of a plasma processing apparatus according to an embodiment.

圖2A用以說明ALD中之前驅體氣體的化學吸附步驟。 Figure 2A is used to illustrate the chemical adsorption step of precursor gas in ALD.

圖2B用以說明ALD中之前驅體氣體的氣滌步驟。 Figure 2B illustrates the scrubbing step of precursor gas in ALD.

圖2C用以說明ALD中之反應氣體所成之活性化步驟。 Figure 2C is used to illustrate the activation step caused by the reactive gas in ALD.

圖2D用以說明ALD中之反應氣體的氣滌步驟。 Figure 2D is used to illustrate the gas scrubbing step of the reaction gas in ALD.

圖3A係用以說明一實施形態之電漿處理方法中之潛伏之圖(1)。 FIG. 3A is a diagram (1) for explaining the latency in the plasma treatment method according to one embodiment.

圖3B係用以說明一實施形態之電漿處理方法中之潛伏之圖(2)。 FIG. 3B is a diagram (2) for explaining the latency in the plasma treatment method according to one embodiment.

圖3C係用以說明一實施形態之電漿處理方法中之潛伏之圖(3)。 FIG. 3C is a diagram (3) for explaining the latency in the plasma treatment method according to one embodiment.

圖3D係用以說明一實施形態之電漿處理方法中之潛伏之圖(4)。 FIG. 3D is a diagram (4) for explaining the latency in the plasma treatment method according to one embodiment.

圖3E係用以說明一實施形態之電漿處理方法中之潛伏之圖(5)。 FIG. 3E is a diagram (5) for explaining the latency in the plasma treatment method according to one embodiment.

圖3F係用以說明一實施形態之電漿處理方法中之潛伏之圖(6)。 FIG. 3F is a diagram (6) for explaining the latency in the plasma treatment method according to one embodiment.

圖4A用以說明形成於遮罩的開口部之尺寸控制。 FIG. 4A is used to illustrate the size control of the opening formed in the mask.

圖4B用以說明形成於遮罩的開口部的一例。 FIG. 4B illustrates an example of the opening formed in the mask.

圖4C顯示使用圖4B的遮罩而進行蝕刻之情形下形成之圖案的一例。 FIG. 4C shows an example of a pattern formed when etching is performed using the mask of FIG. 4B .

圖5A用以說明X-Y圖案。 Figure 5A illustrates the X-Y pattern.

圖5B用以說明X-Y圖案之尺寸控制例1。 Figure 5B is used to illustrate the size control example 1 of the X-Y pattern.

圖5C用以說明X-Y圖案之尺寸控制例2。 Figure 5C is used to illustrate the size control example 2 of the X-Y pattern.

圖6係將一實施形態之電漿處理裝置中之電漿處理方法的大致流程的一例 加以顯示之流程圖。 FIG. 6 is an example of a general flowchart of a plasma treatment method in a plasma treatment apparatus according to an embodiment. Display the flow chart.

圖7用以說明載入效果的一例。 Figure 7 is used to illustrate an example of the loading effect.

圖8A用以說明藉由一實施形態之電漿處理方法而獲得之X>Y收縮效果的圖(1)。 FIG. 8A is a diagram (1) illustrating the X>Y shrinkage effect obtained by a plasma treatment method according to an embodiment.

圖8B用以說明藉由一實施形態之電漿處理方法而獲得之X>Y收縮效果的圖(2)。 FIG. 8B is a diagram (2) illustrating the X>Y shrinkage effect obtained by the plasma treatment method of an embodiment.

圖9顯示將一實施形態之電漿處理方法加以應用之處理對象的材料的組合例。 FIG. 9 shows a combination example of materials to be processed to which the plasma processing method according to one embodiment is applied.

圖10A用以說明變形例2之電漿處理方法的第一程序。 FIG. 10A is used to illustrate the first procedure of the plasma treatment method in Modification 2.

圖10B用以說明變形例2之電漿處理方法的第二程序。 FIG. 10B is used to illustrate the second procedure of the plasma treatment method in Modification 2.

〔實施發明之較佳形態〕 [Better form of implementing the invention]

以下,基於圖式,詳細說明所揭示之實施形態。此外,本實施形態非限定。又,各實施形態可在不使處理內容矛盾之範圍內合宜組合。 Hereinafter, the disclosed embodiment will be described in detail based on the drawings. In addition, this embodiment is not limiting. Moreover, each embodiment can be combined appropriately within the range which does not conflict with the processing content.

<ALD中之潛伏的機制> <The latent mechanism in ALD>

說明實施形態前,先說明ALD中之潛伏的機制。 Before describing the implementation form, the underlying mechanism in ALD will be explained first.

圖2A至圖2D用以說明一般ALD的流程的一例。圖2A用以說明ALD中之前驅體氣體的化學吸附步驟。圖2B用以說明ALD中之前驅體氣體的氣滌步驟。圖2C 用以說明ALD中之反應氣體所成之活性化步驟。圖2D用以說明ALD中之反應氣體的氣滌步驟。ALD,如圖2A至圖2D所示,通常包含下述四個步驟。 Figures 2A to 2D illustrate an example of a general ALD process. Figure 2A is used to illustrate the chemical adsorption step of precursor gas in ALD. Figure 2B illustrates the scrubbing step of precursor gas in ALD. Figure 2C To illustrate the activation step caused by the reactive gas in ALD. Figure 2D is used to illustrate the gas scrubbing step of the reaction gas in ALD. ALD, as shown in Figures 2A to 2D, generally includes the following four steps.

(1)化學吸附步驟,將配置在處理室內之處理對象(例如半導體基板)曝露於前驅體氣體(參照圖2A) (1) In the chemical adsorption step, the processing object (such as a semiconductor substrate) placed in the processing chamber is exposed to the precursor gas (see Figure 2A)

(2)氣滌步驟,將殘留在處理室內之前驅體氣體加以氣滌(參照圖2B) (2) Gas scrubbing step, scrubbing the precursor gas remaining in the treatment chamber (see Figure 2B)

(3)反應步驟,將配置在處理室內之處理對象曝露於反應氣體(參照圖2C) (3) Reaction step, exposing the treatment object placed in the treatment chamber to the reaction gas (see Figure 2C)

(4)氣滌步驟,將殘留在處理室內之反應氣體加以氣滌(參照圖2D) (4) Gas scrubbing step: scrubbing the reaction gas remaining in the treatment chamber (see Figure 2D)

此外,以下說明之中,(3)反應步驟,係使反應氣體電漿化而執行。ALD之中,重複執行上述步驟(1)至(4),將膜形成於處理對象上。此外,氣滌步驟(2)及(4)係任意步驟,不一定執行。 In addition, in the following description, the reaction step (3) is performed by plasmaizing the reaction gas. In ALD, the above steps (1) to (4) are repeated to form a film on the processing object. In addition, gas scrubbing steps (2) and (4) are arbitrary steps and may not necessarily be performed.

ALD之中,可例如使用含矽氣體作為前驅體氣體、並使用含O氣體作為反應氣體,而將SiO2膜沉積在處理對象上。此情形下,首先步驟(1)之中,將配置在處理室內之處理對象曝露於前驅體氣體即含矽氣體。如此一來,則含矽氣體化學吸附在處理對象的表面。未化學吸附在處理對象而殘存在處理室內的前驅體氣體,於步驟(2)被氣滌。其後,步驟(3)之中,使含O氣體電漿化,並使氧自由基與化學吸附在處理對象之含矽分子進行反應(矽氧化),而形成一層SiO2膜。殘存在處理室內之含O氣體,於步驟(4)被氣滌。ALD基本上係逐層地形成膜,若處理對象上沒有原子所化學吸附的表面,則處理會停止,因此可自我限制形成保形的膜。 In ALD, for example, a silicon-containing gas is used as a precursor gas and an O-containing gas is used as a reactive gas to deposit a SiO 2 film on the processing object. In this case, in the first step (1), the processing object placed in the processing chamber is exposed to the precursor gas, that is, the silicon-containing gas. In this way, the silicon-containing gas is chemically adsorbed on the surface of the treatment object. The precursor gas that is not chemically adsorbed on the processing object but remains in the processing chamber is scrubbed in step (2). Thereafter, in step (3), the O-containing gas is plasmatized, and the oxygen radicals react with the silicon-containing molecules chemically adsorbed on the treatment object (silicon oxidation) to form a layer of SiO 2 film. The O-containing gas remaining in the treatment chamber is scrubbed in step (4). ALD basically forms a film layer by layer. If there is no surface on the treatment object to which atoms are chemically adsorbed, the process will stop, so it can form a self-limiting conformal film.

然而,當將前驅體氣體之化學吸附加以妨礙的因子(以下亦稱作抑制劑) 存在處理對象表面上時,則步驟(1)之中,前驅體氣體不化學吸附在處理對象,而不進行ALD所成之成膜。將由於此等妨礙因子等而產生之成膜開始之延遲稱作潛伏。圖3A至圖3F係用以說明一實施形態之電漿處理方法中之圖(1)至(6)。 However, factors that hinder the chemical adsorption of the precursor gas (hereinafter also referred to as inhibitors) If it exists on the surface of the processing object, the precursor gas will not be chemically adsorbed on the processing object in step (1), and film formation by ALD will not be performed. The delay in the start of film formation due to such hindering factors is called latency. 3A to 3F are diagrams (1) to (6) used to explain a plasma treatment method according to an embodiment.

圖3A顯示使用CF(碳氟化合物)之化學氣相沉積(CVD:Chemical Vapor Deposition)等藉以在處理對象的表面上形成CF膜之狀態。圖3A中,利用黑圓表示氟原子(CF:共價鍵結於碳之氟原子)。又,利用白圓表示處理對象(基板)的原子。 FIG. 3A shows a state in which a CF film is formed on the surface of a treatment object using chemical vapor deposition (CVD) of CF (fluorocarbon) or the like. In FIG. 3A , fluorine atoms (CF: fluorine atoms covalently bonded to carbon) are represented by black circles. In addition, atoms of the processing target (substrate) are represented by white circles.

圖3B顯示針對圖3A所示之處理對象而將ALD循環執行一次之狀態的一例。處理對象表面存在抑制劑即CF膜,因此未化學吸附前驅體氣體(含矽氣體),而不進行ALD所行之成膜。相反地,由於ALD循環中之利用氧電漿產生之氧自由基的影響,而從處理對象表面逐漸去除CF膜。 FIG. 3B shows an example of a state in which the ALD cycle is executed once for the processing object shown in FIG. 3A . Since there is a CF film, which is an inhibitor, on the surface of the treatment object, the precursor gas (silicon-containing gas) is not chemically adsorbed, and film formation by ALD is not performed. On the contrary, the CF film is gradually removed from the surface of the treated object due to the influence of oxygen radicals generated by utilizing oxygen plasma in the ALD cycle.

圖3C顯示針對圖3A所示之處理對象而將ALD循環執行5次之狀態下的一例。圖3C的例之中,已藉由5次ALD循環而去除CF膜全部。 FIG. 3C shows an example of a state in which the ALD loop is executed five times for the processing object shown in FIG. 3A . In the example of Figure 3C, the entire CF film has been removed through 5 ALD cycles.

圖3D、圖3E、圖3F各自顯示針對圖3A所示之處理對象而將ALD循環執行6次、8次、10次之狀態下的一例。如圖3C所示,利用將ALD循環執行5次而去除CF膜,並使CF膜下的層露出。此狀態下之處理對象表面,存在前驅體氣體可化學吸附之物質,因此前驅體氣體進行化學吸附而與反應氣體反應,並如圖3D所示,開始成膜。其後,如圖3E、圖3F所示,每次執行ALD循環,則膜厚增加。 圖3D、圖3E、圖3F中,自上起算第二層的圓表示含Si前驅體氣體,且最上的圓表示氧原子。 FIG. 3D , FIG. 3E , and FIG. 3F each show an example of a state in which the ALD loop is executed 6 times, 8 times, and 10 times for the processing target shown in FIG. 3A . As shown in FIG. 3C , the CF film is removed by performing the ALD cycle five times, and the layer under the CF film is exposed. In this state, there are substances on the surface of the treatment object that the precursor gas can chemically adsorb. Therefore, the precursor gas chemically adsorbs and reacts with the reactive gas, and as shown in Figure 3D, film formation begins. Thereafter, as shown in Figures 3E and 3F, the film thickness increases each time the ALD cycle is executed. In FIGS. 3D, 3E, and 3F, the circle in the second layer from the top represents the Si-containing precursor gas, and the uppermost circle represents oxygen atoms.

<X-Y圖案之控制> <Control of X-Y pattern>

然而,半導體裝置製造時,在基板上形成各樣圖案。例如,會有在一基板上形成複數之具有同樣形狀的開口部之情形。此情形下,開口部的尺寸之精密控制對半導體裝置的性能造成影響。 However, during manufacturing of semiconductor devices, various patterns are formed on the substrate. For example, a plurality of openings having the same shape may be formed on one substrate. In this case, precise control of the size of the opening affects the performance of the semiconductor device.

圖4A用以說明形成於遮罩的開口部之尺寸控制。圖4A所示之基板S係藉由自對準型雙重圖案化來形成。因此,在基板S的表面,以各自不同種類的材料A(芯)、材料B(間隔件)、材料C(填充物)所形成之線條係依A、B、C、B、A、B、C、B、A之順序排列。以下,將以材料A形成之線條稱作線條A、以材料B形成之線條稱作線條B、以材料C形成之線條稱作線條C。在此,吾人考慮使用圖4A中以點線表示之形狀之遮罩來執行基板S的蝕刻。圖4A中顯示有:開口部O1、O2、O4、O5、O6、O7,形成為二個端部分別位在彼此有別的線條C上;以及開口部O3,形成為二個端部分別位在彼此有別的線條A上。此外,於說明的便宜上,將圖4A至圖4C所示之線條的長邊方向稱作X1方向,並將橫切線條之方向稱作Y1方向。 FIG. 4A is used to illustrate the size control of the opening formed in the mask. The substrate S shown in FIG. 4A is formed by self-aligned double patterning. Therefore, on the surface of the substrate S, lines formed by different types of material A (core), material B (spacer), and material C (filler) are arranged according to A, B, C, B, A, B, C, B, A in the order. Hereinafter, the line formed of material A is called line A, the line formed of material B is called line B, and the line formed of material C is called line C. Here, we consider using a mask with a shape represented by a dotted line in FIG. 4A to perform etching of the substrate S. Figure 4A shows: openings O1, O2, O4, O5, O6, O7, which are formed as two ends respectively located on mutually different lines C; and opening O3, which is formed as two ends respectively. On line A that are different from each other. In addition, for the convenience of explanation, the long side direction of the lines shown in FIGS. 4A to 4C is called the X1 direction, and the direction transverse to the lines is called the Y1 direction.

於形成出如同圖4A所示之形狀的遮罩之情形下,其後藉由蝕刻形成之圖案的形狀亦無大問題。然而,定為如圖4B所示,遮罩的開口部係形成於從期望位置起於Y1方向上偏移的位置。圖4B用以說明形成於遮罩的開口部的一例。此情 形下,當使用該遮罩而執行基板S的蝕刻時,則會有以下可能性:如圖4C所示,基板S上所形成之開口部的位置更往Y1方向偏移而不形成為將線條C加以連結。圖4C顯示使用圖4B的遮罩而進行蝕刻之情形下形成之圖案的一例。於形成有如圖4B所示之遮罩之情形下,為了不使如圖4C所示之不良狀況產生,若可調整途中形成之遮罩的開口部的尺寸則方便。尤其,於如圖4A所示之形狀的遮罩之情形下,相較於開口部的短邊方向的尺寸控制而言,長邊方向的尺寸控制更加影響其後之配線形成。如上所述,有時將俯視下具有短邊與長邊之略矩形的開口部稱作X-Y圖案。 In the case where a mask having a shape as shown in FIG. 4A is formed, there is no big problem with the shape of the pattern subsequently formed by etching. However, as shown in FIG. 4B , the opening of the mask is formed at a position shifted in the Y1 direction from the desired position. FIG. 4B illustrates an example of the opening formed in the mask. This feeling Under this situation, when using this mask to perform etching of the substrate S, there is the following possibility: as shown in FIG. 4C, the position of the opening formed on the substrate S is shifted further in the Y1 direction and is not formed into the Line C is connected. FIG. 4C shows an example of a pattern formed when etching is performed using the mask of FIG. 4B . When a mask is formed as shown in FIG. 4B , in order to prevent the malfunction shown in FIG. 4C from occurring, it would be convenient if the size of the opening of the mask formed in the process can be adjusted. In particular, in the case of a mask having a shape as shown in FIG. 4A , compared with the size control in the short-side direction of the opening, the size control in the long-side direction affects the subsequent wiring formation more. As mentioned above, a substantially rectangular opening having a short side and a long side in plan view may be called an X-Y pattern.

圖5A用以說明X-Y圖案。圖5A係基板上所形成之X-Y圖案的局部上表面圖。圖5A所示之基板之中,俯視下略矩形之開口部係以排列複數個之狀態形成。複數之開口部具有約略同一尺寸。此外,X-Y圖案,不僅係俯視下約略矩形,亦可係俯視下約略橢圓狀。X-Y圖案定為俯視下正交之二方向(X方向、Y方向)中具有尺寸差之圖案。 Figure 5A illustrates the X-Y pattern. Figure 5A is a partial top surface view of the X-Y pattern formed on the substrate. In the substrate shown in FIG. 5A , a plurality of openings that are approximately rectangular in plan view are formed in an array. The plurality of openings have approximately the same size. In addition, the X-Y pattern may not only be approximately rectangular when viewed from above, but may also be approximately elliptical when viewed from above. The X-Y pattern is defined as a pattern with a size difference in two orthogonal directions (X direction, Y direction) when viewed from above.

圖5B用以說明X-Y圖案的尺寸控制例1。圖5C用以說明X-Y圖案的尺寸控制2。圖5B的例,係於維持短邊X的開口尺寸之情況下,縮小長邊Y的開口尺寸之控制例(X<Y收縮:以相較於X邊而言,Y邊的減少量更多之方式,縮小開口部)。圖5B的例,於X-Y圖案形成後,以Y邊變短之方式在基板上成膜。另一方面,圖5C的例,係於縮小短邊X的開口尺寸之情況下,維持長邊Y的開口尺寸之控制例(X>Y收縮:以相較於Y邊而言,X邊的減少量更多之方式,縮小開口部)。圖5C的例,於X-Y圖案形成後,以X邊變短之方式在基板上成膜。 FIG. 5B is used to illustrate the size control example 1 of the X-Y pattern. Figure 5C is used to illustrate the size control 2 of the X-Y pattern. The example in Figure 5B is a control example of reducing the opening size of the long side Y while maintaining the opening size of the short side way to narrow the opening). In the example of Figure 5B, after the X-Y pattern is formed, a film is formed on the substrate in such a way that the Y side becomes shorter. On the other hand, the example in Figure 5C is a control example of maintaining the opening size of the long side Y while reducing the opening size of the short side X (X>Y shrinkage: compared with the Y side, the The method of reducing the amount is to narrow the opening). In the example of Figure 5C, after the X-Y pattern is formed, a film is formed on the substrate in such a way that the X side becomes shorter.

為了不使圖4C所示之不良狀況產生,只要利用執行圖4B的遮罩的開口部之X>Y收縮(參照圖5C),而盡可能縮小開口部之Y邊的減少量即可。 In order to prevent the defective situation shown in FIG. 4C from occurring, it is only necessary to perform the X>Y shrinkage of the opening of the mask in FIG. 4B (see FIG. 5C ) to reduce the reduction of the Y side of the opening as much as possible.

<實施形態> <Implementation>

鑒於上述,實施形態之電漿處理裝置,使用於將具有因應於形成於基板上之圖案的狀態之膜厚差之第一膜利用CVD成膜後,採用使第一膜作為抑制劑而發揮功能之材料來進行ALD循環,而將第二膜加以成膜。電漿處理裝置,將例如使用載入效果而將具有膜厚差之第一膜利用CVD成膜。其後,當執行ALD循環時,則第一膜逐漸因電漿的影響而削減,但產生因應於第一膜的膜厚之潛伏時間。因此,在例如厚幅形成有第一膜之位置則薄幅形成第二膜,在薄幅形成有第一膜之位置則厚幅形成第二膜。如上所述,本實施形態之電漿處理裝置,使用潛伏與載入效果而實現精密尺寸控制。 In view of the above, the plasma processing apparatus of the embodiment is used to form a first film having a film thickness difference according to the state of the pattern formed on the substrate by CVD, and then the first film functions as an inhibitor. The material is used to perform the ALD cycle, and the second film is formed. The plasma treatment device will, for example, use a loading effect to form a first film having a film thickness difference by CVD. Thereafter, when the ALD cycle is executed, the first film is gradually reduced due to the influence of plasma, but a latency corresponding to the film thickness of the first film is generated. Therefore, for example, the second film is formed in a thin width at a position where the first film is formed in a thick width, and the second film is formed in a thick width in a position where the first film is thinly formed. As described above, the plasma processing device of this embodiment uses the latent and loading effects to achieve precise dimensional control.

<實施形態之電漿處理裝置的一例> <Example of plasma treatment apparatus according to embodiment>

圖1顯示一實施形態之電漿處理裝置10的構成的一例。本實施例中之電漿處理裝置10,例如圖1所示,具有:腔室21,由表面已陽極氧化處理之鋁等所形成,且在內部劃定略圓筒狀的處理空間。腔室21係保安接地。本實施例中之電漿處理裝置10,例如構成為電容耦合型平行板電漿處理裝置。在腔室21內,隔著以陶瓷等形成之絕緣板22而配置支持台23。支持台23上設有:基座24,例如以鋁等形成,且作為下部電極而發揮功能。 FIG. 1 shows an example of the structure of a plasma processing apparatus 10 according to an embodiment. The plasma processing device 10 in this embodiment, for example, as shown in FIG. 1 , has a chamber 21 , which is made of aluminum or the like whose surface has been anodized, and defines a substantially cylindrical processing space inside. Chamber 21 is security grounded. The plasma processing device 10 in this embodiment is configured as a capacitively coupled parallel plate plasma processing device, for example. In the chamber 21, a support base 23 is arranged across an insulating plate 22 made of ceramic or the like. The support base 23 is provided with a base 24 formed of, for example, aluminum or the like and functioning as a lower electrode.

基座24的略中央上部,設有:靜電夾盤25,利用靜電力而吸附固持處理對象的一例即半導體晶圓W。靜電夾盤25具有利用一對絕緣層夾著以導電膜等形成之電極26之構造。電極26電性連接有直流電源27。此外,靜電夾盤25亦可設有為了加熱半導體晶圓W之未圖示的加熱器。 An electrostatic chuck 25 is provided at a substantially central upper portion of the base 24 for adsorbing and holding a semiconductor wafer W, which is an example of a processing target, using electrostatic force. The electrostatic chuck 25 has a structure in which an electrode 26 formed of a conductive film or the like is sandwiched between a pair of insulating layers. The electrode 26 is electrically connected to a DC power supply 27 . In addition, the electrostatic chuck 25 may be provided with a heater (not shown) for heating the semiconductor wafer W.

基座24的上部以圍繞靜電夾盤25之方式配置有聚焦環25a。藉由聚焦環25a而提昇半導體晶圓W邊緣附近中之電漿的均勻性。聚焦環25a由例如單晶矽等形成。支持台23及基座24的周圍以圍繞支持台23及基座24之方式設有內壁構件28。內壁構件28例如由石英等形成為略圓筒狀。 A focus ring 25 a is arranged on the upper part of the base 24 to surround the electrostatic chuck 25 . The focus ring 25a improves the uniformity of the plasma in the vicinity of the edge of the semiconductor wafer W. The focus ring 25a is formed of, for example, single crystal silicon. An inner wall member 28 is provided around the supporting platform 23 and the base 24 so as to surround the supporting platform 23 and the base 24 . The inner wall member 28 is made of, for example, quartz and has a substantially cylindrical shape.

支持台23的內部例如沿著支持台23的周向而形成有冷媒室29。從設在外部之未圖示之冷卻單元,經由配管30a及配管30b而將預定溫度的冷媒循環供給至冷媒室29。可藉由使預定溫度的冷媒循環在冷媒室29內,而利用與冷媒之熱交換來將靜電夾盤25上的半導體晶圓W控制為預定溫度。又,由未圖示之氣體供給機構供給之傳熱氣體,經由配管31而供給至靜電夾盤25的上表面與靜電夾盤25上所載置之半導體晶圓W的背面之間。傳熱氣體例如係氦氣。 A refrigerant chamber 29 is formed inside the support stand 23 along the circumferential direction of the support stand 23 , for example. The refrigerant at a predetermined temperature is circulated and supplied to the refrigerant chamber 29 via the piping 30a and the piping 30b from an external cooling unit (not shown). The semiconductor wafer W on the electrostatic chuck 25 can be controlled to a predetermined temperature by circulating a refrigerant of a predetermined temperature in the refrigerant chamber 29 and utilizing heat exchange with the refrigerant. In addition, the heat transfer gas supplied from a gas supply mechanism (not shown) is supplied through the pipe 31 between the upper surface of the electrostatic chuck 25 and the back surface of the semiconductor wafer W placed on the electrostatic chuck 25 . The heat transfer gas is, for example, helium.

作為下部電極而發揮功能之基座24的上方,以隔著腔室21內的處理空間而與基座24相向之方式設有上部電極40。上部電極40與基座24之間的空間、且由腔室21圍繞的空間,係產生電漿之處理空間。上部電極40具有作為電極本體部而發揮功能之頂板42、及將頂板42加以支持之頂板支持部41。 An upper electrode 40 is provided above the susceptor 24 that functions as a lower electrode so as to face the susceptor 24 across the processing space in the chamber 21 . The space between the upper electrode 40 and the base 24 and the space surrounded by the chamber 21 is a processing space where plasma is generated. The upper electrode 40 has a top plate 42 that functions as an electrode body, and a top plate support portion 41 that supports the top plate 42 .

頂板支持部41隔著絕緣性構件45而由腔室21的上部所支持。頂板支持部41,例如藉由表面已陽極氧化處理之鋁等之熱傳導性較高之導電性材料而形成為略圓板狀。又,頂板支持部41亦作為將產生在處理空間之電漿所加熱之頂板42加以冷卻之冷卻板而發揮功能。頂板支持部41形成有:氣體導入口46,導入處理氣體;擴散室43,使從氣體導入口46導入之處理氣體進行擴散;以及複數之流通口43a,係使擴散在擴散室43內之處理氣體向下方流通之流道。 The top plate support part 41 is supported by the upper part of the chamber 21 via the insulating member 45 . The top plate support part 41 is formed in a substantially disk shape by, for example, a conductive material with high thermal conductivity such as aluminum whose surface has been anodized. In addition, the top plate support portion 41 also functions as a cooling plate for cooling the top plate 42 heated by plasma generated in the processing space. The top plate support part 41 is formed with a gas inlet 46 for introducing the processing gas; a diffusion chamber 43 for diffusing the processing gas introduced from the gas inlet 46; and a plurality of flow ports 43a for diffusing the processing gas in the diffusion chamber 43. A flow channel for gas to flow downward.

頂板42係由例如石英等含矽物質而形成為略圓板狀。頂板42形成有:複數之氣體導入口42a,沿頂板42的厚度方向貫穿頂板42。將各氣體導入口42a配置成連通至頂板支持部41的任意流通口43a。藉此,供給至擴散室43內之處理氣體,經由流通口43a及氣體導入口42a而呈噴淋狀擴散供給至腔室21內。 The top plate 42 is made of a silicon-containing material such as quartz and is formed into a substantially disk shape. The top plate 42 is formed with a plurality of gas inlets 42 a penetrating the top plate 42 along the thickness direction of the top plate 42 . Each gas inlet 42a is arranged so as to communicate with any flow port 43a of the top plate support part 41. Thereby, the processing gas supplied into the diffusion chamber 43 is diffused in a spray form and supplied into the chamber 21 through the flow port 43a and the gas inlet 42a.

頂板支持部41的氣體導入口46經由配管47而連接有複數之閥50a~50c。閥50a經由質流控制器(MFC)49a而連接有氣體供給源48a。於閥50a控制為開狀態即開啟狀態之情形下,由氣體供給源48a供給之處理氣體係藉由MFC49a而控制流量,並經由配管47而供給至腔室21內。氣體供給源48a例如將前驅體氣體供給至腔室21內。 A plurality of valves 50a to 50c are connected to the gas inlet 46 of the top plate support part 41 via a pipe 47. The valve 50a is connected to a gas supply source 48a via a mass flow controller (MFC) 49a. When the valve 50a is controlled to be in the open state, that is, in the open state, the flow rate of the process gas system supplied from the gas supply source 48a is controlled by the MFC 49a, and is supplied into the chamber 21 through the pipe 47. The gas supply source 48 a supplies, for example, a precursor gas into the chamber 21 .

又,閥50b經由MFC49b而連接有氣體供給源48b。於閥50b控制為開狀態之情形下,由氣體供給源48b供給之氣體係藉由MFC49b而控制流量,並經由配管47而供給至腔室21內。氣體供給源48b例如將氣滌氣體供給至腔室21內。就氣滌氣體而言,例如使用氬氣或氮氣等非活性氣體。 In addition, the gas supply source 48b is connected to the valve 50b via the MFC 49b. When the valve 50b is controlled to be open, the flow rate of the gas system supplied from the gas supply source 48b is controlled by the MFC 49b, and is supplied into the chamber 21 through the pipe 47. The gas supply source 48b supplies a scrubbing gas into the chamber 21, for example. As the scrubbing gas, for example, inert gases such as argon or nitrogen are used.

又,閥50c經由MFC49c而連接有氣體供給源48c。於閥50c控制為開狀態之情形下,由氣體供給源48c供給之氣體係藉由MFC49c而控制流量,並經由配管47而供給至腔室21內。氣體供給源48c例如將反應氣體供給至腔室21內。 In addition, the gas supply source 48c is connected to the valve 50c via the MFC 49c. When the valve 50c is controlled to be open, the flow rate of the gas system supplied from the gas supply source 48c is controlled by the MFC 49c, and is supplied into the chamber 21 through the pipe 47. The gas supply source 48c supplies a reaction gas into the chamber 21, for example.

此外,亦可於將前驅體氣體及反應性氣體供給至腔室21之際,使用添加氣體,目的在於前驅體氣體及反應性氣體之使用量的削減、及腔室21的內部中之氣體分布之均勻化等生產性。就添加氣體而言,可使用例如氬氣或氮氣等非活性氣體。例如,亦可將經由閥50b及MFC49b而從氣體供給源48b供給之非活性氣體添加至經由閥50a及MFC49a而從氣體供給源48a供給之前驅體氣體。又,例如,亦可將經由閥50b及MFC49b而從氣體供給源48b供給之非活性氣體添加至經由閥50c及MFC49c而從氣體供給源48c供給之反應氣體。 In addition, when supplying the precursor gas and the reactive gas to the chamber 21, an additional gas may be used for the purpose of reducing the usage amount of the precursor gas and the reactive gas and improving the gas distribution inside the chamber 21. Uniformization and other productivity. As the added gas, an inert gas such as argon or nitrogen can be used. For example, the inert gas supplied from the gas supply source 48b via the valve 50b and MFC 49b may be added to the precursor gas supplied from the gas supply source 48a via the valve 50a and MFC 49a. Furthermore, for example, the inert gas supplied from the gas supply source 48b via the valve 50b and MFC 49b may be added to the reaction gas supplied from the gas supply source 48c via the valve 50c and MFC 49c.

藉由後述控制裝置60而控制各個MFC49a~49c所行之各氣體的流量之調整、及各個閥50a~50c之開閉。 The adjustment of the flow rate of each gas performed by each MFC 49a to 49c and the opening and closing of each valve 50a to 50c are controlled by the control device 60 described below.

上部電極40經由匹配器51而電性連接有射頻電源52。射頻電源52將例如40MHz上下之電漿激發用的射頻電力(HF:High Frequency)供給至上部電極40。利用後述控制裝置60控制由射頻電源52供給之射頻電力。 The upper electrode 40 is electrically connected to the radio frequency power supply 52 via the matching device 51 . The radio frequency power supply 52 supplies radio frequency power (HF: High Frequency) for plasma excitation at around 40 MHz, for example, to the upper electrode 40 . The radio frequency power supplied from the radio frequency power supply 52 is controlled by a control device 60 described later.

作為下部電極而發揮功能之基座24經由匹配器33而電性連接有射頻電源34。射頻電源34將偏壓用的射頻電力(LF:Low Frequency)施加至基座24。射 頻電源34將13.56MHz以下之頻率例如2MHz之射頻電力經由匹配器33而供給至基座24。藉由將射頻電力供給至基座24,而使電漿中的離子等活性物種拉入至靜電夾盤25上的半導體晶圓W。利用後述控制裝置60而控制由射頻電源34供給之射頻電力。 The base 24 functioning as a lower electrode is electrically connected to a radio frequency power source 34 via a matching device 33 . The radio frequency power supply 34 applies bias radio frequency power (LF: Low Frequency) to the base 24 . shoot The frequency power supply 34 supplies radio frequency power with a frequency below 13.56 MHz, such as 2 MHz, to the base 24 through the matching device 33 . By supplying radio frequency power to the base 24 , active species such as ions in the plasma are pulled into the semiconductor wafer W on the electrostatic chuck 25 . The radio frequency power supplied from the radio frequency power supply 34 is controlled by the control device 60 described later.

腔室21的側壁形成有開口78,且開口78連接有配管38。配管38分歧為二,一者連接有閥37a的一端,另一者連接有閥37b的一端。閥37a的另一端經由配管38a而連接至壓力計36a,且閥37b的另一端經由配管38b而連接至壓力計36b。壓力計36a及36b例如係電容壓力計。 An opening 78 is formed on the side wall of the chamber 21 , and a pipe 38 is connected to the opening 78 . The piping 38 is divided into two, and one end is connected to the valve 37a, and the other end is connected to the valve 37b. The other end of the valve 37a is connected to the pressure gauge 36a via the piping 38a, and the other end of the valve 37b is connected to the pressure gauge 36b via the piping 38b. The pressure gauges 36a and 36b are, for example, capacitance pressure gauges.

藉由將閥37a控制為開狀態,而連通配管38與配管38a。藉此,經由腔室21的側壁所形成之開口78,而使壓力計36a曝露於腔室21內的處理空間。藉此,壓力計36a可量測處理空間內的壓力。另一方面,藉由將閥37a控制為閉狀態即關閉狀態,而阻斷配管38與配管38a。藉此,對於腔室21內的處理空間而言,壓力計36a被遮蔽。 By controlling the valve 37a to the open state, the pipe 38 and the pipe 38a are connected. Thereby, the pressure gauge 36a is exposed to the processing space in the chamber 21 through the opening 78 formed in the side wall of the chamber 21. Thereby, the pressure gauge 36a can measure the pressure within the processing space. On the other hand, by controlling the valve 37a to a closed state, that is, a closed state, the pipe 38 and the pipe 38a are blocked. Thereby, the pressure gauge 36a is shielded from the processing space within the chamber 21.

又,藉由將閥37b控制為開狀態,而連通配管38與配管38b。藉此,經由腔室21的側壁所形成之開口78,而將壓力計36b曝露於腔室21內的處理空間。藉此,壓力計36b可量測處理空間內的壓力。另一方面,藉由將閥37b控制為閉狀態,而阻斷配管38與配管38b。藉此,對於腔室21內的處理空間而言,壓力計36b被遮蔽。利用後述控制裝置60而進行閥37a及37b之開閉控制。 Furthermore, by controlling the valve 37b to the open state, the pipe 38 and the pipe 38b are connected. Thereby, the pressure gauge 36b is exposed to the processing space in the chamber 21 through the opening 78 formed in the side wall of the chamber 21. Thereby, the pressure gauge 36b can measure the pressure within the processing space. On the other hand, by controlling the valve 37b to the closed state, the pipe 38 and the pipe 38b are blocked. Thereby, the pressure gauge 36b is shielded from the processing space within the chamber 21. The control device 60 described below controls the opening and closing of the valves 37a and 37b.

腔室21的底部設有排氣口71,排氣口71經由排氣管72而連接有排氣裝置73。排氣裝置73例如具有DP(Dry Pump;乾式泵)或TMP(Turbo Molecular Pump;渦輪分子泵)等真空泵,且可將腔室21內減壓至期望真空度。藉由後述控制裝置60而控制排氣裝置73的排氣量等。例如,由氣體供給源48a將前驅體氣體供給至腔室21內之情形下,控制裝置60將閥37a控制為開狀態,並將閥37b控制為閉狀態。而且,基於由壓力計36a量測之腔室21內的壓力,來控制排氣裝置73的排氣量等,藉以將腔室21內的壓力控制為預定壓力。又例如,由氣體供給源48c將反應氣體供給至腔室21內之情形下,控制裝置60將閥37a控制為閉狀態,並將閥37b控制為開狀態。而且,基於由壓力計36b量測之腔室21內的壓力,來控制排氣裝置73的排氣量等,藉以將腔室21內的壓力控制為預定壓力。 An exhaust port 71 is provided at the bottom of the chamber 21 , and the exhaust port 71 is connected to an exhaust device 73 via an exhaust pipe 72 . The exhaust device 73 has a vacuum pump such as a DP (Dry Pump) or a TMP (Turbo Molecular Pump), for example, and can depressurize the chamber 21 to a desired degree of vacuum. The exhaust volume and the like of the exhaust device 73 are controlled by the control device 60 described below. For example, when the precursor gas is supplied into the chamber 21 from the gas supply source 48a, the control device 60 controls the valve 37a to be in an open state and the valve 37b to be in a closed state. Furthermore, based on the pressure in the chamber 21 measured by the pressure gauge 36a, the exhaust volume of the exhaust device 73 and the like are controlled, thereby controlling the pressure in the chamber 21 to a predetermined pressure. For another example, when the reaction gas is supplied into the chamber 21 from the gas supply source 48c, the control device 60 controls the valve 37a to a closed state and controls the valve 37b to an open state. Furthermore, based on the pressure in the chamber 21 measured by the pressure gauge 36b, the exhaust volume of the exhaust device 73 and the like are controlled, thereby controlling the pressure in the chamber 21 to a predetermined pressure.

腔室21的側壁設有:開口74,用以進行半導體晶圓W的搬入及搬出。開口74藉由閘閥G而可開閉。又,腔室21的內壁沿著壁面而呈自由裝卸設有沉積障蔽76。又,內壁構件28的外周面沿著內壁構件28的外周面而呈自由裝卸設有沉積障蔽77。沉積障蔽76及77防止反應副產物(沉積物)附著至腔室21的內壁及內壁構件28。在與靜電夾盤25上所載置之半導體晶圓W約略相同高度之沉積障蔽76的位置,設有連接至接地之導電性構件(GND區塊)79。藉由GND區塊79防止腔室21內的異常放電。 The side wall of the chamber 21 is provided with an opening 74 for loading and unloading the semiconductor wafer W. The opening 74 is openable and closable by the gate valve G. In addition, the inner wall of the chamber 21 is provided with a deposition barrier 76 that is freely removable along the wall surface. Furthermore, a deposition barrier 77 is detachably provided along the outer peripheral surface of the inner wall member 28 . Deposition barriers 76 and 77 prevent reaction by-products (sediments) from adhering to the inner wall of chamber 21 and inner wall members 28 . A conductive member (GND block) 79 connected to the ground is provided at a position of the deposition barrier 76 that is approximately the same height as the semiconductor wafer W placed on the electrostatic chuck 25 . Abnormal discharge in the chamber 21 is prevented by the GND block 79 .

上述電漿處理裝置10係藉由控制裝置60而將其動作統合控制。控制裝置60具有例如ROM(Read Only Memory;唯讀記憶體)或RAM(Random Access Memory;隨機存取記憶體)等記憶體61、例如CPU(Central Processing Unit; 中央處理器)或DSP(Digital Signal Processor;數位訊號處理器)等處理器62、使用者介面63。使用者介面63例如包含使程序管理者等使用者為了管理電漿處理裝置10而將指令之輸入操作加以進行之鍵盤、或將電漿處理裝置10的工作狀況加以可視化顯示之顯示器等。 The above-mentioned plasma processing device 10 is integratedly controlled by the control device 60 . The control device 60 has a memory 61 such as a ROM (Read Only Memory) or a RAM (Random Access Memory), such as a CPU (Central Processing Unit); CPU) or DSP (Digital Signal Processor; digital signal processor) and other processors 62 and user interface 63. The user interface 63 includes, for example, a keyboard that allows a user such as a program manager to input instructions for managing the plasma processing device 10 , a display that visually displays the operating status of the plasma processing device 10 , and the like.

記憶體61儲存有:配方,包含用以在電漿處理裝置10實現各種處理之處理條件資料等;以及控制程式(軟體)。而且,處理器62因應於經由使用者介面63之來自使用者的指示而從記憶體61呼叫任意配方並執行,藉以控制電漿處理裝置10的各部。藉此,藉由電漿處理裝置10而進行成膜等期望處理。此外,包含處理條件資料等之配方或控制程式,可使用儲存在電腦可讀取之記錄媒體等之狀態者、或者使用從其它裝置例如經由通信線路而被傳送者。電腦可讀取之記錄媒體係例如硬碟、CD(Compact Disc;光碟)、DVD(Digital Versatile Disc;數位多功能影音光碟)、軟碟、半導體記憶體等。 The memory 61 stores recipes, including processing condition data for realizing various processes in the plasma processing device 10 , and control programs (software). Furthermore, the processor 62 calls any recipe from the memory 61 and executes it in response to instructions from the user through the user interface 63, thereby controlling each part of the plasma treatment device 10. Thereby, desired processing such as film formation is performed by the plasma processing apparatus 10 . In addition, a recipe or a control program including processing condition data, etc. may be stored in a computer-readable recording medium or the like, or may be transmitted from another device, for example, via a communication line. Computer-readable recording media include hard disks, CDs (Compact Discs), DVDs (Digital Versatile Discs), floppy disks, semiconductor memories, etc.

此外,在此,就一例而言說明使用電容耦合型電漿(CCP:Capacitively Coupled Plasma)作為電漿源之電漿處理裝置10,但本發明揭示技術不限於此,可採用使用感應耦合型電漿(ICP:Inductively Coupled Plasma)、微波電漿等任意電漿源之電漿處理裝置10。 In addition, here, a plasma processing device 10 using capacitively coupled plasma (CCP: Capacitively Coupled Plasma) as a plasma source is described as an example. However, the technology disclosed in the present invention is not limited to this. Inductively coupled plasma may be used. Plasma treatment device 10 for any plasma source such as ICP (Inductively Coupled Plasma), microwave plasma, etc.

<一實施形態之電漿處理方法的流程的一例> <An example of the flow of the plasma treatment method according to one embodiment>

圖6係將一實施形態之電漿處理裝置10中之電漿處理方法的大概流程的一例加以顯示之流程圖。 FIG. 6 is a flowchart showing an example of a general flow of the plasma processing method in the plasma processing apparatus 10 according to the embodiment.

首先,在電漿處理裝置10的腔室21內,載置處理對象(例如晶圓W)。電漿處理裝置10首先在處理對象的表面上形成遮罩層(步驟S61)。其次,電漿處理裝置10藉由蝕刻而在遮罩層形成圖案(步驟S62)。圖案例如包含具有X-Y圖案之開口部。在此,步驟S61及S62,亦可不在電漿處理裝置10內執行,而在其它裝置執行。例如,亦可於其它裝置將遮罩層與圖案形成於晶圓W後,使晶圓W移動至電漿處理裝置10的腔室21內而執行以下處理。 First, a processing target (eg, wafer W) is placed in the chamber 21 of the plasma processing apparatus 10 . The plasma processing apparatus 10 first forms a mask layer on the surface of the treatment object (step S61). Next, the plasma processing apparatus 10 forms a pattern on the mask layer by etching (step S62). The pattern includes, for example, openings having an X-Y pattern. Here, steps S61 and S62 may not be executed in the plasma processing device 10 but may be executed in other devices. For example, after the mask layer and pattern are formed on the wafer W by another device, the wafer W can be moved into the chamber 21 of the plasma processing device 10 to perform the following processing.

其次,電漿處理裝置10,從所形成之圖案之上,執行:CVD,其使用成膜而成為抑制劑之氣體(步驟S63,第一程序)。藉由CVD而形成厚度依處理對象上之圖案的形狀而異的第一膜(以下亦稱作抑制劑層)。其次,電漿處理裝置10,從第一膜之上將ALD循環執行預定次數(步驟S64,第二程序)。藉由ALD循環,而在處理對象上形成第二膜。其後,判斷電漿處理裝置10是否滿足預定條件(步驟S65)。當判斷為滿足預定條件(步驟S65,是)時,則電漿處理裝置10結束處理。另一方面,當判斷為未滿足預定條件(步驟S65,否)時,則電漿處理裝置10返回步驟S63而重複處理。此係一實施形態之電漿處理方法的大概流程。此外,亦可構成為於步驟S64之後執行其它處理。以下說明中,亦將自步驟S63至步驟S64為止之一次處理稱作一序列。 Next, the plasma processing apparatus 10 performs CVD on the formed pattern using a gas that forms a film and becomes an inhibitor (step S63, first process). A first film (hereinafter also referred to as an inhibitor layer) whose thickness varies according to the shape of the pattern on the treatment object is formed by CVD. Next, the plasma processing device 10 performs the ALD cycle from above the first membrane a predetermined number of times (step S64, second procedure). The second film is formed on the processing object through the ALD cycle. Thereafter, it is determined whether the plasma processing apparatus 10 satisfies a predetermined condition (step S65). When it is determined that the predetermined condition is satisfied (step S65, Yes), the plasma processing apparatus 10 ends the process. On the other hand, when it is determined that the predetermined condition is not satisfied (step S65, NO), the plasma processing apparatus 10 returns to step S63 and repeats the process. This is a general flowchart of the plasma treatment method in one embodiment. In addition, other processing may be performed after step S64. In the following description, one process from step S63 to step S64 will also be referred to as a sequence.

<第一膜的膜厚> <Film thickness of first film>

電漿處理裝置10藉由CVD而形成之成為抑制劑層之第一膜的膜厚,係由各種要因來決定。例如,可使用載入效果,藉以使電漿處理裝置10將第一膜形成 為期望膜厚。載入效果係一種因圖案的疏密度而導致成膜之膜的膜厚等變動之現象。例如,因圖案自身的大小例如開口部的開口面積而導致成膜後的開口尺寸變動。又,因位在該圖案的周圍之圖案的形狀或配置而導致成膜後的開口尺寸變動。 The film thickness of the first film serving as the inhibitor layer formed by CVD in the plasma processing apparatus 10 is determined by various factors. For example, a loading effect may be used whereby the plasma processing device 10 forms the first film into is the desired film thickness. The loading effect is a phenomenon in which the film thickness of the film formed changes due to the density of the pattern. For example, the size of the opening after film formation varies depending on the size of the pattern itself, such as the opening area of the opening. In addition, the size of the opening after film formation varies depending on the shape or arrangement of patterns located around the pattern.

吾人認為載入效果產生之理由之一,係因為由開口部的縱橫比決定氣體等成膜材料可自開口側而入侵至開口部內之角度,就結果而言,決定進入至開口部之成膜材料的量。圖7用以說明載入效果的一例。如圖7所示,處理對象上的開口部的縱橫比係小之情形下,材料的侵入角度(Ω)變大。另一方面,開口部的縱橫比係大之情形下,材料的侵入角度變小。因此,各開口部的成膜量因應於侵入角度而變動。就結果而言,小開口之X邊上之成膜量小於大開口之Y邊上之成膜量。 We believe that one of the reasons why the loading effect occurs is because the aspect ratio of the opening determines the angle at which film-forming materials such as gas can invade from the opening side into the opening, and as a result, determines the film formation into the opening. Amount of material. Figure 7 is used to illustrate an example of the loading effect. As shown in FIG. 7 , when the aspect ratio of the opening on the processing target is small, the intrusion angle (Ω) of the material becomes large. On the other hand, when the aspect ratio of the opening is large, the intrusion angle of the material becomes small. Therefore, the film formation amount of each opening changes depending on the intrusion angle. As a result, the film formation amount on the X side of the small opening is smaller than the film formation amount on the Y side of the large opening.

如上所述,例如開口部的縱橫比越小,則第一膜的膜厚越厚。又例如,開口部的立體角越大,則第一膜的膜厚越厚。又例如,第一膜的膜厚因應於開口部的寬窄或深度而變動。例如,開口部越廣而淺則第一膜的膜厚越厚。又,第一膜的膜厚因應於處理對象上所形成之圖案的疏密、線徑與間距(L/S)等而變動。 As described above, for example, the smaller the aspect ratio of the opening is, the thicker the film thickness of the first film is. For another example, the larger the solid angle of the opening, the thicker the film thickness of the first film. For another example, the film thickness of the first film changes according to the width or depth of the opening. For example, the wider and shallower the opening, the thicker the film thickness of the first film. In addition, the film thickness of the first film changes according to the density, line diameter, spacing (L/S), etc. of the pattern formed on the processing object.

此外,實施形態之電漿處理所形成之第一膜的材料,只要係將第二膜之成膜加以妨礙的材料則不特別限定。例如,第一膜係疏水性的膜。又例如,第一膜係含有氟(F)的膜。又例如,第一膜係由含有碳氟化合物之氣體所形成之膜。 又例如,第一膜係由不含氫之氣體所形成之膜。又例如,第一膜係將處理對象表面加以改質之改質膜。 In addition, the material of the first film formed by the plasma treatment in the embodiment is not particularly limited as long as it hinders the formation of the second film. For example, the first membrane is a hydrophobic membrane. For another example, the first film system contains a film of fluorine (F). For another example, the first film is a film formed of gas containing fluorocarbons. For another example, the first film is a film formed of a gas that does not contain hydrogen. For another example, the first film is a modification film that modifies the surface of the treatment object.

<第二膜的膜厚> <Film thickness of second film>

第二膜形成時,第一膜作為抑制劑層而發揮功能,妨礙前驅體氣體的化學吸附。因此,第二膜的膜厚因應於第一膜的膜厚而受控制。 When the second film is formed, the first film functions as an inhibitor layer and inhibits chemical adsorption of the precursor gas. Therefore, the film thickness of the second film is controlled in response to the film thickness of the first film.

例如,定為因上述載入效果而使第一膜薄幅形成於X邊上,且厚幅形成於Y邊上。此情形下,當從第一膜之上執行ALD循環而形成第二膜時,則X邊上的第一膜因ALD循環而被去除所消耗之時間短於Y邊上的第一膜因ALD循環而被去除所消耗之時間。如此一來,則在X邊上由ALD循環所成之第二膜之形成開始的時機早於在Y邊上由ALD循環所成之第二膜之形成開始的時機。就結果而言,若X邊及Y邊皆執行相同次數的ALD循環,則形成於X邊上之第二膜的膜厚厚於形成於Y邊上之第二膜的膜厚。 For example, it is assumed that the thin first film is formed on the X side and the thick first film is formed on the Y side due to the above loading effect. In this case, when the ALD cycle is performed on the first film to form the second film, the time it takes for the first film on the X side to be removed by the ALD cycle is shorter than the time it takes for the first film on the Y side to be removed by ALD. The time it takes to be removed from the cycle. In this way, the timing of the formation of the second film formed by the ALD cycle on the X side starts earlier than the timing of the formation of the second film formed by the ALD cycle on the Y side. As a result, if the same number of ALD cycles are performed on both the X side and the Y side, the film thickness of the second film formed on the X side is thicker than the film thickness of the second film formed on the Y side.

例如,將形成於Y邊上之第一膜的膜厚定為A、並將形成於X邊上之第一膜的膜厚定為B(其中A>B)。而且,將第二程序(步驟S64)之中隨一次ALD循環而被去除之第一膜的膜厚定為x、隨一次ALD循環而形成之第二膜的膜厚定為y。而且,定為A=10x、B=2x。此情形下,當於步驟S64執行ALD循環12次後,則形成於Y邊上之第二膜的膜厚成為2y、形成於X邊上之第二膜的膜厚成為10y。其中,於第一程序(步驟S63)形成之第一膜隨一次ALD循環一次被去除之量(膜厚)係與利用一次ALD循環而形成之第二膜的膜厚不同(x≠y)。因此, 可考慮第二程序中之第一膜的去除量與第二膜的形成量,而調整第一程序及第二程序的處理條件例如處理時間或循環次數。 For example, let the film thickness of the first film formed on the Y side be A, and let the film thickness of the first film formed on the X side be B (where A>B). Furthermore, let the film thickness of the first film removed with one ALD cycle in the second process (step S64) be x, and the film thickness of the second film formed with one ALD cycle be y. Moreover, let A=10x and B=2x. In this case, after the ALD cycle is executed 12 times in step S64, the film thickness of the second film formed on the Y side becomes 2y, and the film thickness of the second film formed on the X side becomes 10y. Among them, the amount (film thickness) of the first film formed in the first process (step S63) that is removed in one ALD cycle is different from the film thickness of the second film formed in one ALD cycle (x≠y). therefore, The processing conditions of the first and second procedures, such as the processing time or the number of cycles, can be adjusted taking into account the removal amount of the first film and the formation amount of the second film in the second procedure.

因此,若可使用載入效果而將與圖5B的基板上所形成之膜係同樣形狀的抑制劑層加以形成,則可藉由其後之ALD循環而實現X>Y收縮。又,若可將與圖5C的基板上所形成之膜係同樣形狀的抑制劑層加以形成,則可藉由其後之ALD循環而實現X<Y收縮。 Therefore, if the loading effect can be used to form an inhibitor layer with the same shape as the film system formed on the substrate in Figure 5B, X>Y shrinkage can be achieved through subsequent ALD cycles. Furthermore, if an inhibitor layer with the same shape as the film system formed on the substrate in FIG. 5C can be formed, X<Y shrinkage can be achieved through subsequent ALD cycles.

圖8A及圖8B用以說明藉由一實施形態之電漿處理方法而獲得之X>Y收縮效果。圖8A概略性顯示將圖6所示之步驟S63及S64重複三次而在X邊形成第二膜之狀態。又,圖8B概略性顯示將圖6所示之步驟S63及S64重複3次而在Y邊形成第二膜之狀態。於任一情形下,皆於步驟S63執行一次CVD而形成CF膜後,而於步驟S64重複執行預定次數之ALD循環,並將此步驟S63與步驟S64之序列重複三次來執行。 8A and 8B are used to illustrate the X>Y shrinkage effect obtained by the plasma treatment method of an embodiment. FIG. 8A schematically shows a state in which steps S63 and S64 shown in FIG. 6 are repeated three times to form a second film on the X side. In addition, FIG. 8B schematically shows a state in which steps S63 and S64 shown in FIG. 6 are repeated three times to form a second film on the Y side. In any case, after performing CVD once to form the CF film in step S63, ALD cycles are repeatedly performed a predetermined number of times in step S64, and the sequence of steps S63 and S64 is repeated three times.

如圖8A所示,X邊之中,藉由夾著X邊而相向之側壁上所形成之第二膜,而使X邊的長度平均減少8.12奈米〔nm〕。亦即,側壁上形成有平均8.12奈米之第二膜。另一方面,Y邊之中,藉由夾著Y邊而相向之側壁上所形成之第二膜,而使Y邊的長度平均減少6.37奈米。亦即,側壁上形成有平均6.37奈米的第二膜。吾人由圖8A及圖8B而知悉:可利用重複執行步驟S63及S64,而於使X邊的開口尺寸相較於Y邊的開口尺寸而言大程度減少之情況下,使兩者的開口尺寸減少。亦即,吾人知悉可實現X>Y收縮。又,可藉由進一步增加步驟S63及S64的執行 次數,來增加X>Y收縮效果。 As shown in FIG. 8A , among the X sides, the length of the X side is reduced by an average of 8.12 nanometers (nm) by the second film formed on the side walls facing each other sandwiching the X side. That is, a second film with an average thickness of 8.12 nm is formed on the sidewall. On the other hand, in the Y side, the length of the Y side is reduced by an average of 6.37 nanometers by the second film formed on the opposite side walls sandwiching the Y side. That is, a second film with an average thickness of 6.37 nm is formed on the sidewall. We know from Figure 8A and Figure 8B that by repeatedly executing steps S63 and S64, when the opening size of the X side is greatly reduced compared to the opening size of the Y side, the opening sizes of both sides can be reduced. Reduce. That is, we know that X>Y contraction can be achieved. In addition, by further adding the execution of steps S63 and S64 times to increase the X>Y shrinkage effect.

<基板其它材料例> <Examples of other substrate materials>

本實施形態之電漿處理方法可應用於以各樣材料形成之處理對象。 The plasma treatment method of this embodiment can be applied to treatment objects made of various materials.

圖9顯示將本實施形態的電漿處理方法加以應用之處理對象的材料組合。在此,定為針對在基板上依順序形成有被蝕刻層與遮罩之處理對象,為了遮罩之尺寸控制而應用本實施形態的電漿處理方法來形成第二膜。此外,被蝕刻層與基板之間亦可形成停止層。 FIG. 9 shows a combination of materials to be treated to which the plasma treatment method of this embodiment is applied. Here, it is assumed that the second film is formed by applying the plasma processing method of this embodiment in order to control the size of the mask for a processing target in which an etching layer and a mask are sequentially formed on a substrate. In addition, a stop layer can also be formed between the etched layer and the substrate.

此情形下,例如,可在矽基板上形成氮化矽(SiN)、矽(Si)或矽鍺(SiGe)之被蝕刻層,並形成二氧化矽(SiO2)之遮罩。此情形下,可將二氧化矽(SiO2)作為第二膜使用。 In this case, for example, an etched layer of silicon nitride (SiN), silicon (Si) or silicon germanium (SiGe) can be formed on the silicon substrate, and a mask of silicon dioxide (SiO 2 ) can be formed. In this case, silicon dioxide (SiO 2 ) can be used as the second film.

又,可在被蝕刻層使用SiO2,在遮罩使用SiN,並在第二膜使用SiN。又,可在被蝕刻層使用SiO2,在遮罩使用氮化鈦(TiN)、碳化鎢(WC)或二氧化鋯(ZrO2)。此情形下,可將TiN或WC作為第二膜使用。 Alternatively, SiO 2 can be used for the layer to be etched, SiN can be used for the mask, and SiN can be used for the second film. Alternatively, SiO 2 may be used for the etched layer, and titanium nitride (TiN), tungsten carbide (WC), or zirconium dioxide (ZrO 2 ) may be used for the mask. In this case, TiN or WC can be used as the second film.

任一材料組合之情形下,皆可使用CCP等裝置而實現處理。 In any combination of materials, CCP and other equipment can be used to achieve processing.

又,上述實施形態的電漿處理方法,不只應用於基板上依序形成有被蝕刻層與遮罩之處理對象,亦可應用於其它構成之處理對象。例如,可應用於矽基 板上依序形成有被蝕刻層、有機層、含矽反射防止層等、且在反射防止層上形成有光阻等遮罩層之處理對象。此情形下,亦可例如在基板上插設藉由多重圖案化形成之層。而且,亦可使用上述實施形態之電漿處理方法而調整遮罩的圖案尺寸,俾使形成於遮罩之圖案係與藉由多重圖案化而形成之層的各線條整齊排列。上述實施形態的電漿處理方法可用以藉由調整遮罩的圖案尺寸,來精密調整將通孔或接觸部加以形成之位置。 In addition, the plasma processing method of the above embodiment is not only applied to the processing object on which the etching layer and the mask are sequentially formed on the substrate, but can also be applied to processing objects with other structures. For example, it can be applied to silicon-based The etched layer, organic layer, silicon-containing anti-reflective layer, etc. are formed on the board in sequence, and a mask layer such as photoresist is formed on the anti-reflective layer. In this case, for example, a layer formed by multiple patterning can also be inserted on the substrate. Moreover, the pattern size of the mask can also be adjusted using the plasma treatment method of the above embodiment, so that the pattern formed on the mask is aligned with the lines of the layer formed by multiple patterning. The plasma processing method of the above embodiment can be used to precisely adjust the position where the through holes or contacts are formed by adjusting the pattern size of the mask.

<實施形態的效果> <Effects of implementation>

上述實施形態之電漿處理方法包含第一程序與第二程序。第一程序之中,電漿處理裝置,在處理對象所具有之開口部的側壁上,形成厚度依相向之成對側壁彼此之間隔而異的第一膜。第一程序後的第二程序之中,電漿處理裝置,將成膜循環施行一次以上,並形成厚度依前述相向之成對側壁彼此之間隔而異的第二膜。因此,電漿處理裝置,可在處理對象上,形成具有因應於圖案的狀態之膜厚差之第二膜。因此,實施形態之電漿處理裝置,即使於不易利用一次程序而形成具有期望膜厚差之第二膜之情形下,亦可使用載入效果或潛伏而形成具有期望膜厚差之第二膜。因此,實施形態之電漿處理裝置可實現形成於基板上之圖案之精密尺寸控制。 The plasma treatment method of the above embodiment includes a first step and a second step. In the first process, the plasma processing device forms a first film whose thickness varies depending on the distance between the pair of opposing side walls on the side walls of the opening of the treatment object. In the second process after the first process, the plasma treatment device performs the film forming cycle more than once, and forms a second film with a thickness that varies according to the distance between the pair of opposing side walls. Therefore, the plasma processing apparatus can form a second film having a film thickness difference corresponding to the state of the pattern on the treatment object. Therefore, the plasma treatment apparatus of the embodiment can form a second film with a desired film thickness difference by using a loading effect or latency even when it is difficult to form a second film with a desired film thickness difference using a single process. . Therefore, the plasma processing apparatus of the embodiment can realize precise dimensional control of the pattern formed on the substrate.

又,實施形態之電漿處理方法之中,電漿處理裝置,於第一程序,在以比處理對象上所形成之成對第一側壁更窄的間隔相向之成對第二側壁上,形成比成對第一側壁上所形成之第一膜更薄的第一膜。又,電漿處理裝置,於第二程序,在成對第二側壁上,形成比成對第一側壁上所形成之第二膜更厚之第二膜。 因此,實施形態之電漿處理裝置,可依照空著不同間隔相向之成對側壁每者,調整膜厚而進行尺寸控制,可提昇圖案精度。 Furthermore, in the plasma processing method of the embodiment, the plasma processing device, in the first step, forms on the pair of second side walls facing each other at a narrower interval than the pair of first side walls formed on the treatment object. The first film is thinner than the first film formed on the pair of first side walls. Furthermore, in the second step, the plasma treatment device forms a second film on the pair of second side walls that is thicker than the second film formed on the pair of first side walls. Therefore, the plasma processing device of the embodiment can adjust the film thickness according to each of the paired side walls facing each other at different intervals to perform dimensional control, thereby improving pattern accuracy.

又,實施形態之電漿處理方法之中,電漿處理裝置,於第一程序形成含有成膜循環中之第二膜之形成的妨礙因子之成分之第一膜。因此,實施形態之電漿處理裝置,可藉由第一膜的膜厚,而精密控制其後形成之第二膜的膜厚。 Furthermore, in the plasma treatment method of the embodiment, the plasma treatment device forms the first film containing a component that inhibits the formation of the second film in the film formation cycle in the first step. Therefore, the plasma processing apparatus according to the embodiment can precisely control the film thickness of the second film formed subsequently based on the film thickness of the first film.

又,實施形態之電漿處理方法之中,電漿處理裝置,於第一程序,形成疏水性之第一膜。又,電漿處理裝置,於第一程序,形成含有氟(F)之第一膜。又,電漿處理裝置,於第一程序,藉由不含氫、而含有碳氟化合物(CF)之氣體來形成第一膜。如同上述,實施形態之電漿處理裝置,可將產生第二膜之潛伏之材料加以選擇來形成第一膜,並可精密控制圖案的尺寸。 Furthermore, in the plasma treatment method of the embodiment, the plasma treatment device forms a hydrophobic first film in the first step. In addition, the plasma treatment device forms a first film containing fluorine (F) in the first step. In addition, the plasma treatment device forms the first film using a gas that does not contain hydrogen and contains fluorocarbon (CF) in the first step. As described above, the plasma processing apparatus of the embodiment can form the first film by selecting a material that generates a latent layer of the second film, and can precisely control the size of the pattern.

又,實施形態之電漿處理方法之中,電漿處理裝置,於第二程序之中,係於去除第一膜之後,形成第二膜。因此,實施形態之電漿處理裝置,可藉由第一膜的膜厚來精密控制第二膜的膜厚。 Furthermore, in the plasma treatment method of the embodiment, the plasma treatment device forms the second film after removing the first film in the second step. Therefore, the plasma processing apparatus according to the embodiment can precisely control the film thickness of the second film based on the film thickness of the first film.

又,實施形態之電漿處理方法之中,電漿處理裝置將包含第一程序及第二程序之序列重複執行一次以上。因此,實施形態之電漿處理裝置,可藉由調整重複序列之次數,來精密控制所形成之第二膜的膜厚。 Furthermore, in the plasma processing method of the embodiment, the plasma processing device repeatedly executes the sequence including the first program and the second program one or more times. Therefore, the plasma processing apparatus according to the embodiment can precisely control the thickness of the second film formed by adjusting the number of repetitions of the sequence.

又,實施形態之電漿處理方法包含:第三程序,於第二程序之後,將第二 膜作為遮罩而進行蝕刻。因此,實施形態之電漿處理裝置,可於精密控制遮罩即第二膜的尺寸之後,執行蝕刻,並可精密控制由蝕刻所形成之圖案的尺寸。 Furthermore, the plasma treatment method of the embodiment includes: a third step, after the second step, the second The film serves as a mask for etching. Therefore, the plasma processing apparatus of the embodiment can perform etching after precisely controlling the size of the mask, that is, the second film, and can precisely control the size of the pattern formed by etching.

又,實施形態之電漿處理方法中之處理對象的成對側壁,至少包含一部分曲面。因此,實施形態之電漿處理裝置,不僅將直線性形成之圖案,亦可將曲線性形成之圖案的尺寸加以精密控制。 Furthermore, in the plasma treatment method of the embodiment, the paired side walls of the treatment target include at least a part of the curved surface. Therefore, the plasma processing apparatus according to the embodiment can precisely control the size of not only linearly formed patterns but also curved patterns.

又,實施形態之電漿處理方法,於第二程序,將原子層沉積循環施行一次以上,而形成第二膜。因此,實施形態之電漿處理裝置,可使用原子層沉積的自我限制性而容易控制第二膜的膜厚。 Furthermore, in the plasma treatment method of the embodiment, in the second step, the atomic layer deposition cycle is performed more than once to form the second film. Therefore, the plasma processing apparatus according to the embodiment can easily control the film thickness of the second film by utilizing the self-limiting property of atomic layer deposition.

又,實施形態之電漿處理方法的第一程序之中,電漿處理裝置,藉由化學氣相沉積或電漿化學氣相沉積來形成第一膜。因此,實施形態之電漿處理裝置可有效率執行處理。 Furthermore, in the first step of the plasma treatment method of the embodiment, the plasma treatment device forms the first film by chemical vapor deposition or plasma chemical vapor deposition. Therefore, the plasma processing apparatus of the embodiment can perform processing efficiently.

又,實施形態之電漿處理方法的第一程序之中,電漿處理裝置形成具有因應於處理對象上所形成之開口部的縱橫比、立體角、開口部的寬窄及深度、開口部的面積、圖案的疏密、線徑與間距中之至少一者之厚度差之第一膜。因此,實施形態之電漿處理裝置,可使用因各樣要因而產生之載入效果,來精密控制圖案的尺寸。 Furthermore, in the first step of the plasma processing method of the embodiment, the plasma processing device is formed to have an aspect ratio, a solid angle, a width and a depth of the opening, and an area of the opening formed on the processing object. , the thickness difference of at least one of the density of the pattern, the line diameter and the spacing of the first film. Therefore, the plasma processing apparatus according to the embodiment can precisely control the size of the pattern using loading effects caused by various factors.

又,實施形態之電漿處理方法,具備:成膜程序,在處理對象上將第一膜 加以成膜;以及成膜循環執行程序,針對處理對象而執行成膜循環。而且,成膜循環係使用下者來執行:前驅體氣體,不化學吸附在第一膜的表面,而化學吸附在處理對象表面;以及反應氣體,進行電漿化而產生將第一膜加以去除之自由基。因此,實施形態之電漿處理方法,可使用第一膜而控制成膜循環中形成之膜的膜厚。因此,實施形態之電漿處理方法可精密控制圖案的尺寸。 In addition, the plasma treatment method of the embodiment includes: a film forming process, in which the first film is placed on the treatment object to form a film; and a film forming cycle execution program to execute a film forming cycle for the processing object. Moreover, the film formation cycle is performed using the following: a precursor gas that is not chemically adsorbed on the surface of the first film but is chemically adsorbed on the surface of the treatment object; and a reaction gas that is plasmatized to generate and remove the first film. of free radicals. Therefore, in the plasma treatment method of the embodiment, the thickness of the film formed in the film formation cycle can be controlled using the first film. Therefore, the plasma treatment method of the embodiment can precisely control the size of the pattern.

又,實施形態之電漿處理方法,執行成膜循環,前述成膜循環包含藉由同一氣體同時執行以下處理之程序:去除處理,在處理對象上,以第一預定量去除第一膜;以及沉積處理,在處理對象上,以與第一預定量不同之第二預定量沉積第二膜。因此,實施形態之電漿處理方法,可於一程序實現膜之去除與膜之形成兩個不同處理。因此,實施形態之電漿處理方法可效率良好地控制圖案的尺寸。 Furthermore, the plasma treatment method of the embodiment performs a film forming cycle, and the film forming cycle includes a process of simultaneously performing the following processes using the same gas: a removal process to remove the first film by a first predetermined amount on the treatment object; and In the deposition process, a second film is deposited on the processing object in a second predetermined amount that is different from the first predetermined amount. Therefore, the plasma treatment method of the embodiment can realize two different processes of film removal and film formation in one process. Therefore, the plasma treatment method according to the embodiment can efficiently control the size of the pattern.

<變形例1> <Modification 1>

此外,上述實施形態之中,藉由第一膜的膜厚而控制成膜循環例如ALD循環的潛伏時間。亦可取而代之,例如將第一膜的膜厚定為固定,並在第一膜施行ALD循環所行之改質處理,而使第二膜的膜厚變動。 In addition, in the above embodiment, the film thickness of the first film controls the latent time of the film formation cycle, such as the ALD cycle. Alternatively, for example, the film thickness of the first film may be fixed, and the first film may be modified by an ALD cycle to vary the film thickness of the second film.

例如,圖6的步驟S63之中,代替形成厚度依相向之成對側壁彼此之間隔而異的第一膜,而在處理對象上形成具有均勻厚度之第一膜。此時,成膜手段可使用熱CVD(thermal chemical vapor deposition;熱化學氣相沉積)、供給二種有機氣體而藉由溫度控制進行聚合反應而進行成膜之手段等。 For example, in step S63 of FIG. 6 , instead of forming a first film whose thickness varies according to the distance between the opposing pairs of side walls, a first film having a uniform thickness is formed on the processing object. At this time, the film forming method can use thermal CVD (thermal chemical vapor deposition; thermal chemical vapor deposition), a method of supplying two organic gases and performing a polymerization reaction by temperature control to form a film, etc.

而且,圖6的步驟S64之中,執行使用載入效果之改質處理。例如,ALD循環中,於化學吸附步驟(參照圖2A),將含矽氣體作為前驅體氣體供給至腔室21。而且,於反應步驟(參照圖2C),將碳氟化合物(CxFy例如C4F6)與含O氣體作為反應氣體而供給至腔室21。亦可於化學吸附步驟與反應步驟各自之後,執行將腔室21內加以氣滌之氣滌步驟。 Furthermore, in step S64 of FIG. 6 , a modification process using a loading effect is performed. For example, in the ALD cycle, in the chemical adsorption step (see FIG. 2A ), silicon-containing gas is supplied to the chamber 21 as a precursor gas. Furthermore, in the reaction step (see FIG. 2C ), a fluorocarbon (C x F y , such as C 4 F 6 ) and an O-containing gas are supplied to the chamber 21 as reaction gases. A gas scrubbing step of gas scrubbing the chamber 21 may also be performed after the chemical adsorption step and the reaction step.

此情形下,在形成有第一膜之處,於化學吸附步驟之中含矽氣體不進行化學吸附,且於反應步驟受到含O電漿去除第一膜。又,反應步驟之中,反應氣體所含之碳氟化合物沉積在第一膜上。另一方面,在第一膜(及沉積在第一膜上之碳氟化合物的膜)受到含O電漿去除之處,於化學吸附步驟中,含矽氣體化學進行吸附,並於反應步驟中,氧自由基與含矽分子進行反應而形成SiO2膜。 In this case, where the first film is formed, the silicon-containing gas does not undergo chemical adsorption in the chemical adsorption step, and is subjected to O-containing plasma to remove the first film in the reaction step. Furthermore, during the reaction step, the fluorocarbon contained in the reaction gas is deposited on the first film. On the other hand, where the first film (and the fluorocarbon film deposited on the first film) is removed by the O-containing plasma, in the chemical adsorption step, the silicon-containing gas is chemically adsorbed, and in the reaction step , oxygen free radicals react with silicon-containing molecules to form a SiO 2 film.

反應步驟之中,處理對象上的圖案中,CxFy不易進入圖案緊密的部分,且CxFy容易進入圖案鬆散的部分。因此,圖案越緊密的部分(X邊)則CxFy所成之成膜量越少,且圖案越鬆散的部分(Y邊)則CxFy所行之成膜量越多。又,含O電漿不易進入圖案緊密的部分,且含O電漿容易進入圖案鬆散的部分。因此,圖案越緊密的部分(X邊)則由含O氣體產生之含O電漿所成之第一膜的去除量越少,且圖案越鬆散的部分(Y邊)則第一膜的去除量越多。可調整反應氣體所含之碳氟化合物與含O氣體之比率,而使X邊上之第一膜的去除速度快於Y邊上之第一膜的去除速度,藉以獲得X>Y收縮效果(參照圖5C)。因此,藉由變形例之電漿處理方法,亦可獲得X>Y收縮效果(參照圖5C)。 During the reaction step, in the pattern on the processing object, it is difficult for C x F y to enter the tight part of the pattern, and it is easy for C x F y to enter the loose part of the pattern. Therefore, the denser the pattern (X side), the smaller the amount of C x F y film formation, and the looser the pattern (Y side), the greater the C x F y film formation amount. In addition, it is difficult for O-containing plasma to enter parts with tight patterns, and it is easy for O-containing plasma to enter parts with loose patterns. Therefore, the denser the pattern (X side), the smaller the removal amount of the first film formed by the O-containing plasma generated by the O-containing gas, and the looser pattern (Y side), the less the first film is removed. The more quantity. The ratio of fluorocarbons and O-containing gases contained in the reaction gas can be adjusted so that the removal speed of the first film on the X side is faster than the removal speed of the first film on the Y side, thereby obtaining the X>Y shrinkage effect ( See Figure 5C). Therefore, through the plasma treatment method of the modified example, the X>Y shrinkage effect can also be obtained (see Figure 5C).

<變形例2> <Modification 2>

又,上述實施形態之中,定為:ALD循環的處理條件為了完結處理對象的表面上之自我限制吸附、反應而設有充份的處理時間。但不限於此,亦可將ALD循環的處理條件設定為不完結處理對象的表面上之自我限制吸附、反應。例如,亦可將所謂不飽和ALD(以下亦稱作亞保形ALD)使用於第二程序。亞保形ALD,可利用例如以下二態樣實現。 In addition, in the above-mentioned embodiment, the processing conditions of the ALD cycle are set so that a sufficient processing time is provided to complete the self-limiting adsorption and reaction on the surface of the processing target. But it is not limited to this, and the processing conditions of the ALD cycle can also be set to not complete the self-limiting adsorption and reaction on the surface of the treatment object. For example, so-called unsaturated ALD (hereinafter also referred to as subconformal ALD) may be used in the second process. Subconformal ALD can be implemented using the following two aspects, for example.

(1)使前驅物吸附在處理對象的表面全體。控制為此後導入之反應氣體係不遍佈處理對象的表面全體。 (1) The precursor is adsorbed to the entire surface of the treatment object. It is controlled that the reactant gas system introduced thereafter does not spread over the entire surface of the treatment object.

(2)使前驅物僅吸附在處理對象的表面的一部分。其後導入之反應氣體,僅在吸附有前驅物之表面部分進行成膜。可使用亞保形ALD,而將第二膜形成為第二膜的厚度係從頂部朝底部而逐漸減少。 (2) The precursor is adsorbed to only a part of the surface of the treatment object. The reaction gas introduced subsequently forms a film only on the surface portion where the precursor is adsorbed. Subconformal ALD can be used, and the second film is formed so that the thickness of the second film gradually decreases from top to bottom.

圖10A用以說明變形例2之電漿處理方法的第一程序。圖10B用以說明變形例2之電漿處理方法的第二程序。圖10A所示之X-Y圖案設係設定為與圖5B所示之X-Y圖案同樣,但短邊X的成膜量少於圖5B的例。 FIG. 10A is used to illustrate the first procedure of the plasma treatment method in Modification 2. FIG. 10B is used to illustrate the second procedure of the plasma treatment method in Modification 2. The X-Y pattern shown in FIG. 10A is set to be the same as the X-Y pattern shown in FIG. 5B , but the film formation amount of the short side X is less than that of the example of FIG. 5B .

變形例2的第一程序,使用CVD,而進行下述控制:於維持短邊X的開口尺寸之情況下,縮小長邊Y的開口尺寸(X<Y收縮)。其後,於第二程序,使用亞保形ALD,而進行下述控制:於縮小短邊X的開口尺寸之情況下,維持長邊Y的開口尺寸(X>Y收縮)。此時,短邊X上,將第二膜形成為藉由不飽和ALD而使膜厚從頂部至底部為止逐漸變薄。又,短邊X的底部未將第二膜加以成膜。如上 所述,可使用亞保形ALD,藉以抑制往處理對象之底部的成膜量。又,使用亞保形ALD之情形下,亦維持下述關係:第一膜的膜厚越厚,則形成於相同部分之第二膜的膜厚越薄。因此,依據此電漿處理方法,可實現X-Y圖案的尺寸控制。 The first process of Modification 2 uses CVD to perform the following control: while maintaining the opening size of the short side X, the opening size of the long side Y is reduced (X<Y shrinkage). Thereafter, in the second process, subconformal ALD is used to perform the following control: while reducing the opening size of the short side X, the opening size of the long side Y is maintained (X>Y shrinkage). At this time, the second film is formed on the short side X so that the film thickness gradually becomes thinner from the top to the bottom by unsaturated ALD. In addition, the second film is not formed on the bottom of the short side X. As above As mentioned above, subconformal ALD can be used to suppress the amount of film formation going to the bottom of the object being processed. Furthermore, when subconformal ALD is used, the following relationship is maintained: the thicker the film thickness of the first film, the thinner the film thickness of the second film formed in the same portion. Therefore, according to this plasma treatment method, size control of the X-Y pattern can be achieved.

如同變形例2,本實施形態之電漿處理方法,亦可第二程序之中,利用不完結處理對象的表面上之自我限制吸附或反應之處理條件,施行亞保形ALD循環一次以上來形成第二膜。因此,電漿處理方法,不僅控制X-Y圖案,可抑制圖案底部的成膜量,且能容易執行後續處理例如蝕刻。 Like Modification 2, the plasma treatment method of this embodiment can also be formed by performing subconformal ALD cycles more than once in the second process by utilizing the treatment conditions of self-limiting adsorption or reaction on the surface of the unfinished treatment object. Second membrane. Therefore, the plasma treatment method not only controls the X-Y pattern, but also suppresses the amount of film formation at the bottom of the pattern, and can easily perform subsequent processing such as etching.

當知悉本說明書揭示之實施形態,在全部特點係例示而非限制。上述實施形態,可不超脫附加之發明申請專利範圍及其主旨而以各樣形態進行省略、取代、變更。 It should be understood that the embodiments disclosed in this specification are illustrative in all aspects and not restrictive. The above-described embodiments may be omitted, substituted, or modified in various forms without departing from the scope of the appended invention claims and the gist thereof.

S61~S65:步驟 S61~S65: steps

Claims (16)

一種電漿處理方法,包括:第一程序,在處理對象所具有之開口部的側壁上,形成厚度依相向之成對側壁彼此之間隔而異的第一膜;以及第二程序,於該第一程序後,將成膜循環施行一次以上,形成厚度依該相向之成對側壁彼此之間隔而異的第二膜;該第一程序,在以比該處理對象上所形成之成對第一側壁更窄的間隔相向之成對第二側壁上,形成比該成對第一側壁上所形成之第一膜更薄的第一膜,該第二程序,在該成對第二側壁上,形成比該成對第一側壁上所形成之第二膜更厚的第二膜。 A plasma treatment method, including: a first step of forming a first film with a thickness that varies depending on the distance between opposing pairs of side walls on the side walls of an opening of a treatment object; and a second step of forming a first film on the side wall of an opening of a treatment object; After a process, the film forming cycle is performed more than once to form a second film with a thickness that varies according to the distance between the opposing paired side walls; the first process is performed in a manner that is higher than the paired first film formed on the processing object. A first film thinner than the first film formed on the pair of first side walls is formed on the pair of second side walls with narrower side walls facing each other. In the second process, on the pair of second side walls, A second film thicker than the second film formed on the pair of first side walls is formed. 如申請專利範圍第1之電漿處理方法,其中,該第一程序,形成含有成為該成膜循環中之第二膜之形成的妨礙因子之成分的該第一膜。 For example, in the plasma treatment method of Claim 1, the first step forms the first film containing a component that hinders the formation of the second film in the film formation cycle. 如申請專利範圍第2項之電漿處理方法,其中,該第一程序形成疏水性之該第一膜。 For example, in the plasma treatment method of claim 2, the first step forms the hydrophobic first film. 如申請專利範圍第3項之電漿處理方法,其中,該第一程序形成含有氟(F)之該第一膜。 For example, in the plasma treatment method of claim 3, the first process forms the first film containing fluorine (F). 如申請專利範圍第4項之電漿處理方法,其中,該第一程序,藉由不含氫、而含碳氟化合物(CF)之氣體來形成該第一膜。 For example, in the plasma treatment method of claim 4, the first process uses a gas that does not contain hydrogen and contains fluorocarbon (CF) to form the first film. 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,該第二程序,於去除該第一膜之後,形成該第二膜。 For example, the plasma treatment method of any one of items 1 to 5 of the patent scope is applied for, wherein the second process forms the second film after removing the first film. 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,將包含該第一程序及該第二程序之序列重複執行一次以上。 For example, if the plasma treatment method of any one of items 1 to 5 of the patent scope is applied for, the sequence including the first process and the second process is repeatedly executed more than once. 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,包含:第三程序,於該第二程序之後,將該第二膜作為遮罩而進行蝕刻。 For example, the plasma treatment method of any one of items 1 to 5 of the patent scope includes: a third process, after the second process, etching is performed using the second film as a mask. 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,該成對側壁至少包含一部分曲面。 For example, the plasma treatment method according to any one of items 1 to 5 of the patent application scope, wherein the pair of side walls includes at least a part of the curved surface. 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,該第二程序之中,將原子層沉積(Atomic Layer Deposition;ALD)循環施行一次以上,而形成該第二膜。 For example, the plasma treatment method of any one of items 1 to 5 of the patent scope is applied for, wherein in the second process, atomic layer deposition (Atomic Layer Deposition; ALD) is cycled more than once to form the second film . 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,該第二程序之中,以不完結處理對象的表面上之自我限制吸附或反應之處理條件,來將亞保形ALD循環施行一次以上,而形成該第二膜。 For example, the plasma treatment method according to any one of items 1 to 5 of the patent scope is applied for, wherein, in the second process, the treatment conditions of the self-limiting adsorption or reaction on the surface of the treatment object are not completed to treat the subsurface The ALD cycle is performed more than once to form the second film. 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,該第一程序之中,藉由化學氣相沉積來形成該第一膜。 For example, the plasma treatment method of any one of claims 1 to 5, wherein in the first step, the first film is formed by chemical vapor deposition. 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,該第一程序形成具有因應於該處理對象上所形成之開口部的縱橫比、立體角、開口部的寬窄及深度、開口部的面積、圖案的疏密、線徑與間距中之至少一者之厚度差的該第一膜。 For example, the plasma treatment method of any one of items 1 to 5 of the patent scope is applied for, wherein the first process forms a process having a shape corresponding to the aspect ratio, solid angle, width and width of the opening formed on the treatment object. The thickness difference of at least one of the depth, the area of the opening, the density of the pattern, and the line diameter and the spacing of the first film. 如申請專利範圍第1至5項中任一項之電漿處理方法,其中,該第二程序之中,藉由重複以下步驟而形成該第二膜:吸附步驟,將該處理對象曝露於前驅體;及反應步驟,將該處理對象曝露於反應氣體。 For example, the plasma treatment method of any one of items 1 to 5 of the patent scope is applied for, wherein in the second process, the second film is formed by repeating the following steps: an adsorption step, exposing the treatment object to a precursor body; and a reaction step of exposing the treatment object to a reaction gas. 如申請專利範圍第14項之電漿處理方法,其中,藉由以下手段亞保形地形成該第二膜:在該反應步驟之中,進行控制以使該反應氣體不遍佈該處理對象的表面;及/或在該吸附步驟之中,使該前驅物僅吸附在該處理對象的一部分。 For example, the plasma treatment method of Item 14 of the patent application, wherein the second film is formed subconformally by the following means: during the reaction step, control is performed so that the reaction gas does not spread across the surface of the treatment object ; and/or in the adsorption step, the precursor is adsorbed on only a part of the treatment object. 一種電漿處理裝置,具備:記憶部,記憶有將如申請專利範圍第1至15項中任一項之電漿處理方法加以執行之程式;以及 控制部,進行控制以執行該程式。A plasma processing device having: a memory unit that stores a program for executing the plasma processing method according to any one of items 1 to 15 of the patent application scope; and The control unit controls the execution of the program.
TW108125909A 2018-07-26 2019-07-23 Plasma processing method and plasma processing apparatus TWI820170B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2018-140334 2018-07-26
JP2018140334 2018-07-26
JP2019-104041 2019-06-03
JP2019104041A JP7345283B2 (en) 2018-07-26 2019-06-03 Plasma treatment method and plasma treatment device

Publications (2)

Publication Number Publication Date
TW202014548A TW202014548A (en) 2020-04-16
TWI820170B true TWI820170B (en) 2023-11-01

Family

ID=69619516

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125909A TWI820170B (en) 2018-07-26 2019-07-23 Plasma processing method and plasma processing apparatus

Country Status (2)

Country Link
JP (1) JP7345283B2 (en)
TW (1) TWI820170B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023134139A (en) * 2022-03-14 2023-09-27 キオクシア株式会社 Semiconductor manufacturing device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200525689A (en) * 2003-09-05 2005-08-01 Promos Technologies Inc Method of forming a dielectric film including an air gap and a low-k dielectric layer, and semiconductor structure
TW200531206A (en) * 2003-12-23 2005-09-16 Applied Materials Inc Improved gap-fill techniques

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173299A (en) * 2004-12-15 2006-06-29 Nec Electronics Corp Method of manufacturing semiconductor device
JP6382055B2 (en) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 Method for processing an object
JP6545094B2 (en) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP6800004B2 (en) * 2016-02-01 2020-12-16 東京エレクトロン株式会社 Method of forming a silicon nitride film
JP6576277B2 (en) * 2016-03-23 2019-09-18 東京エレクトロン株式会社 Formation method of nitride film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200525689A (en) * 2003-09-05 2005-08-01 Promos Technologies Inc Method of forming a dielectric film including an air gap and a low-k dielectric layer, and semiconductor structure
TW200531206A (en) * 2003-12-23 2005-09-16 Applied Materials Inc Improved gap-fill techniques

Also Published As

Publication number Publication date
TW202014548A (en) 2020-04-16
JP2020025079A (en) 2020-02-13
JP7345283B2 (en) 2023-09-15

Similar Documents

Publication Publication Date Title
US9607811B2 (en) Workpiece processing method
US10043639B2 (en) Substrate processing apparatus and substrate processing method
TW200822264A (en) Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US10151034B2 (en) Substrate processing method including supplying a fluorine-containing gas on a surface of a substrate
US11289339B2 (en) Plasma processing method and plasma processing apparatus
TW201903896A (en) Processing method of processed object
JP6735549B2 (en) Substrate processing apparatus, substrate processing method and ring-shaped member
US10668512B2 (en) Particle removal method and substrate processing method
JP7323330B2 (en) Substrate processing method and substrate processing apparatus
TWI820170B (en) Plasma processing method and plasma processing apparatus
US20220411928A1 (en) Plasma processing method and plasma processing apparatus
JP6804277B2 (en) Processing method and processing equipment
TW201931465A (en) Plasma etching method and plasma etching apparatus
JP7278456B2 (en) Plasma processing equipment
JP6988629B2 (en) Film formation method and film formation equipment
WO2015111329A1 (en) Substrate treatment device, shower plate, and substrate treatment method
TWI829810B (en) Substrate processing methods
US10541170B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
TW202032662A (en) Plasma processing method and plasma processing apparatus
JP2020096155A (en) Substrate processing method
JP2018081964A (en) Deposition device
CN111261514A (en) Substrate processing method
JP2011139001A (en) Film forming device