JP7278456B2 - Plasma processing equipment - Google Patents

Plasma processing equipment Download PDF

Info

Publication number
JP7278456B2
JP7278456B2 JP2022071809A JP2022071809A JP7278456B2 JP 7278456 B2 JP7278456 B2 JP 7278456B2 JP 2022071809 A JP2022071809 A JP 2022071809A JP 2022071809 A JP2022071809 A JP 2022071809A JP 7278456 B2 JP7278456 B2 JP 7278456B2
Authority
JP
Japan
Prior art keywords
film
opening
plasma processing
etching
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022071809A
Other languages
Japanese (ja)
Other versions
JP2022105522A (en
Inventor
雅弘 田端
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2018141742A external-priority patent/JP7066565B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2022071809A priority Critical patent/JP7278456B2/en
Publication of JP2022105522A publication Critical patent/JP2022105522A/en
Application granted granted Critical
Publication of JP7278456B2 publication Critical patent/JP7278456B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

以下の開示は、プラズマ処理装置に関する。 The following disclosure relates to plasma processing apparatus.

半導体装置の微細化が進むとともに、微細な寸法加工を可能とする技術の研究開発が進められている。その一つが極端紫外線リソグラフィ(EUVL:Extreme Ultraviolet lithography)である。 As the miniaturization of semiconductor devices progresses, research and development of techniques that enable fine dimensional processing are progressing. One of them is extreme ultraviolet lithography (EUVL).

たとえば、EUVLを用いて処理対象のエッジを滑らかにする技術が提案されている(特許文献1)。当該技術では、優先的に処理対象の凹部に堆積するパッシベーション層を形成した後、パッシベーション層が堆積しなかった凸部をエッチングにより除去する。パッシベーション層が凸部よりも凹部に優先的に堆積する理由は、凹部の比表面積が凸部よりも大きいことである。この技術はローカル・クリティカル・ディメンション・ユニフォーミティ(LCDU: Local critical dimension uniformity)の低減にも効果があるとされている。 For example, a technology has been proposed that uses EUVL to smooth edges to be processed (Patent Document 1). In this technique, after forming a passivation layer that preferentially deposits in the recesses to be processed, the protrusions on which the passivation layer has not deposited are removed by etching. The reason why the passivation layer preferentially deposits on the recesses rather than on the protrusions is that the recesses have a larger specific surface area than the protrusions. This technology is also said to be effective in reducing local critical dimension uniformity (LCDU).

米国特許出願公開第2016/0379824号明細書U.S. Patent Application Publication No. 2016/0379824

本開示は、LCDUを改善することができる技術を提供する。 This disclosure provides techniques that can improve the LCDU.

本開示の一態様によるプラズマ処理方法は、第1工程と、第2工程と、エッチング工程と、を含む。第1工程は、所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する。第2工程は、第1の膜が形成された処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、第1の膜よりもエッチングレートが低い第2の膜を形成する。エッチング工程は、第2の膜の上から、処理対象の少なくとも一部において第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。 A plasma processing method according to one aspect of the present disclosure includes a first step, a second step, and an etching step. In the first step, a first film is formed on a processing object in which a plurality of openings having a predetermined pattern are formed. The second step is to form a second film having a different film thickness on the side surface of the opening according to the size of the opening and an etching rate lower than that of the first film on the object to be processed on which the first film is formed. do. In the etching step, etching is performed under predetermined processing conditions from above the second film until a portion of the first film is removed from at least a portion of the object to be processed.

本開示によれば、LCDUを改善することができる。 According to the present disclosure, an LCDU can be improved.

図1は、第1の実施形態に係るプラズマ処理の流れの一例を示すフローチャートである。FIG. 1 is a flow chart showing an example of the flow of plasma processing according to the first embodiment. 図2Aは、第1の実施形態に係るプラズマ処理の処理対象の一例の概略断面図である。FIG. 2A is a schematic cross-sectional view of an example of an object to be processed by plasma processing according to the first embodiment. 図2Bは、図2Aに示す処理対象の概略上面図である。FIG. 2B is a schematic top view of the processing object shown in FIG. 2A. 図2Cは、図2Aに示す処理対象上に第1の膜および第2の膜が形成された状態を示す概略断面図である。FIG. 2C is a schematic cross-sectional view showing a state in which a first film and a second film are formed on the processing target shown in FIG. 2A. 図2Dは、開口部の側壁に堆積した第1の膜および第2の膜のエッチングによる除去速度について説明するための図(1)である。FIG. 2D is a diagram (1) for explaining the etching removal rate of the first film and the second film deposited on the side wall of the opening. 図2Eは、開口部の側壁に堆積した第1の膜および第2の膜のエッチングによる除去速度について説明するための図(2)である。FIG. 2E is a diagram (2) for explaining the etching removal rate of the first film and the second film deposited on the side wall of the opening. 図3は、第1の実施形態に係るプラズマ処理方法によって得られるLCDU改善効果について説明するための図である。FIG. 3 is a diagram for explaining the LCDU improvement effect obtained by the plasma processing method according to the first embodiment. 図4は、成膜条件とエッチング耐性との関係について説明するための図である。FIG. 4 is a diagram for explaining the relationship between film formation conditions and etching resistance. 図5は、第1の実施形態に係るプラズマ処理の処理シーケンスの一例を示す図である。FIG. 5 is a diagram showing an example of a processing sequence of plasma processing according to the first embodiment. 図6は、第1の実施形態に係るプラズマ処理の処理シーケンスの他の例を示す図である。FIG. 6 is a diagram showing another example of the processing sequence of plasma processing according to the first embodiment. 図7は、第1の実施形態に係るプラズマ処理の処理シーケンスのさらに他の例を示す図である。FIG. 7 is a diagram showing still another example of the processing sequence of plasma processing according to the first embodiment. 図8は、変形例1に係るプラズマ処理の流れの一例を示すフローチャートである。FIG. 8 is a flow chart showing an example of the flow of plasma processing according to Modification 1. As shown in FIG. 図9は、変形例1に係るプラズマ処理の処理シーケンスの一例を示す図である。FIG. 9 is a diagram showing an example of a processing sequence of plasma processing according to Modification 1. As shown in FIG. 図10は、変形例1に係るプラズマ処理の処理シーケンスの他の例を示す図である。FIG. 10 is a diagram showing another example of the processing sequence of plasma processing according to Modification 1. In FIG. 図11は、変形例1に係るプラズマ処理の処理シーケンスのさらに他の例を示す図である。FIG. 11 is a diagram showing still another example of the processing sequence of the plasma processing according to Modification 1. As shown in FIG. 図12は、変形例2に係るプラズマ処理の流れの一例を示すフローチャートである。FIG. 12 is a flow chart showing an example of the flow of plasma processing according to Modification 2. As shown in FIG. 図13は、変形例3に係るプラズマ処理の流れの一例を示すフローチャートである。FIG. 13 is a flowchart showing an example of the flow of plasma processing according to Modification 3. As shown in FIG. 図14は、変形例3に係るプラズマ処理の処理シーケンスの一例を示す図である。FIG. 14 is a diagram showing an example of a processing sequence of plasma processing according to Modification 3. As shown in FIG. 図15は、一実施形態に係るプラズマ処理装置の縦断面の一例を示す図である。FIG. 15 is a diagram showing an example of a longitudinal section of a plasma processing apparatus according to one embodiment.

以下に、開示する実施形態について、図面に基づいて詳細に説明する。なお、本実施形態は限定的なものではない。また、各実施形態は、処理内容を矛盾させない範囲で適宜組み合わせることが可能である。 The disclosed embodiments will be described in detail below with reference to the drawings. In addition, this embodiment is not limited. Further, each embodiment can be appropriately combined within a range that does not contradict the processing contents.

<第1の実施形態>
半導体の微細加工における寸法のばらつきは最終的な製品の性能を左右する。たとえば半導体基板にゲート電極を形成する場合を考える。まず、半導体基板上に、ゲート電極用のポリシリコン層、エッチング用のマスク層を順次形成する。マスク層にはEUVL等のリソグラフィによりゲート電極に対応するパターンが形成される。そして、マスク層を用いてポリシリコン層をエッチングしてゲート電極を形成する。このとき、マスク層のパターンの寸法にばらつきがあると、そのままゲート電極の寸法のばらつきとなる。このため、マスク層の段階でパターンの寸法の均一性を高めることが好ましい。第1の実施形態においては、処理対象上に形成されるパターンの寸法を均一化しLCDUを改善する技術を提供する。第1の実施形態に係るプラズマ処理方法は、たとえば、処理対象上に略同一寸法のパターンが複数繰り返し形成される場合に、当該パターンの寸法を均一化する。第1の実施形態に係るプラズマ処理方法はまた、半導体ウェハ等のパターンのラフネス改善にも効果を発揮する。
<First embodiment>
Dimensional variations in semiconductor microfabrication affect the final product performance. Consider, for example, the case of forming a gate electrode on a semiconductor substrate. First, a polysilicon layer for a gate electrode and a mask layer for etching are sequentially formed on a semiconductor substrate. A pattern corresponding to the gate electrode is formed on the mask layer by lithography such as EUVL. Then, using the mask layer, the polysilicon layer is etched to form a gate electrode. At this time, variations in the dimensions of the pattern of the mask layer result in variations in the dimensions of the gate electrode. Therefore, it is preferable to improve the uniformity of pattern dimensions at the stage of the mask layer. A first embodiment provides a technique for uniformizing the dimensions of a pattern formed on a processing object and improving the LCDU. In the plasma processing method according to the first embodiment, for example, when patterns with approximately the same size are repeatedly formed on a processing object, the sizes of the patterns are made uniform. The plasma processing method according to the first embodiment is also effective in improving the roughness of patterns such as semiconductor wafers.

<第1の実施形態のプラズマ処理の流れの一例>
図1は、第1の実施形態に係るプラズマ処理の流れの一例を示すフローチャートである。第1の実施形態に係るプラズマ処理はたとえば後述するプラズマ処理装置(図15参照)が実行する。
<Example of Flow of Plasma Processing According to First Embodiment>
FIG. 1 is a flow chart showing an example of the flow of plasma processing according to the first embodiment. The plasma processing according to the first embodiment is performed, for example, by a plasma processing apparatus (see FIG. 15), which will be described later.

まず、所定のパターンを有する複数の開口部が形成された処理対象(たとえばウェハ)を、プラズマ処理が実行される空間内に配置する。そして、プラズマ処理装置は、第1工程を実行する(ステップS11)。プラズマ処理装置は、第1工程において、処理対象の所定のパターン上に第1の膜を形成する。次に、プラズマ処理装置は第2工程を実行する(ステップS12)。プラズマ処理装置は、第2工程において、第2の膜を形成する。第2の膜は第1の膜を覆うように形成される。ここで、第2の膜の堆積は、処理対象上の開口部のサイズに応じて開口部の側面に堆積する第2の膜の量が異なるよう処理条件を設定して実行する。また、第2の膜の堆積は、第1の膜よりもエッチングレートが低くなるよう処理条件を設定して実行される。次に、プラズマ処理装置はエッチング工程を実行する(ステップS13)。エッチング工程において、プラズマ処理装置は、第1、第2の膜が順次形成された処理対象に対して、第2の膜の上から、処理対象の少なくとも一部において第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。そして、プラズマ処理装置は、処理対象が所定の条件を満足する状態となったか否かを判定する(ステップS14)。所定の条件を満足する状態となっていないと判定した場合(ステップS14、No)、プラズマ処理装置は、ステップS11に戻って処理を繰り返す。他方、所定の条件を満足する状態となったと判定した場合(ステップS14、Yes)、プラズマ処理装置は処理を終了する。これが第1の実施形態に係るプラズマ処理の流れの一例である。 First, a processing target (for example, a wafer) having a plurality of openings having a predetermined pattern is placed in a space where plasma processing is performed. Then, the plasma processing apparatus executes the first step (step S11). A plasma processing apparatus forms a first film on a predetermined pattern to be processed in a first step. Next, the plasma processing apparatus executes the second process (step S12). The plasma processing apparatus forms a second film in the second step. A second film is formed to cover the first film. Here, the deposition of the second film is performed by setting processing conditions so that the amount of the second film deposited on the side surface of the opening varies according to the size of the opening on the object to be processed. Also, the deposition of the second film is performed by setting the processing conditions so that the etching rate is lower than that of the first film. Next, the plasma processing apparatus performs an etching process (step S13). In the etching step, the plasma processing apparatus is configured to etch a portion of the first film from above the second film on at least a portion of the processing target on which the first and second films are sequentially formed. Etching is performed under predetermined process conditions until removed. Then, the plasma processing apparatus determines whether or not the processing target satisfies a predetermined condition (step S14). When it is determined that the predetermined condition is not satisfied (step S14, No), the plasma processing apparatus returns to step S11 and repeats the process. On the other hand, when it is determined that the predetermined condition is satisfied (step S14, Yes), the plasma processing apparatus ends the processing. This is an example of the flow of plasma processing according to the first embodiment.

<ローディング効果と選択比を利用したLCDU改善>
図2A乃至図2Eを参照して第1の実施形態に係るプラズマ処理についてさらに説明する。図2Aは、第1の実施形態に係るプラズマ処理の処理対象の一例の概略断面図である。図2Bは、図2Aに示す処理対象の概略上面図である。
<LCDU improvement using loading effect and selection ratio>
The plasma processing according to the first embodiment will be further described with reference to FIGS. 2A to 2E. FIG. 2A is a schematic cross-sectional view of an example of an object to be processed by plasma processing according to the first embodiment. FIG. 2B is a schematic top view of the processing object shown in FIG. 2A.

図2Aに示す処理対象は、基板SBと、被エッチング層ELと、マスク層MKと、を備える。被エッチング層ELおよびマスク層MKは基板SBの上に順番に形成されている。また、マスク層MKには所定のパターンが形成されている。図2Bに示すように、所定のパターンは上面視で、複数の略真円で形成され、複数の略真円は所定の間隔をおいて整列する。図2Bの線V1-V1上の3つの開口部をそれぞれO1,O2,O3で示す。また、開口部O1,O2,O3各々の線V1-V1に沿った幅をW1,W2,W3で示す。 The object to be processed shown in FIG. 2A includes a substrate SB, a layer to be etched EL, and a mask layer MK. A layer to be etched EL and a mask layer MK are sequentially formed on the substrate SB. A predetermined pattern is formed on the mask layer MK. As shown in FIG. 2B, when viewed from above, the predetermined pattern is formed of a plurality of substantially perfect circles, and the plurality of substantially perfect circles are aligned at predetermined intervals. The three openings on line V1-V1 in FIG. 2B are indicated by O1, O2 and O3, respectively. Widths of the openings O1, O2 and O3 along the line V1-V1 are denoted by W1, W2 and W3.

ここで、設計上は、開口部O1,O2,O3は同一寸法であり、幅W1,W2,W3は同一長さである。しかし、EUVL等のリソグラフィでマスク層MKに上記パターンを形成する場合、各開口部の寸法にばらつきが生じる場合がある。たとえば、W1<W2,W2>W3,W1<W3のように、各開口部の幅寸法にばらつきが生じる場合がある。 Here, in terms of design, the openings O1, O2 and O3 have the same dimensions, and the widths W1, W2 and W3 have the same length. However, when the pattern is formed on the mask layer MK by lithography such as EUVL, the dimensions of each opening may vary. For example, the width dimension of each opening may vary, such as W1<W2, W2>W3, W1<W3.

そこで、上記実施形態の第1工程を実行する(図1、ステップS11)。一例として、第1工程をローディング効果のある材料を用いた化学気相成長(CVD)で実行して第1の膜を形成する。ローディング効果とは、パターンの粗密によって成膜される膜の膜厚等が異なる現象である。たとえば、パターン自体の大きさ、たとえば開口部の開口面積によって成膜後の開口寸法が異なる。また、当該パターンの周囲にあるパターンの形状や配置によって成膜後の開口寸法が異なる。ローディング効果により、パターンの粗密に応じて膜厚が異なるため、たとえば、図2Cに示すように、幅が小さい開口部O1の側壁T1に形成される第1の膜の膜厚は薄く、幅が大きい開口部O2の側壁T2に形成される第2の膜は厚くなる(図2C参照)。図2Cは、図2Aに示す処理対象上に第1の膜および第2の膜が形成された状態を示す概略断面図である。なお、図2Cにおいては、説明のため膜厚差を実際よりも強調して表示する。 Therefore, the first step of the above embodiment is executed (FIG. 1, step S11). In one example, the first step is performed by chemical vapor deposition (CVD) using a loading effect material to form the first film. The loading effect is a phenomenon in which the thickness of a film formed differs depending on the density of the pattern. For example, the size of the pattern itself, such as the opening area of the opening, varies the size of the opening after film formation. In addition, the size of the opening after film formation differs depending on the shape and arrangement of patterns around the pattern. Due to the loading effect, the film thickness varies depending on the density of the pattern. Therefore, for example, as shown in FIG. The second film formed on the sidewall T2 of the large opening O2 is thickened (see FIG. 2C). FIG. 2C is a schematic cross-sectional view showing a state in which a first film and a second film are formed on the processing target shown in FIG. 2A. In addition, in FIG. 2C, the film thickness difference is displayed more emphasized than it actually is for the purpose of explanation.

次に、上記実施形態の第2工程を実行する(図1、ステップS12)。たとえば、第1工程と同様に、ローディング効果のある材料を用いたCVDにより第2の膜を形成する。すると、第1の膜と同様に、側壁T1において薄く側壁T2において厚い第2の膜が形成される(図2C参照)。 Next, the second step of the above embodiment is performed (FIG. 1, step S12). For example, as in the first step, the second film is formed by CVD using a material having a loading effect. Then, like the first film, a second film is formed that is thin on the side wall T1 and thick on the side wall T2 (see FIG. 2C).

次に、第1の膜、第2の膜が形成された上から処理対象にエッチングを施す(図1、ステップS13)。まず、第2の膜がエッチングにより削られて徐々に除去されていく。このとき、側壁T2上に形成されている第2の膜は側壁T1上に形成されている第2の膜よりも厚い。したがって、側壁T1上の第2の膜がエッチングにより除去されたとしても、側壁T2上には第2の膜が残存した状態となる。 Next, the object to be processed is etched from above the first film and the second film ( FIG. 1 , step S13). First, the second film is etched away and gradually removed. At this time, the second film formed on the sidewall T2 is thicker than the second film formed on the sidewall T1. Therefore, even if the second film on the sidewall T1 is removed by etching, the second film remains on the sidewall T2.

図2Dおよび図2Eは各々、開口部の側壁に堆積した第1の膜および第2の膜のエッチングによる除去速度について説明するための図(1)および(2)である。図2Dに示す処理対象の側壁T2上には膜厚Aの第1の膜と、膜厚Bの第2の膜とが堆積している。また、図2Eに示す処理対象の側壁T1上には膜厚aの第1の膜と、膜厚bの第2の膜とが堆積している。また、各膜厚の値の大小関係は、A>a,B>bである。 FIGS. 2D and 2E are diagrams (1) and (2), respectively, for explaining removal rates by etching of the first film and the second film deposited on the side wall of the opening. A first film having a film thickness A and a second film having a film thickness B are deposited on the side wall T2 to be processed shown in FIG. 2D. A first film having a thickness of a and a second film having a thickness of b are deposited on the side wall T1 to be processed shown in FIG. 2E. Moreover, the magnitude relationship of the value of each film thickness is A>a and B>b.

まず、側壁T2上の第2の膜(膜厚B)をエッチングで除去するためには12秒かかるとする。また、側壁T1上の第2の膜(膜厚b)をエッチングで除去するためには10秒かかるとする。すると、仮に、処理対象全体についてエッチングを12秒行うと、側壁T2上では、12秒間で第2の膜が除去された後、第1の膜はエッチングされずに残存する(除去された膜厚はB)。これに対して側壁T1上では、10秒間で第2の膜が除去された後、さらに第1の膜が2秒間エッチングされる。このため、側壁T1上で除去された膜厚は、第2の膜の膜厚bに、2秒間のエッチングで除去された第1の膜の膜厚αを加えたものとなる(除去された膜厚はb+α)。 First, it is assumed that it takes 12 seconds to etch away the second film (thickness B) on the sidewall T2. It is also assumed that it takes 10 seconds to etch away the second film (thickness b) on the sidewall T1. Then, if etching is performed for 12 seconds on the entire processing object, after the second film is removed on the side wall T2 in 12 seconds, the first film remains without being etched (removed film thickness is B). On the side wall T1, on the other hand, after the second film is removed for 10 seconds, the first film is etched for 2 seconds. Therefore, the film thickness removed on the sidewall T1 is the sum of the film thickness b of the second film and the film thickness α of the first film removed by etching for 2 seconds (the removed film thickness is The film thickness is b+α).

ここで、第1の膜のエッチングレートと第2の膜のエッチングレートが同程度であれば、側壁T1においてエッチングにより除去される膜厚は、側壁T2においてエッチングにより除去される膜厚と同じとなる(B=b+α)。しかし、第1の膜のエッチングレートと第2の膜のエッチングレートが異なる場合、側壁T1においてエッチングにより除去される膜厚の総量と、側壁T2においてエッチングにより除去される膜厚の総量に差が生じる(B≠b+α)。 Here, if the etching rate of the first film and the etching rate of the second film are approximately the same, the film thickness removed by etching on the sidewall T1 is the same as the film thickness removed by etching on the sidewall T2. becomes (B=b+α). However, when the etching rate of the first film and the etching rate of the second film are different, there is a difference between the total amount of film thickness removed by etching on the sidewall T1 and the total amount of film thickness removed by etching on the sidewall T2. occurs (B≠b+α).

たとえば、第1の膜のエッチングレートが第2の膜のエッチングレートより高い場合、B<b+αとなる。そして、プラズマ処理の前後での側壁T2上での膜厚の変化は、A+B-B=Aであるのに対し、側壁T1上での膜厚の変化は、a+b-(b+α)=a-αとなる。すると、開口部O2の幅W2は2Aだけ減少するのに対し、開口部O1の幅W1は2a-2αだけ減少することになる。つまり、幅の広い開口部O2側において幅の狭い開口部O1側よりも開口寸法を減少させることができる。この効果は、αの値が大きくなるようにエッチングレートを設定することでさらに増大させることができる。かかる現象を利用して処理対象のLCDUを改善することができる。 For example, when the etching rate of the first film is higher than the etching rate of the second film, B<b+α. The change in film thickness on the side wall T2 before and after plasma processing is A+BB=A, while the change in film thickness on the side wall T1 is a+b-(b+α)=a-α becomes. Then, the width W2 of the opening O2 is reduced by 2A, while the width W1 of the opening O1 is reduced by 2a-2α. That is, it is possible to reduce the opening dimension on the side of the wide opening O2 as compared to the side of the narrow opening O1. This effect can be further increased by setting the etching rate such that the value of α is increased. This phenomenon can be used to improve the LCDU to be processed.

図3は、第1の実施形態に係るプラズマ処理方法によって得られるLCDU改善効果について説明するための図である。図3の縦軸は開口部の開口寸法を示し、横軸は処理時間を示す。また、実線は開口部O1の側壁T1間の開口寸法の変化を示し、点線は開口部O2の側壁T2間の開口寸法の変化を示す(図2C参照)。 FIG. 3 is a diagram for explaining the LCDU improvement effect obtained by the plasma processing method according to the first embodiment. The vertical axis in FIG. 3 indicates the opening size of the opening, and the horizontal axis indicates the processing time. A solid line indicates a change in opening size between the side walls T1 of the opening O1, and a dotted line indicates a change in opening size between the side walls T2 of the opening O2 (see FIG. 2C).

まず、開口部O1においては、時点t0において第1工程が開始すると、側壁T1上に第1の膜が堆積し始める。第1工程の間、開口寸法は徐々に減少し、第1工程が終了する時点t1で処理前のWA1からWA2まで減少する。次に、時点t1において第2工程が開始すると、開口部O1の側壁T1上に第2の膜が堆積し始める。第2工程の間、開口寸法は徐々に減少し、第2工程が終了する時点t2で開口寸法はさらにWA3まで減少する。 First, in opening O1, when the first step starts at time t0, a first film starts to deposit on sidewall T1. During the first step, the aperture size gradually decreases, and at time t1 when the first step ends, it decreases from WA1 before treatment to WA2. Next, when the second step starts at time t1, the second film starts to deposit on the side wall T1 of the opening O1. During the second step, the aperture size is gradually reduced, and at time t2 when the second step ends, the aperture size is further reduced to WA3.

他方、開口部O2においては、時点t0において第1工程が開始すると側壁T2上に第1の膜が堆積し始める。第1工程の間、開口寸法は徐々に減少し第1工程が終了する時点t1で処理前のWB1からWB2まで減少する。次に、時点t1において第2工程が開始すると、開口部O2の側壁T2に第2の膜が堆積し始める。第2工程の間、開口寸法は徐々に減少し、第2工程が終了する時点t2で開口寸法はさらにWB3まで減少する。 On the other hand, in opening O2, when the first step starts at time t0, deposition of the first film on side wall T2 begins. During the first step, the aperture size gradually decreases from WB1 before treatment to WB2 at time t1 when the first step ends. Next, when the second step starts at time t1, the second film begins to deposit on the sidewall T2 of the opening O2. During the second step, the aperture size is gradually reduced, and at time t2 when the second step ends, the aperture size is further reduced to WB3.

次に、時点t2においてエッチング工程が開始すると、開口部O1においては第2の膜が徐々に削られて開口寸法が大きくなる。時点t3において、開口部O1の側壁T1に堆積した第2の膜はエッチングによりすべて除去され第1の膜が露出する。第1の膜は第2の膜よりもエッチングレートが高いため、時点t3以降、開口寸法が大きくなる速度すなわちエッチングによる膜の除去速度が増加する。エッチング処理が終了する時点t5における開口部O1の開口寸法はWA4となる。 Next, when the etching process starts at time t2, the second film is gradually removed at the opening O1, and the opening dimension is increased. At time t3, the second film deposited on sidewall T1 of opening O1 is completely removed by etching to expose the first film. Since the first film has a higher etching rate than the second film, after time t3, the speed at which the opening dimension increases, that is, the removal speed of the film by etching increases. The opening dimension of the opening O1 at time t5 when the etching process ends is WA4.

他方、開口部O2においては、時点t2においてエッチング工程が開始すると、開口部O1と同様に第2の膜が徐々に削られて開口寸法が大きくなる。しかし、開口部O2は処理開始時点t0における開口寸法WB1が開口部O1の開口寸法WA1より大きいため、ローディング効果により堆積している第1、第2の膜の膜厚が開口部O1よりも厚い。したがって、開口部O2において第2の膜がすべて除去されるのは時点t3よりも後の時点t4となる。時点t4以降、開口部O2においても第1の膜のエッチングが開始する。エッチング処理が終了する時点t5における開口部O2の開口寸法はWB4となる。 On the other hand, in the opening O2, when the etching process is started at the time t2, the second film is gradually removed similarly to the opening O1, and the opening size is increased. However, since the opening dimension WB1 of the opening O2 at the processing start time t0 is larger than the opening dimension WA1 of the opening O1, the first and second films deposited by the loading effect are thicker than the opening O1. . Therefore, the second film is completely removed from the opening O2 at time t4 after time t3. After time t4, etching of the first film also starts at the opening O2. The opening dimension of the opening O2 at time t5 when the etching process ends is WB4.

図3から分かるように、処理開始時点t0における開口部O1と開口部O2との寸法差(WB1-WA1)と比べて、処理終了時点t5における開口部O1と開口部O2との寸法差(WB4-WA4)は減少している。特に、開口部O1において第2の膜の除去(時点t3)後にエッチングレートが増加することにより、寸法差が速やかに減少している。このことから、第1の膜と第2の膜のエッチング選択比を大きくすることで、開口部の寸法差を迅速に解消することができる。 As can be seen from FIG. 3, the dimensional difference (WB4 -WA4) is decreasing. In particular, the dimensional difference is quickly reduced in the opening O1 by increasing the etching rate after the removal of the second film (time t3). Therefore, by increasing the etching selectivity between the first film and the second film, the dimensional difference of the opening can be quickly eliminated.

<ローディング効果とLCDU改善効果との関係>
次に、ローディング効果とLCDU改善効果との関係について説明する。たとえば図2Cに示したように、処理対象上に開口部O1と、開口部O1よりも開口寸法が大きい開口部O2と、が形成されているとする。そして、第1工程において堆積された第1の膜の膜厚と第2工程において堆積された第2の膜の膜厚が、開口部O1においてはa、bであり開口部O2においてはA、Bであるとする。また、第1の膜と第2の膜とのエッチング選択比(第1の膜のエッチングレートと第2の膜のエッチングレートとの比、すなわち、第1のエッチングレート/第2の膜のエッチングレート)はSであるとする。
<Relationship between loading effect and LCDU improvement effect>
Next, the relationship between the loading effect and the LCDU improvement effect will be described. For example, as shown in FIG. 2C, it is assumed that an opening O1 and an opening O2 having an opening dimension larger than that of the opening O1 are formed on the object to be processed. The film thickness of the first film deposited in the first step and the film thickness of the second film deposited in the second step are a and b in the opening O1, and A and B in the opening O2. B. Also, the etching selectivity between the first film and the second film (ratio between the etching rate of the first film and the etching rate of the second film, that is, the etching rate of the first film/the etching rate of the second film) rate) is S.

このとき、開口部O1において第2の膜がすべて除去されたとき、開口部O2において残存している第2の膜の膜厚は(B-b)である。そして、開口部O2に残存している第2の膜がすべて除去された時点で、開口部O1に残存している第1の膜の膜厚は、(a-(S×(B-b)))である。とすると、開口部O2の開口寸法と開口部O1の開口寸法との差は、ちょうど(A-(a-(S×(B-b)))だけ減少したことになる(=LCDU改善量)。ここで、A-a=X,B-b=Yを上の式に代入すると、LCDU改善量を次の式(1)で表わすことができる。
(X+(S×Y))・・・式(1)
式(1)から、XおよびYの値が大きいほど、LCDU改善量が大きくなると言える。すなわち、第1の膜、第2の膜のいずれについてもローディング効果(X,Y)が大きいほどLCDU改善量が大きくなる。すなわち、開口部O1,O2において形成される第1の膜、第2の膜各々の膜厚差(X,Y)が大きいほど、LCDU改善量が大きくなる。また、第1の膜、第2の膜のいずれかについてローディング効果(X,Y)があれば、LCDUの改善が見込まれる。また、第2の膜についてローディング効果(Y)があり、かつ、第1の膜と第2の膜とのエッチング選択比(S)が大きい場合に大きな改善効果が見込まれる。
At this time, when the second film is completely removed in the opening O1, the film thickness of the second film remaining in the opening O2 is (Bb). Then, when the second film remaining in the opening O2 is completely removed, the film thickness of the first film remaining in the opening O1 is (a−(S×(B−b) )). Then, the difference between the opening size of the opening O2 and the opening size of the opening O1 is reduced by just (A−(a−(S×(B−b))) (= LCDU improvement amount). Here, substituting Aa=X and Bb=Y into the above equation, the LCDU improvement amount can be expressed by the following equation (1).
(X+(S×Y)) Expression (1)
From equation (1), it can be said that the larger the values of X and Y, the larger the LCDU improvement. That is, for both the first film and the second film, the larger the loading effect (X, Y), the larger the LCDU improvement amount. That is, the larger the film thickness difference (X, Y) between the first film and the second film formed in the openings O1 and O2, the larger the LCDU improvement amount. Also, if there is a loading effect (X, Y) for either the first film or the second film, an improvement in LCDU is expected. Further, when the second film has a loading effect (Y) and the etching selectivity (S) between the first film and the second film is large, a large improvement effect is expected.

<ローディング効果を利用しない例>
図3の例では、ローディング効果を利用することで、開口部O1と開口部O2において形成される第1の膜および第2の膜の双方の膜厚が異なるように制御した。これに限らず、たとえば、第1の膜はローディング効果を利用しない手法で形成し、第2の膜のみローディング効果を利用して形成するものとしてもよい。たとえば、第1の膜は原子層堆積(ALD:Atomic Layer Deposition)を用いて形成してもよい。
<Example without loading effect>
In the example of FIG. 3, by using the loading effect, the film thicknesses of both the first film and the second film formed in the openings O1 and O2 are controlled to be different. Alternatively, for example, the first film may be formed by a method that does not use the loading effect, and only the second film may be formed using the loading effect. For example, the first film may be formed using atomic layer deposition (ALD).

開口部O1と開口部O2において形成される第2の膜の膜厚に差があれば、第1の膜のエッチングが開始する時点にずれが生じるため、最終的に開口部O1と開口部O2においてエッチングされる膜の膜厚に差を出すことができる。このため、第1の膜はローディング効果を利用せずに形成しても本実施形態の効果を享受することができる。 If there is a difference in the film thickness of the second film formed at the opening O1 and the opening O2, the timing at which the etching of the first film starts will be different. It is possible to make a difference in the film thickness of the film etched in . Therefore, even if the first film is formed without using the loading effect, the effect of this embodiment can be enjoyed.

<エッチングレートと処理条件>
図4は、成膜条件とエッチング耐性との関係について説明するための図である。図4に示す例は、同一材料で第1の膜および第2の膜を形成しても選択比を出すことができることを示している。図4の縦軸はエッチングレート(nm/min)を、横軸は成膜時のO2添加流量(sccm)を示す。
<Etching rate and processing conditions>
FIG. 4 is a diagram for explaining the relationship between film formation conditions and etching resistance. The example shown in FIG. 4 shows that even if the first film and the second film are made of the same material, the selectivity can be obtained. The vertical axis in FIG. 4 indicates the etching rate (nm/min), and the horizontal axis indicates the O2 addition flow rate (sccm) during film formation.

図4の例で用いた成膜条件は以下のとおりである。なお、以下の条件中、印加電力は、プラズマ生起用の印加電力とバイアス電圧発生用の印加電力とをこの順に併記して示している。
・チャンバ内の圧力 10mT
・印加電力 1000W+0W
・ガス種および流量 SiCl4/He/O2=25/100/@@sccm
・処理時間 60秒
The film forming conditions used in the example of FIG. 4 are as follows. In the following conditions, the applied power indicates the applied power for generating the plasma and the applied power for generating the bias voltage in this order.
・Pressure in chamber 10mT
・Applied power 1000W+0W
・Gas type and flow rate SiCl4/He/O2=25/100/@@sccm
・Processing time 60 seconds

また、図4の例で用いたエッチング条件は以下のとおりである。
例1
・チャンバ内の圧力 20mT
・印加電力 500W+100W
・ガス種および流量 C4F8/Ar=40/200sccm
例2
・チャンバ内の圧力 20mT
・印加電力 500W+50W
・ガス種および流量 Cl2=200sccm
The etching conditions used in the example of FIG. 4 are as follows.
Example 1
・Pressure in chamber 20mT
・Applied power 500W+100W
・Gas type and flow rate C4F8/Ar=40/200sccm
Example 2
・Pressure in chamber 20mT
・Applied power 500W+50W
・Gas type and flow rate Cl2 = 200sccm

図4の例から分かるように、同じSiO2膜を形成する場合であっても、O2の添加流量を変えることによってエッチングレートを変化させることができる。図4の例では、O2添加流量が少ないほどエッチングレートが高く、O2添加流量が多いほどエッチングレートが低くなっている。したがって、O2添加流量を少なく設定してSiO2膜を第1の膜として形成した後、O2添加流量を多く設定してSiO2膜を第2の膜として形成することが可能である。エッチングガスの種類によっても異なるが、図4の例では同じSiO2膜についてエッチング選択比を約1~17程度の範囲内で制御することができる。 As can be seen from the example of FIG. 4, even when the same SiO2 film is formed, the etching rate can be changed by changing the addition flow rate of O2. In the example of FIG. 4, the smaller the O2 addition flow rate, the higher the etching rate, and the higher the O2 addition flow rate, the lower the etching rate. Therefore, it is possible to form the SiO2 film as the first film by setting the O2 addition flow rate to be small, and then form the SiO2 film as the second film by setting the O2 addition flow rate to be large. The etching selectivity for the same SiO2 film can be controlled within a range of about 1 to 17 in the example of FIG. 4, although it varies depending on the type of etching gas.

<処理シーケンス例1>
図5は、第1の実施形態に係るプラズマ処理の処理シーケンスの一例を示す図である。第1工程では、SiCl4とO2を処理ガスとしてCVDによりSiO2膜を第1の膜として堆積する。第2工程も、SiCl4とO2を処理ガスとしてCVDによりSiO2膜を第2の膜として堆積する。ただし、第2工程では、第1工程と比較してO2の流量を増加させることで、第1の膜のエッチングレートが第2の膜のエッチングレートよりも高くなるよう調整している。エッチング工程は、NF3を用いて実行する。このように、第1の実施形態に係るプラズマ処理方法では、第1工程および第2工程において、処理条件を変えることによって同種の膜を第1の膜、第2の膜として形成することができる。
<Processing sequence example 1>
FIG. 5 is a diagram showing an example of a processing sequence of plasma processing according to the first embodiment. In the first step, a SiO2 film is deposited as a first film by CVD using SiCl4 and O2 as process gases. In the second step as well, a SiO2 film is deposited as a second film by CVD using SiCl4 and O2 as process gases. However, in the second step, the etching rate of the first film is adjusted to be higher than the etching rate of the second film by increasing the flow rate of O2 compared to the first step. The etching process is performed using NF3. Thus, in the plasma processing method according to the first embodiment, the same kind of films can be formed as the first film and the second film by changing the processing conditions in the first step and the second step. .

<処理シーケンス例2>
図6は、第1の実施形態に係るプラズマ処理の処理シーケンスの他の例を示す図である。第1工程では、第1の種類のカーボン含有ガスを処理ガスとして用いてCVDにより第1のカーボン膜を第1の膜として堆積する。第1の種類のカーボン含有ガスはたとえば、CF系のガスである。第1の種類のカーボン含有ガスはたとえば、C4F8、C4F6等である。また、第1の種類のカーボン含有ガスはたとえば、CHF系のガスである。第1の種類のカーボン含有ガスはたとえば、CH2F2、CH3F等である。第2工程では、第2の種類のカーボン含有ガスを処理ガスとして用いてCVDにより第2のカーボン膜を第2の膜として堆積する。第2の種類のカーボン含有ガスはたとえば、CH系たとえばCH4等のガスである。エッチング工程はO2を用いて実行する。Ar等の希ガスを第1工程、第2工程、エッチング工程において用いてよい。
<Processing sequence example 2>
FIG. 6 is a diagram showing another example of the processing sequence of plasma processing according to the first embodiment. In a first step, a first carbon film is deposited as a first film by CVD using a first type of carbon-containing gas as a process gas. The first type of carbon-containing gas is, for example, a CF-based gas. A first type of carbon-containing gas is, for example, C4F8, C4F6, and the like. Also, the first type of carbon-containing gas is, for example, a CHF-based gas. A first type of carbon-containing gas is, for example, CH2F2, CH3F, and the like. In a second step, a second carbon film is deposited as a second film by CVD using a second type of carbon-containing gas as a process gas. The second type of carbon-containing gas is, for example, a CH-based gas such as CH4. The etching process is performed using O2. A noble gas such as Ar may be used in the first step, the second step and the etching step.

<処理シーケンス例3>
図7は、第1の実施形態に係るプラズマ処理の処理シーケンスのさらに他の例を示す図である。第1工程では、カーボン含有ガスを処理ガスとして用いてCVDによりカーボン膜を第1の膜として堆積する。たとえば、CF系、CH系、CHF系等のガスを処理ガスとして使用できる。第2工程では、SiCl4とO2を処理ガスとして用いてCVDによりSiO2膜を第2の膜として堆積する。エッチング工程は、NF3を用いて実行する。
<Processing Sequence Example 3>
FIG. 7 is a diagram showing still another example of the processing sequence of plasma processing according to the first embodiment. In the first step, a carbon film is deposited as a first film by CVD using a carbon-containing gas as a process gas. For example, a CF-based, CH-based, CHF-based gas, or the like can be used as the processing gas. In the second step, a SiO2 film is deposited as a second film by CVD using SiCl4 and O2 as process gases. The etching process is performed using NF3.

上記のとおり、第1の実施形態に係るプラズマ処理方法は、多様なガス種を組み合わせて実行することができる。また、第1の膜と第2の膜の膜種を同一にしてもよい。 As described above, the plasma processing method according to the first embodiment can be performed by combining various gas species. Also, the film type of the first film and the second film may be the same.

<サイクル数>
第1の実施形態に係るプラズマ処理方法においては、第1工程、第2工程およびエッチング工程を1サイクルとして、所定の条件が満足されるまで、複数のサイクルを実行する。所定の条件とはたとえば、処理対象上に形成された複数の開口部の寸法差が所定値以下となったこと、所定数のサイクルを実行したこと、等である。
<Number of cycles>
In the plasma processing method according to the first embodiment, the first step, the second step and the etching step are regarded as one cycle, and a plurality of cycles are performed until predetermined conditions are satisfied. The predetermined condition is, for example, that the dimensional difference between the plurality of openings formed on the processing target is equal to or less than a predetermined value, or that a predetermined number of cycles have been performed.

<膜種、ガス種等>
なお、上記第1の実施形態においては第1の膜および第2の膜の膜種は、SiO2、カーボン含有膜(たとえば、CF系、CH系、CHF系)等と説明した。ただしこれに限らず、第1の膜および第2の膜はたとえば、酸化珪素(SiOx)、窒化珪素(SiN)、シリコンカーバイド(SiC)、珪素(Si)等のシリコン含有膜であってよい。また、第1の膜および第2の膜はたとえば、チタン(Ti)含有膜、タングステン(W)含有膜であってよい。また、第1の膜および第2の膜はたとえばボロン含有膜であってよい。
<Membrane type, gas type, etc.>
In the first embodiment, the film types of the first film and the second film are SiO2, carbon-containing films (for example, CF-based, CH-based, CHF-based), and the like. However, the first film and the second film are not limited to this, and may be silicon-containing films such as silicon oxide (SiOx), silicon nitride (SiN), silicon carbide (SiC), and silicon (Si). Also, the first film and the second film may be, for example, a titanium (Ti)-containing film or a tungsten (W)-containing film. Also, the first film and the second film may be, for example, boron-containing films.

また、エッチング工程において使用するガス種は、エッチング対象の膜が珪素や金属を含有する場合は、ハロゲン含有ガスが適している。また、エッチング対象の膜がカーボン含有膜である場合は、エッチングガスとして酸素含有ガスを使用することができる。 As for the type of gas used in the etching process, a halogen-containing gas is suitable when the film to be etched contains silicon or metal. Moreover, when the film to be etched is a carbon-containing film, an oxygen-containing gas can be used as the etching gas.

<エッチングの手法>
また、エッチング工程において側壁をエッチングするためには、等方性および異方性エッチング、プラズマエッチング、原子層エッチング(ALE: Atomic Layer Etching)等を用いることができる。また、エッチング工程においては、第2の膜が除去されて第1の膜が少なくとも一部露出した時点で、エッチングの処理条件を変更してもよい。たとえば、第2の膜のエッチングに適した第1の処理条件から第1の膜のエッチングに適した第2の処理条件にエッチングの処理条件を変更することで、第1の膜のエッチングによる除去速度をさらに早めることができる。たとえば、第1の膜が少なくとも一部露出した時点で、エッチングガス種を変更して第1の膜のエッチング速度が早まるようにしてもよい。
<Etching method>
Also, isotropic and anisotropic etching, plasma etching, atomic layer etching (ALE), etc., can be used to etch the sidewalls in the etching process. In the etching step, the etching conditions may be changed when the second film is removed and at least a portion of the first film is exposed. For example, by changing the etching process conditions from the first process conditions suitable for etching the second film to the second process conditions suitable for etching the first film, the first film is removed by etching. You can speed it up even more. For example, when the first film is at least partially exposed, the etching gas species may be changed to increase the etching rate of the first film.

なお、上記第1の実施形態を変形して、マスク層MK(図2A参照)自体を第1の膜として利用して、マスク層よりもエッチングレートが低い膜をマスク層MK上に堆積した後、エッチングを行うようにしてもよい。そして、マスク層MKのエッチング量を位置によって異ならせることにより、LCDUを改善するようにしてもよい。また、第1の膜および第2の膜の2層ではなく、2層以上の膜を形成するようにしてもよい。また、その場合には、各膜の間にエッチングレートの差を設けてもよい。この場合も、外側の膜ほどエッチングレートが低くなるようにエッチングレートを設定する。 By modifying the first embodiment, the mask layer MK (see FIG. 2A) itself is used as the first film, and after depositing a film having a lower etching rate than the mask layer on the mask layer MK, , etching may be performed. The LCDU may be improved by varying the etching amount of the mask layer MK depending on the position. Also, instead of the two layers of the first film and the second film, two or more layers of films may be formed. Also, in that case, a difference in etching rate may be provided between the films. Also in this case, the etching rate is set so that the outer film has a lower etching rate.

なお、上記第1の実施形態においては、図2Aおよび図2Bに示す複数の真円が整列するパターンを例として説明した。ただし、本実施形態は図2Aおよび図2Bに示す形状のパターンに限定されず、楕円形状のパターンのLCDUやライン形状のばらつきを改善するために適用することができる。たとえば、本実施形態は、LER(Line Edge Roughness)やLWR(Line Width Roughness)の改善のために適用することができる。 In the above-described first embodiment, the pattern in which a plurality of perfect circles are aligned as shown in FIGS. 2A and 2B has been described as an example. However, the present embodiment is not limited to patterns having the shapes shown in FIGS. 2A and 2B, and can be applied to improve variations in LCDU and line shapes of elliptical patterns. For example, the present embodiment can be applied to improve LER (Line Edge Roughness) and LWR (Line Width Roughness).

<第1の実施形態の効果>
上記第1の実施形態に係るプラズマ処理方法は、第1工程と、第2工程と、エッチング工程と、を含む。第1工程においては、プラズマ処理装置は、所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する。第2工程においては、プラズマ処理装置は、第1の膜が形成された処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、第1の膜よりもエッチングレートが低い第2の膜を形成する。エッチング工程においては、プラズマ処理装置は、第2の膜の上から、処理対象の少なくとも一部において前記第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。このため、第1の実施形態に係るプラズマ処理方法によれば、ローディング効果と第1の膜および第2の膜のエッチングレートの差を利用して、LCDUを改善することができる。第1の実施形態に係るプラズマ処理方法は、たとえば、極端紫外線リソグラフィ(EUVL:Extreme Ultraviolet lithography)を用いて製造されたパターンのLCDU改善に適用することができる。
<Effects of the First Embodiment>
The plasma processing method according to the first embodiment includes a first step, a second step, and an etching step. In the first step, the plasma processing apparatus forms a first film on a processing target having a plurality of openings having a predetermined pattern. In the second step, the plasma processing apparatus is configured such that the film thickness on the side surface of the opening differs depending on the size of the opening, and the etching rate is lower than that of the first film. A second membrane is formed. In the etching step, the plasma processing apparatus performs etching under predetermined processing conditions from above the second film until a portion of the first film is removed from at least a portion of the object to be processed. Therefore, according to the plasma processing method according to the first embodiment, the LCDU can be improved by utilizing the loading effect and the difference in etching rate between the first film and the second film. The plasma processing method according to the first embodiment can be applied, for example, to LCDU improvement of patterns manufactured using extreme ultraviolet lithography (EUVL).

また、第1の実施形態に係るプラズマ処理方法において、プラズマ処理装置は、エッチング工程において、処理対象の少なくとも一部において第1の膜が露出した時点で、所定の処理条件を第1の処理条件から第2の処理条件に変更する。たとえば、プラズマ処理装置は、第2の膜のエッチングに適した第1の処理条件から第1の膜のエッチングに適した第2の処理条件に変更することで、第1の膜のエッチングによる除去速度をさらに早めることができる。このため、プラズマ処理装置は、LCDUの改善効果をさらに向上させることができる。 Further, in the plasma processing method according to the first embodiment, the plasma processing apparatus changes the predetermined processing conditions to the first processing conditions when the first film is exposed on at least part of the processing object in the etching process. to the second processing condition. For example, the plasma processing apparatus changes the first processing conditions suitable for etching the second film to the second processing conditions suitable for etching the first film, thereby removing the first film by etching. You can speed it up even more. Therefore, the plasma processing apparatus can further improve the LCDU improvement effect.

また、第1の実施形態に係るプラズマ処理方法において、プラズマ処理装置は、第1工程、第2工程およびエッチング工程を、所定条件が満足されたと判定されるまで繰り返し実行する。このため、プラズマ処理装置は、所望のLCDUが達成されるまで処理を実行することができる。 Moreover, in the plasma processing method according to the first embodiment, the plasma processing apparatus repeatedly executes the first process, the second process, and the etching process until it is determined that a predetermined condition is satisfied. Therefore, the plasma processing apparatus can perform processing until a desired LCDU is achieved.

<変形例1-傾斜組成膜の形成>
上記第1の実施形態では、第1の膜、第2の膜をそれぞれ形成した後、エッチングを行うことによりLCDUを改善するものとした。変形例1では、堆積する膜は1層としつつ成膜条件を変化させることにより、第1の実施形態における第1の膜および第2の膜の2つの膜を形成するのと同等の効果を得る。
<Modification 1—Formation of Gradient Composition Film>
In the first embodiment, the LCDU is improved by etching after forming the first film and the second film. In Modification 1, by changing the deposition conditions while depositing only one layer, an effect equivalent to that of forming two films, the first film and the second film, in the first embodiment can be obtained. obtain.

図8は、変形例1に係るプラズマ処理の流れの一例を示すフローチャートである。変形例1に係るプラズマ処理はたとえば後述するプラズマ処理装置(図15参照)が実行する。 FIG. 8 is a flow chart showing an example of the flow of plasma processing according to Modification 1. As shown in FIG. The plasma processing according to Modification 1 is performed, for example, by a plasma processing apparatus (see FIG. 15), which will be described later.

まず、第1の実施形態に係るプラズマ処理(図1参照)と同様、所定のパターンを有する複数の開口部が形成された処理対象(たとえばウェハ)を、プラズマ処理が実行される空間内に配置する。プラズマ処理装置は、堆積工程を実行する(ステップS81)。プラズマ処理装置は、堆積工程において、処理対象から遠ざかるにつれて堆積する膜のエッチングレートが徐々に低くなる処理条件下で、パターン上に膜を堆積する。なお、堆積工程において堆積する膜はローディング効果により、開口部の寸法に応じて膜厚が異なる膜とする。次に、プラズマ処理装置はエッチング工程を実行する(ステップS82)。そして、プラズマ処理装置は、処理対象が所定の条件を満足する状態となったか否かを判定する(ステップS83)。所定の条件を満足する状態となっていないと判定した場合(ステップS83、No)、プラズマ処理装置は、ステップS81に戻って処理を繰り返す。他方、所定の条件を満足する状態となったと判定した場合(ステップS81、Yes)、プラズマ処理装置は処理を終了する。これが変形例1に係るプラズマ処理の流れの一例である。 First, as in the plasma processing according to the first embodiment (see FIG. 1), a processing target (for example, a wafer) having a plurality of openings having a predetermined pattern is placed in a space where plasma processing is performed. do. The plasma processing apparatus performs a deposition process (step S81). In the deposition process, the plasma processing apparatus deposits a film on a pattern under processing conditions in which the etching rate of the deposited film gradually decreases as the distance from the object to be processed increases. It is to be noted that the film deposited in the deposition process has a different film thickness depending on the dimension of the opening due to the loading effect. Next, the plasma processing apparatus performs an etching process (step S82). Then, the plasma processing apparatus determines whether or not the processing target satisfies a predetermined condition (step S83). When it is determined that the predetermined condition is not satisfied (step S83, No), the plasma processing apparatus returns to step S81 and repeats the process. On the other hand, when it is determined that the predetermined condition is satisfied (step S81, Yes), the plasma processing apparatus ends the processing. This is an example of the plasma processing flow according to Modification 1. FIG.

<処理シーケンス例1>
図9は、変形例1に係るプラズマ処理の処理シーケンスの一例を示す図である。図9の例では、図5の例と同様にSiO2膜を堆積する。まず、堆積工程において、たとえばSiCl4とO2を処理ガスとしてCVDによりSiO2膜を堆積する。堆積工程の間、O2の流量を徐々に増加させる。このため、図9のシーケンスにおいては、処理対象上に形成されるSiO2膜のエッチングレートが徐々に低くなる(図4参照)。堆積工程の間、SiCl4の流量は一定である。堆積工程の後、エッチング工程を、NF3ガスからプラズマを生成して実行する。このように、変形例1に係るプラズマ処理方法では、堆積工程の間に処理条件を変化させることによって、一つの膜のエッチングレートを徐々に変えることができる。たとえば、当該プラズマ処理方法では、膜の成分となる複数のガスの比率を徐々に変化させることで連続的にエッチングレートを変化させて膜を堆積することができる。また、当該プラズマ処理方法では、所定のガスの流量を増加させることによって、一つの膜のエッチングレートを徐々に変えることができる。
<Processing sequence example 1>
FIG. 9 is a diagram showing an example of a processing sequence of plasma processing according to Modification 1. As shown in FIG. In the example of FIG. 9, a SiO2 film is deposited in the same manner as in the example of FIG. First, in the deposition step, a SiO2 film is deposited by CVD using, for example, SiCl4 and O2 as processing gases. The O2 flow rate is gradually increased during the deposition process. Therefore, in the sequence of FIG. 9, the etching rate of the SiO2 film formed on the processing target gradually decreases (see FIG. 4). The flow rate of SiCl4 is constant during the deposition process. After the deposition step, an etching step is performed by generating a plasma from NF3 gas. Thus, in the plasma processing method according to Modification 1, the etching rate of one film can be gradually changed by changing the processing conditions during the deposition process. For example, in the plasma processing method, a film can be deposited while the etching rate is continuously changed by gradually changing the ratio of a plurality of gases that are components of the film. Moreover, in the plasma processing method, the etching rate of one film can be gradually changed by increasing the flow rate of a predetermined gas.

<処理シーケンス例2>
図10は、変形例1に係るプラズマ処理の処理シーケンスの他の例を示す図である。図10の例では、図6の例と同様に2種類のカーボン含有ガスを用いて膜を堆積する。しかし、図6の例とは異なり、図10の例では、堆積工程の間、第1のカーボン含有ガスの流量を徐々に減少させると同時に、第2のカーボン含有ガスの流量を徐々に増加させる。このため、堆積される膜は、処理開始時は第1のカーボン含有ガスの性質が強く、徐々に第2のカーボン含有ガスの性質が強い膜になる。たとえば、図6のように、第1のカーボン膜のエッチングレートが第2のカーボン膜のエッチングレートよりも高い場合、図10の処理により、徐々に下層から上層にむけてエッチングレートが低くなるカーボン膜を堆積することができる。なお、第1のカーボン含有ガスはたとえば、CF系のガス(C4F8、C4F6等)、CHF系のガス(CH2F2、CH3F等)である。また、第2のカーボン含有ガスはたとえば、CH系のガス(CH4等)である。
<Processing sequence example 2>
FIG. 10 is a diagram showing another example of the processing sequence of plasma processing according to Modification 1. In FIG. In the example of FIG. 10, the film is deposited using two types of carbon-containing gases as in the example of FIG. However, unlike the example of FIG. 6, in the example of FIG. 10, the flow rate of the first carbon-containing gas is gradually decreased while the flow rate of the second carbon-containing gas is gradually increased during the deposition process. . Therefore, the deposited film has a strong property of the first carbon-containing gas at the start of the process, and gradually becomes a film having a strong property of the second carbon-containing gas. For example, as shown in FIG. 6, when the etching rate of the first carbon film is higher than the etching rate of the second carbon film, the process of FIG. A film can be deposited. The first carbon-containing gas is, for example, a CF-based gas (C4F8, C4F6, etc.) or a CHF-based gas (CH2F2, CH3F, etc.). Also, the second carbon-containing gas is, for example, a CH-based gas (CH4, etc.).

<処理シーケンス例3>
図11は、変形例1に係るプラズマ処理の処理シーケンスのさらに他の例を示す図である。図11の例では、図7の例と同様の処理ガスを用いて膜を堆積する。しかし、図7の例とは異なり、図11の例では、堆積工程の間、カーボン含有ガスの流量を徐々に減少させると同時に、SiCl4とO2の流量を徐々に増加させる。このため、堆積される膜は、処理開始時はカーボン膜であり、徐々にSiO2膜へと組成が変化していく。このため、図11の処理により、徐々に下層から上層にむけてエッチングレートが低くなる膜を堆積することができる。
<Processing Sequence Example 3>
FIG. 11 is a diagram showing still another example of the processing sequence of the plasma processing according to Modification 1. As shown in FIG. In the example of FIG. 11, a film is deposited using the same process gas as in the example of FIG. However, unlike the example of FIG. 7, in the example of FIG. 11, the flow rate of the carbon-containing gas is gradually decreased while the flow rates of SiCl4 and O2 are gradually increased during the deposition process. Therefore, the deposited film is a carbon film at the start of the process, and gradually changes in composition to an SiO2 film. Therefore, by the process of FIG. 11, a film whose etching rate gradually decreases from the lower layer to the upper layer can be deposited.

なお、変形例1の各シーケンスも第1の実施形態と同様、所望のLCDUが達成されるまで任意のサイクル数繰り返し実行することができる。 As in the first embodiment, each sequence of Modification 1 can be repeated for an arbitrary number of cycles until the desired LCDU is achieved.

<変形例1の効果>
上記変形例1に係るプラズマ処理方法は、堆積工程とエッチング工程とを含む。プラズマ処理装置は、堆積工程において、所定のパターンを有する複数の開口部が形成された処理対象に、当該処理対象から遠ざかるにつれてエッチングレートが低くなり、かつ、開口部のサイズに応じて開口部の側面への堆積量が異なる処理条件で膜を堆積する。プラズマ処理装置は、エッチング工程において、膜が堆積された処理対象のエッチングを実行する。このため、変形例1に係るプラズマ処理方法によれば、1つの膜を処理条件を変えて堆積することによりエッチングレートの高低差をつけることができる。このため、変形例1に係るプラズマ処理方法によれば、少ない工程数でLCDUを改善することができる。
<Effect of Modification 1>
The plasma processing method according to Modification 1 includes a deposition process and an etching process. In the deposition process, the plasma processing apparatus is arranged such that the etching rate of a processing object having a plurality of openings having a predetermined pattern decreases as the distance from the processing object increases, and the number of openings increases according to the size of the openings. Films are deposited under processing conditions that result in different amounts of deposition on the sides. A plasma processing apparatus performs etching of a processing target on which a film is deposited in an etching process. Therefore, according to the plasma processing method according to Modification 1, it is possible to create a difference in etching rate by depositing one film under different processing conditions. Therefore, according to the plasma processing method according to Modification 1, the LCDU can be improved with a small number of steps.

また、上記変形例1に係るプラズマ処理方法によれば、プラズマ処理装置は堆積工程において、供給する複数のガスの比率を徐々に変化させることにより連続的にエッチングレートが変化する膜を堆積する。たとえば、プラズマ処理装置は、供給するガスの酸素含有量を徐々に増加させる。このため、変形例1によれば、プラズマ処理装置は、簡易な処理によってLCDUを改善することができる。 Further, according to the plasma processing method according to Modification 1, the plasma processing apparatus deposits a film whose etching rate changes continuously by gradually changing the ratio of a plurality of supplied gases in the deposition process. For example, the plasma processing apparatus gradually increases the oxygen content of the supplied gas. Therefore, according to Modification 1, the plasma processing apparatus can improve the LCDU by simple processing.

また、上記変形例1に係るプラズマ処理方法において、堆積工程およびエッチング工程は、所定条件が満足されたと判定されるまで、繰り返し実行される。このため、変形例1によれば、所望のレベルにLCDUを改善することができる。 Further, in the plasma processing method according to Modification 1, the deposition process and the etching process are repeatedly performed until it is determined that the predetermined condition is satisfied. Therefore, according to Modification 1, the LCDU can be improved to a desired level.

<変形例2-改質によるエッチングレートの調整>
上記変形例1では、膜を形成する際の成分の流量を変えることにより一つの膜の中でエッチングレートを変化させた。変形例2では、形成した膜に対して改質処理を実行して第1の膜とすることで第1の膜と第2の膜のエッチングレートに差をつける。
<Modification 2—Adjustment of etching rate by modification>
In Modification 1, the etching rate is changed in one film by changing the flow rates of the components when forming the film. In Modified Example 2, a modification process is performed on the formed film to form a first film, thereby making a difference in etching rate between the first film and the second film.

図12は、変形例2に係るプラズマ処理の流れの一例を示すフローチャートである。変形例2に係るプラズマ処理はたとえば後述するプラズマ処理装置(図15参照)が実行する。 FIG. 12 is a flow chart showing an example of the flow of plasma processing according to Modification 2. As shown in FIG. The plasma processing according to Modification 2 is performed, for example, by a plasma processing apparatus (see FIG. 15), which will be described later.

まず、第1の実施形態に係るプラズマ処理(図1参照)と同様、所定のパターンを有する複数の開口部が形成された処理対象(たとえばウェハ)を、プラズマ処理が実行される空間内に配置する。プラズマ処理装置は、第1工程を実行する(ステップS1201)。プラズマ処理装置は、第1工程においてまず、パターン上に膜を堆積する。次に、プラズマ処理装置は、堆積した膜の改質処理を実行する。改質処理は、膜の表面を脆くする等改質することによって、膜のエッチングレートを高くする処理である。これで第1の膜が形成される。次に、プラズマ処理装置は、第2工程を実行する(ステップS1202)。第2工程においては、プラズマ処理装置は、CVD等により第1の膜の上に第2の膜を堆積する。なお、第2工程は、第1の実施形態と同様、ローディング効果が得られる条件で実行する。次に、プラズマ処理装置はエッチング工程を実行する(ステップS1203)。そして、プラズマ処理装置は、処理対象が所定の条件を満足する状態となったか否かを判定する(ステップS1204)。所定の条件を満足する状態となっていないと判定した場合(ステップS1204、No)、プラズマ処理装置は、ステップS1201に戻って処理を繰り返す。他方、所定の条件を満足する状態となったと判定した場合(ステップS1204、Yes)、プラズマ処理装置は処理を終了する。これが変形例2に係るプラズマ処理の流れの一例である。 First, as in the plasma processing according to the first embodiment (see FIG. 1), a processing target (for example, a wafer) having a plurality of openings having a predetermined pattern is placed in a space where plasma processing is performed. do. The plasma processing apparatus executes a first process (step S1201). The plasma processing apparatus first deposits a film on the pattern in the first step. Next, the plasma processing apparatus performs modification processing of the deposited film. The modification process is a process for increasing the etching rate of the film by modifying the surface of the film, such as making it brittle. This forms the first film. Next, the plasma processing apparatus executes the second process (step S1202). In the second step, the plasma processing apparatus deposits a second film on the first film by CVD or the like. It should be noted that the second step is executed under the conditions under which the loading effect can be obtained, as in the first embodiment. Next, the plasma processing apparatus performs an etching process (step S1203). Then, the plasma processing apparatus determines whether or not the processing target satisfies a predetermined condition (step S1204). When it is determined that the predetermined condition is not satisfied (step S1204, No), the plasma processing apparatus returns to step S1201 and repeats the process. On the other hand, if it is determined that the predetermined condition is satisfied (step S1204, Yes), the plasma processing apparatus ends the processing. This is an example of the flow of plasma processing according to Modification 2. FIG.

改質処理はたとえば、膜の材料となるガスを供給しない状態でプラズマを生成する処理である。たとえば第1工程においてまず、窒化膜(SiN)を堆積する。その後、水素(H2)のプラズマを生成し、窒化膜をHプラズマに晒す。この処理により膜表面が脆くなるため、エッチングレートが高くなる。ただし、膜種とプラズマを生成する際のガス種との組み合わせはこれに限定されない。たとえば、第1工程において酸化膜(SiO2)を堆積した後、水素(H2)のプラズマを生成して酸化膜をHプラズマに晒すことで改質処理を実行することもできる。 A modification process is, for example, a process of generating plasma without supplying a gas that is a film material. For example, in the first step, first, a nitride film (SiN) is deposited. Thereafter, hydrogen (H2) plasma is generated to expose the nitride film to the H plasma. Since this treatment makes the film surface fragile, the etching rate increases. However, the combination of the film type and the gas type for plasma generation is not limited to this. For example, after depositing an oxide film (SiO2) in the first step, the reforming process can be performed by generating plasma of hydrogen (H2) and exposing the oxide film to the H plasma.

なお、改質処理は、ローディング効果を利用して実行してもよいしローディング効果を利用せずに実行してもよい。ローディング効果を利用する場合は、開口寸法が大きいほど改質度合または改質される表面からの深さが大きくなる。上記窒化膜をHプラズマで改質する場合には、表面積が広い部分の方がプラズマに曝露される度合いが高くなるため、開口寸法が大きいほど改質度合または改質深さを大きくすることができる。 Note that the modification process may be executed using the loading effect or may be executed without using the loading effect. When utilizing the loading effect, the larger the aperture size, the greater the degree of modification or the depth from the surface to be modified. When the nitride film is modified with H plasma, the portion having a larger surface area is exposed to the plasma at a higher degree. can.

<変形例2の効果>
上記変形例2に係るプラズマ処理方法は、第1工程と、第2工程と、エッチング工程と、を含む。第1工程において、プラズマ処理装置は、所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する。第2工程において、プラズマ処理装置は、第1の膜が形成された処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、第1の膜よりもエッチングレートが低い第2の膜を形成する。エッチング工程において、プラズマ処理装置は、第2の膜の上から、処理対象の少なくとも一部において第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。そして、変形例2において、プラズマ処理装置は、第1工程において、処理対象に堆積された膜に改質処理を施すことで第2の膜よりもエッチングレートが高い第1の膜を形成する。改質処理はたとえば、所定の処理条件下で膜をプラズマに曝露する工程である。このため、変形例2によれば、同種の膜を第1、第2の膜として堆積しつつ、改質処理によってエッチングレートに差をつけることができる。
<Effect of Modification 2>
The plasma processing method according to Modification 2 includes a first step, a second step, and an etching step. In the first step, the plasma processing apparatus forms a first film on the processing object in which a plurality of openings having a predetermined pattern are formed. In the second step, the plasma processing apparatus provides a film thickness on the side surface of the opening that differs according to the size of the opening, and a second film that has a lower etching rate than the first film. 2 film is formed. In the etching step, the plasma processing apparatus performs etching under predetermined processing conditions from above the second film until a portion of the first film is removed from at least a portion of the object to be processed. In Modified Example 2, in the first step, the plasma processing apparatus forms a first film having a higher etching rate than the second film by modifying the film deposited on the object to be processed. A modification process is, for example, a process of exposing a film to plasma under predetermined process conditions. Therefore, according to Modification 2, it is possible to deposit the same kind of film as the first and second films and to make the etching rate different by the modification process.

<変形例3-改質処理による第2の膜の形成>
上記変形例2においては、改質処理を施すことで第1の膜と第2の膜のエッチングレートに差を出した。変形例3においては、堆積する膜は1層としつつ、当該膜の堆積後に改質処理を施すことにより、エッチングレートが異なる2つの膜を堆積するのと同等の効果を得る。
<Modification 3—Formation of second film by modification treatment>
In the modification 2, the etching rate of the first film and the second film are different by performing the modification process. In Modified Example 3, one layer of film is deposited, and modification treatment is performed after the deposition of the film, thereby obtaining an effect equivalent to depositing two films having different etching rates.

図13は、変形例3に係るプラズマ処理の流れの一例を示すフローチャートである。変形例3に係るプラズマ処理は後述するプラズマ処理装置(図15参照)が実行する。 FIG. 13 is a flowchart showing an example of the flow of plasma processing according to Modification 3. As shown in FIG. The plasma processing according to Modification 3 is performed by a plasma processing apparatus (see FIG. 15), which will be described later.

まず、第1の実施形態に係るプラズマ処理(図1参照)と同様、所定のパターンを有する複数の開口部が形成された処理対象(たとえばウェハ)を、プラズマ処理が実行される空間内に配置する。プラズマ処理装置は、第1工程を実行する(ステップS1301)。プラズマ処理装置は、第1工程においてまず、パターン上に膜を堆積する。ここで堆積する膜の種類は特に限定されないが、たとえば、同一種類のガスを用い処理条件を途中で変更することなくCVDを実行して形成される。次に、プラズマ処理装置は、第2工程を実行する(ステップS1302)。プラズマ処理装置は、第2工程において、第1工程で形成された膜に対して改質処理を実行する。改質処理は、第1工程で形成された膜の表面のエッチングレートを低くするための処理である。また、改質処理は、ローディング効果が出る条件で実行される。すなわち、開口寸法が大きいほど改質度合または改質される表面からの深さが大きくなる条件で実行される。次に、プラズマ処理装置は、エッチング工程を実行する(ステップS1303)。そして、プラズマ処理装置は、処理対象が所定の条件を満足する状態となったか否かを判定する(ステップS1304)。所定の条件を満足する状態となっていないと判定した場合(ステップS1304、No)、プラズマ処理装置は、ステップS1301に戻って処理を繰り返す。他方、所定の条件を満足する状態となったと判定した場合(ステップS1304、Yes)、プラズマ処理装置は処理を終了する。これが変形例3に係るプラズマ処理の流れの一例である。 First, as in the plasma processing according to the first embodiment (see FIG. 1), a processing target (for example, a wafer) having a plurality of openings having a predetermined pattern is placed in a space where plasma processing is performed. do. The plasma processing apparatus executes the first process (step S1301). The plasma processing apparatus first deposits a film on the pattern in the first step. Although the type of film deposited here is not particularly limited, for example, it is formed by executing CVD using the same type of gas without changing the processing conditions during the process. Next, the plasma processing apparatus executes the second process (step S1302). In the second step, the plasma processing apparatus modifies the film formed in the first step. The modification treatment is treatment for lowering the etching rate of the surface of the film formed in the first step. Also, the modification process is executed under conditions that produce a loading effect. In other words, the larger the opening size, the larger the degree of modification or the depth from the surface to be modified. Next, the plasma processing apparatus performs an etching process (step S1303). Then, the plasma processing apparatus determines whether or not the processing target satisfies a predetermined condition (step S1304). When it is determined that the predetermined condition is not satisfied (step S1304, No), the plasma processing apparatus returns to step S1301 and repeats the process. On the other hand, if it is determined that the predetermined condition is satisfied (step S1304, Yes), the plasma processing apparatus ends the processing. This is an example of the flow of plasma processing according to the third modification.

図14は、変形例3に係るプラズマ処理の処理シーケンスの一例を示す図である。図14の例では、プラズマ処理装置は、第1工程(CVD)の後、第2工程として改質(modify)工程を実行する。その後、プラズマ処理装置は、エッチング工程を実行する。図14の例における第1工程では、プラズマ処理装置は、処理ガスとしてメタン(CH4)およびオクタフルオロシクロブタン(C4F8)を使用して膜を堆積する。次の第2工程では、プラズマ処理装置は、CH4およびC4F8の供給を止めて希ガスたとえばアルゴン(Ar)やヘリウム(He)、窒素(N2)、水素(H2)等を供給しプラズマ化させる。第1工程で堆積された膜はプラズマに曝露されることによって、押し固められ密度が上昇する。このため、第2工程によって膜が硬くなりエッチングレートが低下する。このとき、第1工程で堆積された膜は、開口寸法が広い部分ほどプラズマに曝露される度合が大きいため、開口寸法に応じて改質度合または改質深さが異なる。このため、第1の実施形態等においてローディング効果を利用して第2の膜を堆積したときと実質的に同様のローディング効果を得ることができる。第2工程の後、プラズマ処理装置はO2を供給して改質後の膜のエッチングを実行する。 FIG. 14 is a diagram showing an example of a processing sequence of plasma processing according to Modification 3. As shown in FIG. In the example of FIG. 14, the plasma processing apparatus executes a modify process as a second process after the first process (CVD). After that, the plasma processing apparatus performs an etching process. In the first step in the example of FIG. 14, the plasma processing apparatus deposits a film using methane (CH4) and octafluorocyclobutane (C4F8) as process gases. In the second step, the plasma processing apparatus stops supplying CH4 and C4F8 and supplies rare gases such as argon (Ar), helium (He), nitrogen (N2), and hydrogen (H2) to generate plasma. The film deposited in the first step is compacted and densified by exposure to plasma. Therefore, the second step hardens the film and lowers the etching rate. At this time, since the film deposited in the first step is exposed to the plasma more as the opening size increases, the degree of modification or the modification depth varies depending on the opening size. Therefore, it is possible to obtain substantially the same loading effect as when the second film is deposited by utilizing the loading effect in the first embodiment or the like. After the second step, the plasma processing apparatus supplies O2 to etch the modified film.

なお、図14に示す処理において使用できるガス種は、C4F8およびCH4に限定されない。第1工程においてたとえば、シリコンやカーボンを含有するガス種を用いて膜を堆積してもよい。そして、第2工程において、シリコンやカーボンを含有するガス種の供給を停止した上で、希ガス(Ar等)、水素ガス(H2)、窒素ガス(N2)等を供給してプラズマを生成してもよい。なお、第1工程において実行するCVDはプラズマCVDであってよい。 Gas species that can be used in the process shown in FIG. 14 are not limited to C4F8 and CH4. In the first step, for example, a gas species containing silicon or carbon may be used to deposit the film. Then, in the second step, after stopping the supply of gas species containing silicon or carbon, a rare gas (such as Ar), hydrogen gas (H2), nitrogen gas (N2), or the like is supplied to generate plasma. may The CVD performed in the first step may be plasma CVD.

<変形例3の効果>
上記変形例3に係るプラズマ処理方法は、第2工程において、第1の膜に改質処理を施して第1の膜を改質することで第2の膜を形成する。また、改質処理は、サイズの大きい開口部ほどプラズマにより改質される表面からの深さまたは改質度合が大きくなる処理条件下で第1の膜をプラズマに曝露する。このため、変形例3に係るプラズマ処理方法によれば、ローディング効果を利用して膜の性質を変化させることで膜のエッチングレートに変化をつけることができる。このため、変形例3によれば、一つの膜を利用して、二つの膜を利用する第1の実施形態等と同等の効果を得ることができる。
<Effect of Modification 3>
In the plasma processing method according to Modification 3, in the second step, the first film is subjected to a modification process to modify the first film, thereby forming the second film. In the modification treatment, the first film is exposed to plasma under treatment conditions such that the larger the size of the opening, the greater the depth from the surface modified by the plasma or the greater the degree of modification. Therefore, according to the plasma processing method according to Modification 3, the etching rate of the film can be varied by changing the properties of the film using the loading effect. For this reason, according to Modification 3, it is possible to obtain the same effect as in the first embodiment, which uses two films, by using one film.

また、変形例3に係るプラズマ処理方法において、堆積工程およびエッチング工程は、所定条件が満足されたと判定されるまで繰り返し実行される。このため、変形例3に係るプラズマ処理方法によれば、工程の繰り返し数を調整して、所望のLCDU改善効果を得ることができる。 Moreover, in the plasma processing method according to Modification 3, the deposition process and the etching process are repeatedly performed until it is determined that the predetermined condition is satisfied. Therefore, according to the plasma processing method according to Modification 3, a desired LCDU improvement effect can be obtained by adjusting the number of repetitions of the process.

<一実施形態に係るプラズマ処理装置の例>
上記第1の実施形態、変形例1乃至3に係るプラズマ処理方法は、以下に説明するプラズマ処理装置1を用いて実行することができる。
<Example of plasma processing apparatus according to one embodiment>
The plasma processing methods according to the first embodiment and Modifications 1 to 3 can be performed using the plasma processing apparatus 1 described below.

一実施形態に係るプラズマ処理装置1について、図15を参照して説明する。図15は、一実施形態に係るプラズマ処理装置1の縦断面の一例を示す図である。本実施形態に係るプラズマ処理装置1では、半導体ウェハのプラズマエッチング、成膜、スパッタなどの所望のプラズマ処理が行われる。本実施形態に係るプラズマ処理装置1は、チャンバ10内に載置台20とガスシャワーヘッド25とを対向配置した平行平板型のプラズマ処理装置(容量結合型プラズマ処理装置)である。載置台20は下部電極としても機能し、ガスシャワーヘッド25は上部電極としても機能する。 A plasma processing apparatus 1 according to one embodiment will be described with reference to FIG. FIG. 15 is a diagram showing an example of a longitudinal section of the plasma processing apparatus 1 according to one embodiment. In the plasma processing apparatus 1 according to this embodiment, desired plasma processing such as plasma etching, film formation, and sputtering of semiconductor wafers is performed. The plasma processing apparatus 1 according to the present embodiment is a parallel plate type plasma processing apparatus (capacitively coupled plasma processing apparatus) in which a mounting table 20 and a gas shower head 25 are arranged in a chamber 10 so as to face each other. The mounting table 20 also functions as a lower electrode, and the gas shower head 25 also functions as an upper electrode.

プラズマ処理装置1は、例えば表面がアルマイト処理(陽極酸化処理)されたアルミニウムからなる円筒形のチャンバ10を有している。チャンバ10は、電気的に接地されている。チャンバ10の底部には、半導体ウェハ(以下、単に「ウェハW」という。)を載置するための載置台20が設けられている。ウェハWは、処理対象の一例である。載置台20は、ウェハWを静電吸着力により保持する静電チャック106と、静電チャック106を支持する基台104とを有する。基台104は、例えばアルミニウム(Al)やチタン(Ti)、炭化ケイ素(SiC)等から形成されている。 The plasma processing apparatus 1 has a cylindrical chamber 10 made of, for example, aluminum whose surface is anodized (anodized). Chamber 10 is electrically grounded. A mounting table 20 for mounting a semiconductor wafer (hereinafter simply referred to as “wafer W”) is provided at the bottom of chamber 10 . A wafer W is an example of an object to be processed. The mounting table 20 has an electrostatic chuck 106 that holds the wafer W by electrostatic adsorption force, and a base 104 that supports the electrostatic chuck 106 . The base 104 is made of, for example, aluminum (Al), titanium (Ti), silicon carbide (SiC), or the like.

基台104の上面には、ウェハを静電吸着するための静電チャック106が設けられている。静電チャック106は、絶縁体106bの間にチャック電極106aを挟み込んだ構造になっている。チャック電極106aには直流電圧源112が接続され、直流電圧源112からチャック電極106aに直流電圧HVが印加されることにより、静電気力によってウェハWが静電チャック106に吸着される。静電チャック106の上面には、ウェハWを保持するための保持面と、保持面よりも高さが低い部分である周縁部とが形成されている。静電チャック106の保持面に、ウェハWが載置される。以下では、静電チャック106の保持面を「載置台20の載置面」と適宜表記するものとする。 An electrostatic chuck 106 for electrostatically attracting a wafer is provided on the upper surface of the base 104 . The electrostatic chuck 106 has a structure in which a chuck electrode 106a is sandwiched between insulators 106b. A DC voltage source 112 is connected to the chuck electrode 106a, and a DC voltage HV is applied from the DC voltage source 112 to the chuck electrode 106a, whereby the wafer W is attracted to the electrostatic chuck 106 by electrostatic force. The upper surface of the electrostatic chuck 106 is formed with a holding surface for holding the wafer W and a peripheral portion that is lower than the holding surface. A wafer W is placed on the holding surface of the electrostatic chuck 106 . Hereinafter, the holding surface of the electrostatic chuck 106 is appropriately referred to as "the mounting surface of the mounting table 20".

静電チャック106の周縁部には、載置台20の載置面に載置されたウェハWを囲むようにフォーカスリング108が配置されている。フォーカスリング108は、例えばシリコンや石英から形成されている。フォーカスリング108は、エッチングの面内均一性を高めるように機能する。 A focus ring 108 is arranged on the peripheral edge of the electrostatic chuck 106 so as to surround the wafer W mounted on the mounting surface of the mounting table 20 . The focus ring 108 is made of silicon or quartz, for example. The focus ring 108 functions to improve in-plane etching uniformity.

また、載置台20(基台104)の内部には、冷媒流路104aが形成されている。冷媒流路104aには、冷媒入口配管104b及び冷媒出口配管104cが接続されている。チラー107から出力された例えば冷却水やブライン等の冷却媒体(以下、「冷媒」ともいう。)は、冷媒入口配管104b、冷媒流路104a及び冷媒出口配管104cを通流して循環する。冷媒により、載置台20及び静電チャック106は冷却される。 A coolant flow path 104a is formed inside the mounting table 20 (base 104). A refrigerant inlet pipe 104b and a refrigerant outlet pipe 104c are connected to the refrigerant flow path 104a. A cooling medium such as cooling water or brine (hereinafter also referred to as "refrigerant") output from the chiller 107 flows through the refrigerant inlet pipe 104b, the refrigerant flow path 104a, and the refrigerant outlet pipe 104c and circulates. The cooling medium cools the mounting table 20 and the electrostatic chuck 106 .

伝熱ガス供給源85は、ヘリウムガス(He)等の伝熱ガスをガス供給ライン130に通して静電チャック106上のウェハWの裏面に供給する。かかる構成により、静電チャック106は、冷媒流路104aに循環させる冷媒と、ウェハWの裏面に供給する伝熱ガスとによって温度制御される。 A heat transfer gas supply source 85 supplies a heat transfer gas such as helium gas (He) to the back surface of the wafer W on the electrostatic chuck 106 through the gas supply line 130 . With such a configuration, the temperature of the electrostatic chuck 106 is controlled by the coolant circulated in the coolant channel 104a and the heat transfer gas supplied to the back surface of the wafer W. FIG.

載置台20には、2周波重畳電力を供給する電力供給装置30が接続されている。電力供給装置30は、第1周波数の第1高周波電力(プラズマ生起用高周波電力)を供給する第1高周波電源32と、第1周波数よりも低い第2周波数の第2高周波電力(バイアス電圧発生用高周波電力)を供給する第2高周波電源34とを有する。第1高周波電源32は、第1整合器33を介して載置台20に電気的に接続される。第2高周波電源34は、第2整合器35を介して載置台20に電気的に接続される。第1高周波電源32は、例えば、40MHzの第1高周波電力を載置台20に印加する。第2高周波電源34は、例えば、400kHzの第2高周波電力を載置台20に印加する。なお、本実施形態では、第1高周波電力は載置台20に印加されるが、ガスシャワーヘッド25に印加されてもよい。 A power supply device 30 that supplies two-frequency superimposed power is connected to the mounting table 20 . The power supply device 30 includes a first high-frequency power supply 32 that supplies first high-frequency power (plasma-generating high-frequency power) of a first frequency, and a second high-frequency power (bias voltage generating power) of a second frequency lower than the first frequency. and a second high frequency power supply 34 for supplying high frequency power. The first high frequency power supply 32 is electrically connected to the mounting table 20 via the first matching device 33 . A second high-frequency power supply 34 is electrically connected to the mounting table 20 via a second matching device 35 . The first high frequency power supply 32 applies a first high frequency power of 40 MHz to the mounting table 20, for example. The second high-frequency power supply 34 applies a second high-frequency power of, for example, 400 kHz to the mounting table 20 . Although the first high-frequency power is applied to the mounting table 20 in this embodiment, it may be applied to the gas shower head 25 .

第1整合器33は、第1高周波電源32の内部(または出力)インピーダンスに負荷インピーダンスを整合させる。第2整合器35は、第2高周波電源34の内部(または出力)インピーダンスに負荷インピーダンスを整合させる。第1整合器33は、チャンバ10内にプラズマが生成されているときに第1高周波電源32の内部インピーダンスと負荷インピーダンスとが見かけ上一致するように機能する。第2整合器35は、チャンバ10内にプラズマが生成されているときに第2高周波電源34の内部インピーダンスと負荷インピーダンスとが見かけ上一致するように機能する。 The first matching device 33 matches the internal (or output) impedance of the first high frequency power supply 32 with the load impedance. The second matching device 35 matches the internal (or output) impedance of the second high frequency power supply 34 with the load impedance. The first matching box 33 functions so that the internal impedance of the first high-frequency power supply 32 and the load impedance apparently match when plasma is generated in the chamber 10 . The second matching device 35 functions so that the internal impedance of the second high-frequency power supply 34 and the load impedance apparently match when plasma is generated in the chamber 10 .

ガスシャワーヘッド25は、その周縁部を被覆するシールドリング40を介してチャンバ10の天井部の開口を閉塞するように取り付けられている。ガスシャワーヘッド25は、図15に示すように電気的に接地してもよい。また、可変直流電源を接続してガスシャワーヘッド25に所定の直流(DC)電圧が印加されるようにしてもよい。 The gas shower head 25 is attached so as to block the opening in the ceiling of the chamber 10 via a shield ring 40 covering its peripheral edge. The gas showerhead 25 may be electrically grounded as shown in FIG. Alternatively, a variable DC power supply may be connected to apply a predetermined direct current (DC) voltage to the gas shower head 25 .

ガスシャワーヘッド25には、ガスを導入するガス導入口45が形成されている。ガスシャワーヘッド25の内部にはガス導入口45から分岐したセンター部の拡散室50a及びエッジ部の拡散室50bが設けられている。ガス供給源15から出力されたガスは、ガス導入口45を介して拡散室50a、50bに供給され、拡散室50a、50bにて拡散されて多数のガス供給孔55から載置台20に向けて導入される。 The gas shower head 25 is formed with a gas introduction port 45 for introducing gas. Inside the gas shower head 25, a central diffusion chamber 50a and an edge diffusion chamber 50b branched from the gas introduction port 45 are provided. A gas output from the gas supply source 15 is supplied to the diffusion chambers 50a and 50b through the gas inlet 45, diffused in the diffusion chambers 50a and 50b, and directed toward the mounting table 20 through a large number of gas supply holes 55. be introduced.

チャンバ10の底面には排気口60が形成されており、排気口60に接続された排気装置65によってチャンバ10内が排気される。これにより、チャンバ10内を所定の真空度に維持することができる。チャンバ10の側壁にはゲートバルブGが設けられている。ゲートバルブGは、チャンバ10からウェハWの搬入及び搬出を行う際に搬出入口を開閉する。 An exhaust port 60 is formed in the bottom surface of the chamber 10 , and the inside of the chamber 10 is exhausted by an exhaust device 65 connected to the exhaust port 60 . Thereby, the inside of the chamber 10 can be maintained at a predetermined degree of vacuum. A gate valve G is provided on the side wall of the chamber 10 . The gate valve G opens and closes the loading/unloading port when loading and unloading the wafer W from the chamber 10 .

プラズマ処理装置1には、装置全体の動作を制御する制御部100が設けられている。制御部100は、CPU(Central Processing Unit)105、ROM(Read Only Memory)110及びRAM(Random Access Memory)115を有している。CPU105は、これらの記憶領域に格納された各種レシピに従って、後述されるプラズマ処理等の所望の処理を実行する。レシピにはプロセス条件に対する装置の制御情報であるプロセス時間、圧力(ガスの排気)、高周波電力や電圧、各種ガス流量、チャンバ内温度(上部電極温度、チャンバの側壁温度、ウェハW温度(静電チャック温度)など)、チラー107から出力される冷媒の温度などが記載されている。なお、これらのプログラムや処理条件を示すレシピは、ハードディスクや半導体メモリに記憶されてもよい。また、レシピは、CD(Compact Disc)-ROM、DVD(Digital Versatile Disc)等の可搬性のコンピュータにより読み取り可能な記憶媒体に収容された状態で所定位置にセットされ、読み出されるようにしてもよい。 The plasma processing apparatus 1 is provided with a control section 100 that controls the operation of the entire apparatus. The control unit 100 has a CPU (Central Processing Unit) 105 , a ROM (Read Only Memory) 110 and a RAM (Random Access Memory) 115 . The CPU 105 executes desired processing such as plasma processing, which will be described later, according to various recipes stored in these storage areas. The recipe includes the process time, pressure (gas exhaust), high-frequency power and voltage, various gas flow rates, chamber internal temperature (upper electrode temperature, chamber side wall temperature, wafer W temperature (electrostatic chuck temperature), the temperature of the refrigerant output from the chiller 107, and the like. Note that these programs and recipes indicating processing conditions may be stored in a hard disk or semiconductor memory. In addition, the recipe may be stored in a portable computer-readable storage medium such as a CD (Compact Disc)-ROM, a DVD (Digital Versatile Disc), etc., and may be set at a predetermined position and read out. .

例えば、制御部100は、上述したプラズマ処理方法を行うようにプラズマ処理装置1の各部を制御する。 For example, the controller 100 controls each part of the plasma processing apparatus 1 so as to perform the plasma processing method described above.

また、上記実施形態に係るプラズマ処理は、容量結合型プラズマ(CCP:Capacitively Coupled Plasma)処理装置だけでなく、その他のプラズマ処理装置に適用可能である。その他のプラズマ処理装置は、例えば、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)処理、ラジアルラインスロットアンテナを用いたプラズマ処理装置、ヘリコン波励起型プラズマ(HWP:Helicon Wave Plasma)処理装置、電子サイクロトロン共鳴プラズマ(ECR:Electron Cyclotron Resonance Plasma)処理装置等であっても良い。 Moreover, the plasma processing according to the above embodiments is applicable not only to capacitively coupled plasma (CCP) processing apparatuses but also to other plasma processing apparatuses. Other plasma processing devices include, for example, inductively coupled plasma (ICP) processing, plasma processing devices using radial line slot antennas, helicon wave excited plasma (HWP: Helicon Wave Plasma) processing devices, electron cyclotrons A resonance plasma (ECR: Electron Cyclotron Resonance Plasma) processing device or the like may be used.

今回開示された実施形態は全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。 It should be considered that the embodiments disclosed this time are illustrative in all respects and not restrictive. The above-described embodiments may be omitted, substituted or modified in various ways without departing from the scope and spirit of the appended claims.

また、上記の実施形態に関し、さらに以下の付記を開示する。 Further, the following additional remarks are disclosed regarding the above embodiments.

(付記1)
所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する第1工程と、
前記第1の膜が形成された前記処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、前記第1の膜よりもエッチングレートが低い第2の膜を形成する第2工程と、
前記第2の膜の上から、前記処理対象の少なくとも一部において前記第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行するエッチング工程と、
を含むプラズマ処理方法。
(付記2)
前記第1工程は、前記処理対象に堆積された膜に改質処理を施すことで前記第2の膜よりもエッチングレートが高い前記第1の膜を形成する、付記1に記載のプラズマ処理方法。
(付記3)
前記改質処理は、所定の処理条件下で前記膜をプラズマに曝露する、付記2に記載のプラズマ処理方法。
(付記4)
前記第2工程は、前記第1の膜に改質処理を施して改質することで前記第2の膜を形成する、付記1に記載のプラズマ処理方法。
(付記5)
前記改質処理は、サイズの大きい開口部ほどプラズマにより改質される表面からの深さまたは改質度合が大きくなる処理条件下で前記第1の膜をプラズマに曝露する、付記4に記載のプラズマ処理方法。
(付記6)
前記エッチング工程において、前記処理対象の少なくとも一部において前記第1の膜が露出した時点で、前記所定の処理条件を第1の処理条件から第2の処理条件に変更する付記1から5のいずれか1つに記載のプラズマ処理方法。
(付記7)
前記第1工程、前記第2工程および前記エッチング工程は、所定条件が満足されたと判定されるまで、繰り返し実行される、付記1から6のいずれか1つに記載のプラズマ処理方法。
(付記8)
所定のパターンを有する複数の開口部が形成された処理対象に、当該処理対象から遠ざかるにつれてエッチングレートが低くなり、かつ、開口部のサイズに応じて開口部の側面への堆積量が異なる処理条件で膜を堆積する堆積工程と、
前記膜が堆積された処理対象のエッチングを実行するエッチング工程と、
を含むプラズマ処理方法。
(付記9)
前記堆積工程において、供給する複数のガスの比率を徐々に変化させることにより連続的にエッチングレートが変化する前記膜を堆積する、付記8に記載のプラズマ処理方法。
(付記10)
前記堆積工程において、供給するガスの酸素含有量を徐々に増加させる、付記9に記載のプラズマ処理方法。
(付記11)
前記堆積工程および前記エッチング工程は、所定条件が満足されたと判定されるまで、繰り返し実行される、付記8から10のいずれか1つに記載のプラズマ処理方法。
(付記12)
付記1から11のいずれか1つに記載のプラズマ処理方法を実行するプログラムを記憶する記憶部と、当該プログラムを実行するよう制御する制御部と、
を備えるプラズマ処理装置。
(Appendix 1)
a first step of forming a first film on a processing target in which a plurality of openings having a predetermined pattern are formed;
forming a second film having a different film thickness on the side surface of the opening in accordance with the size of the opening and having a lower etching rate than the first film on the object to be processed on which the first film is formed; 2 steps;
an etching step of performing etching under predetermined processing conditions from above the second film until a portion of the first film is removed from at least a portion of the object to be processed;
A plasma processing method comprising:
(Appendix 2)
The plasma processing method according to Supplementary Note 1, wherein the first step includes forming the first film having a higher etching rate than the second film by modifying the film deposited on the object to be processed. .
(Appendix 3)
3. The plasma processing method according to appendix 2, wherein the modification processing exposes the film to plasma under predetermined processing conditions.
(Appendix 4)
The plasma processing method according to Supplementary Note 1, wherein in the second step, the second film is formed by performing a modification process on the first film to modify it.
(Appendix 5)
Appendix 4, wherein the modification treatment exposes the first film to plasma under processing conditions in which the larger the size of the opening, the greater the depth from the surface modified by the plasma or the greater the degree of modification. Plasma treatment method.
(Appendix 6)
6. Any one of Supplementary Notes 1 to 5, wherein in the etching step, the predetermined processing conditions are changed from the first processing conditions to the second processing conditions when the first film is exposed on at least part of the object to be processed. 1. The plasma processing method according to claim 1.
(Appendix 7)
7. The plasma processing method according to any one of appendices 1 to 6, wherein the first step, the second step, and the etching step are repeatedly performed until it is determined that a predetermined condition is satisfied.
(Appendix 8)
A processing condition in which, in a processing object in which a plurality of openings having a predetermined pattern are formed, the etching rate decreases as the distance from the processing object increases, and the amount of deposition on the side surfaces of the openings varies according to the size of the openings. a deposition step of depositing the film at
an etching step of performing etching of a process target on which the film is deposited;
A plasma processing method comprising:
(Appendix 9)
9. The plasma processing method according to claim 8, wherein in the deposition step, the film whose etching rate changes continuously is deposited by gradually changing the ratio of a plurality of gases to be supplied.
(Appendix 10)
10. The plasma processing method according to appendix 9, wherein the oxygen content of the supplied gas is gradually increased in the deposition step.
(Appendix 11)
11. The plasma processing method according to any one of appendices 8 to 10, wherein said deposition step and said etching step are repeatedly performed until it is determined that a predetermined condition is satisfied.
(Appendix 12)
A storage unit that stores a program for executing the plasma processing method according to any one of appendices 1 to 11, a control unit that controls to execute the program,
A plasma processing apparatus comprising:

1 プラズマ処理装置
10 チャンバ
15 ガス供給源
20 載置台
25 ガスシャワーヘッド
32 第1高周波電源
34 第2高周波電源
65 排気装置
85 伝熱ガス供給源
100 制御部
104 基台
104a 冷却流路
106 静電チャック
1 Plasma Processing Apparatus 10 Chamber 15 Gas Supply Source 20 Mounting Table 25 Gas Shower Head 32 First High Frequency Power Supply 34 Second High Frequency Power Supply 65 Exhaust Device 85 Heat Transfer Gas Supply Source 100 Control Part 104 Base 104a Cooling Channel 106 Electrostatic Chuck

Claims (17)

ガス入口およびガス出口を有するチャンバと、
前記チャンバ内の基板支持部と、
前記チャンバ内にプラズマを生成するように構成されたプラズマ生成器と、
(a)前記基板支持部に基板を載置する載置工程であって、前記基板は第1開口部と第2開口部とを含む複数の開口部を有する処理対象を含み、前記第1開口部のサイズは前記第2開口部のサイズとは異なる、載置工程と、
(b)エッチングレートが異なる複数の部分を形成し、側面からの距離が遠ざかるにつれてエッチングレートが低くなり、前記開口部のサイズに応じて前記開口部の側面への堆積量が異なる、という処理条件で前記開口部の側面に膜を堆積する堆積工程と、
(c)前記膜を堆積した後に前記処理対象をエッチングすることにより、前記第1開口部と前記第2開口部との開口サイズの差を小さくするエッチング工程と
を含むプラズマ処理をプラズマ処理装置に実行させるよう制御するように構成された制御部と、
を備えるプラズマ処理装置。
a chamber having a gas inlet and a gas outlet;
a substrate support within the chamber;
a plasma generator configured to generate a plasma within the chamber;
(a) a step of placing a substrate on the substrate support, wherein the substrate includes a processing target having a plurality of openings including a first opening and a second opening; a placing step, wherein the size of the portion is different from the size of the second opening;
(b) A processing condition in which a plurality of portions with different etching rates are formed, the etching rate decreases as the distance from the side surface increases, and the deposition amount on the side surface of the opening varies depending on the size of the opening. depositing a film on the side surface of the opening in
(c) etching the object to be processed after depositing the film to reduce the difference in opening size between the first opening and the second opening; a controller configured to control execution;
A plasma processing apparatus comprising:
前記制御部は、前記膜の堆積工程において、複数のガスの供給比率を変化させることにより連続的にエッチングレートが変化する膜を堆積するよう前記装置を制御するように構成される請求項1に記載のプラズマ処理装置。 2. The apparatus according to claim 1, wherein in the film deposition step, the control unit is configured to control the apparatus so as to deposit a film whose etching rate varies continuously by changing supply ratios of a plurality of gases. The plasma processing apparatus described. 前記複数のガスには酸素ガスが含まれ、
前記制御部は、前記複数のガスにおける前記酸素ガスの比率を増加させることにより、前記供給比率を変化させるよう前記装置を制御するように構成される請求項2に記載のプラズマ処理装置。
The plurality of gases includes oxygen gas,
3. The plasma processing apparatus according to claim 2, wherein the controller is configured to control the apparatus to change the supply ratio by increasing the ratio of the oxygen gas in the plurality of gases.
前記制御部は、前記膜の堆積工程において、第1の膜を形成し、前記第1の膜上に第2の膜を形成し、前記第2の膜を形成した後にエッチングを行うよう前記装置を制御するように構成される請求項1に記載のプラズマ処理装置。 The controller controls the apparatus to form a first film, form a second film on the first film, and perform etching after forming the second film in the film deposition step. 2. The plasma processing apparatus of claim 1, wherein the plasma processing apparatus is configured to control the 前記制御部は、前記エッチング工程において前記第2の膜から前記第1の膜の一部が露出するよう前記第2の膜の一部をエッチングし、前記第1の膜の一部が露出した後にエッチングを続けるよう前記装置を制御するように構成される請求項に記載のプラズマ処理装置。 The control unit etches a part of the second film in the etching step so that a part of the first film is exposed from the second film, and a part of the first film is exposed. 5. The plasma processing apparatus of claim 4 , configured to control the apparatus to continue etching afterward. 前記制御部は、所定条件を満たすまで(b)および(c)を繰り返すよう前記装置を制御するように構成される請求項1~のいずれか一項に記載のプラズマ処理装置。 The plasma processing apparatus according to any one of claims 1 to 5, wherein the control unit is configured to control the apparatus so as to repeat (b) and (c) until a predetermined condition is satisfied. 前記制御部は、前記膜の堆積工程の後および前記エッチング工程の前に前記膜を改質するよう前記装置をさらに制御するように構成される請求項1~6のいずれか一項に記載のプラズマ処理装置。 7. The control unit according to any one of claims 1 to 6, wherein the controller is configured to further control the apparatus to modify the film after the film deposition step and before the etching step. Plasma processing equipment. 前記制御部は、前記膜の堆積工程において前記第1開口部と前記第2開口部との開口サイズの差を小さくし、前記エッチング工程において前記第1開口部と前記第2開口部との開口サイズの差をさらに小さくするよう前記装置を制御するように構成される請求項1~7のいずれか一項に記載のプラズマ処理装置。 The controller reduces a difference in opening size between the first opening and the second opening in the film deposition process, and reduces the difference in opening size between the first opening and the second opening in the etching process. The plasma processing apparatus of any one of claims 1 to 7, configured to control the apparatus to further reduce size differences. プラズマ処理装置であって、
ガス入口およびガス出口を有するチャンバと、
前記チャンバ内の基板支持部と、
前記チャンバ内にプラズマを生成するように構成されたプラズマ生成器と、
(a)前記基板支持部に基板を載置する載置工程であって、前記基板は第1開口部と第2開口部とを含む複数の開口部を有する処理対象およびパターンを含み、前記第1開口部のサイズは前記第2開口部のサイズとは異なる、載置工程と、
(b)前記パターン上に第1の膜を形成する形成工程と、
(c)前記第1の膜上に第2の膜を形成する形成工程であって、前記第2の膜は前記第1の膜よりもエッチングレートが低く、前記第2の膜は前記開口部のサイズに応じて前記開口部の側面の膜厚が異なる、形成工程と、
(d)前記第1の膜の一部が除去されるまで所定の処理条件で前記第2の膜をエッチングすることにより、前記第1開口部と前記第2開口部との開口サイズの差を小さくするエッチング工程と
を含むプラズマ処理を前記装置に実行させるよう制御するように構成された制御部と、
を備えるプラズマ処理装置。
A plasma processing apparatus,
a chamber having a gas inlet and a gas outlet;
a substrate support within the chamber;
a plasma generator configured to generate a plasma within the chamber;
(a) a step of placing a substrate on the substrate support, wherein the substrate includes a processing target and a pattern having a plurality of openings including a first opening and a second opening; a placing step, wherein the size of one opening is different from the size of the second opening;
(b) forming a first film on the pattern;
(c) forming a second film on the first film, wherein the second film has a lower etching rate than the first film, and the second film is formed in the opening; a forming step in which the film thickness of the side surface of the opening differs depending on the size of the
(d) removing a difference in opening size between the first opening and the second opening by etching the second film under predetermined processing conditions until a portion of the first film is removed; a controller configured to control the apparatus to perform a plasma treatment comprising: a reducing etching step;
A plasma processing apparatus comprising:
前記制御部は、前記第1の膜の形成工程において、前記第1の膜の材料を堆積し、前記第1の膜の材料を改質することによって前記第2の膜よりもエッチングレートが高い前記第1の膜を形成するよう前記装置を制御するように構成される請求項9に記載のプラズマ処理装置。 The control unit deposits the material of the first film and modifies the material of the first film in the step of forming the first film so that the etching rate is higher than that of the second film. 10. The plasma processing apparatus of Claim 9, configured to control the apparatus to form the first film. 前記制御部は、前記第1の膜の材料をプラズマに曝露して前記第1の膜の材料を改質するよう前記装置を制御するように構成される請求項10に記載のプラズマ処理装置。 11. The plasma processing apparatus of claim 10, wherein the controller is configured to control the apparatus to expose the material of the first film to plasma to modify the material of the first film. 前記制御部は、前記第1の膜の材料の一部を改質して前記第2の膜を形成することにより、前記第2の膜を形成するよう前記装置を制御するように構成される請求項9~11のいずれか一項に記載のプラズマ処理装置。 The controller is configured to control the device to form the second film by modifying a portion of the material of the first film to form the second film. The plasma processing apparatus according to any one of claims 9-11. 前記制御部は、(i)サイズの大きい開口部ほどプラズマにより改質される前記第1の膜の材料の表面からの深さが大きくなる、又は(ii)サイズの大きい開口部ほど前記第1の膜の材料の改質度合が大きくなる、という処理条件で前記第1の膜の材料をプラズマに曝露することによって前記第1の膜の材料の一部を改質するよう前記装置を制御するように構成される請求項12に記載のプラズマ処理装置。 (i) the larger the size of the opening, the larger the depth from the surface of the material of the first film to be modified by the plasma; controlling the apparatus to modify a portion of the material of the first film by exposing the material of the first film to plasma under processing conditions such that the degree of modification of the material of the film of 13. The plasma processing apparatus of claim 12, wherein the plasma processing apparatus is configured to: 前記制御部は、前記第2の膜のエッチング工程において、前記処理対象の少なくとも一部において前記第1の膜が露出した時点で、前記所定の処理条件を第1の処理条件から第2の処理条件に変更するよう前記装置を制御するように構成される請求項9~13のいずれか一項に記載のプラズマ処理装置。 In the etching step of the second film, the control unit changes the predetermined processing conditions from the first processing conditions to the second processing when the first film is exposed in at least part of the object to be processed. 14. The plasma processing apparatus of any one of claims 9-13, configured to control the apparatus to alter conditions. 前記制御部は、所定条件を満たすまで(b)から(d)を繰り返すよう前記装置を制御するように構成される請求項9~14のいずれか一項に記載のプラズマ処理装置。 15. The plasma processing apparatus according to any one of claims 9 to 14, wherein said control unit is configured to control said apparatus so as to repeat (b) to (d) until a predetermined condition is satisfied. 前記制御部は、前記第2の膜の形成工程の後の前記第1開口部と前記第2開口部との開口サイズの差が前記第1の膜の形成工程の前と比べて小さくなり、前記第2の膜のエッチング工程の後の前記開口サイズの差がさらに小さくなるよう前記装置を制御するように構成される請求項9~15のいずれか一項に記載のプラズマ処理装置。 The controller reduces a difference in opening size between the first opening and the second opening after the step of forming the second film compared to before the step of forming the first film, 16. The plasma processing apparatus according to any one of claims 9 to 15 , configured to control the apparatus so that the difference in opening size after the step of etching the second film becomes even smaller. 前記制御部は、前記第1の膜および前記第2の膜の形成工程において前記第1開口部と前記第2開口部との開口サイズの差が小さくなり、前記エッチング工程において前記第1開口部と前記第2開口部との開口サイズの差がさらに小さくなるよう前記装置を制御するように構成される請求項9~16のいずれか一項に記載のプラズマ処理装置。 The controller reduces a difference in opening size between the first opening and the second opening in the step of forming the first film and the second film, and reduces the difference in opening size between the first opening and the second opening in the etching step. 17. The plasma processing apparatus according to any one of claims 9 to 16 , configured to control the apparatus so that the difference in opening size between the first opening and the second opening is further reduced.
JP2022071809A 2018-07-27 2022-04-25 Plasma processing equipment Active JP7278456B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022071809A JP7278456B2 (en) 2018-07-27 2022-04-25 Plasma processing equipment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018141742A JP7066565B2 (en) 2018-07-27 2018-07-27 Plasma processing method and plasma processing equipment
JP2022071809A JP7278456B2 (en) 2018-07-27 2022-04-25 Plasma processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018141742A Division JP7066565B2 (en) 2018-07-27 2018-07-27 Plasma processing method and plasma processing equipment

Publications (2)

Publication Number Publication Date
JP2022105522A JP2022105522A (en) 2022-07-14
JP7278456B2 true JP7278456B2 (en) 2023-05-19

Family

ID=87852786

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022071809A Active JP7278456B2 (en) 2018-07-27 2022-04-25 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP7278456B2 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005045916A1 (en) 2003-11-11 2005-05-19 Tokyo Electron Limited Method for processing substrate
JP2005129893A (en) 2003-09-29 2005-05-19 Tokyo Electron Ltd Etching method

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0448752A (en) * 1990-06-15 1992-02-18 Nec Corp Semiconductor device and manufacture thereof
KR100950473B1 (en) * 2007-12-28 2010-03-31 주식회사 하이닉스반도체 Method of fabricating the semiconductor device having gate spacer layer with uniform thickness

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005129893A (en) 2003-09-29 2005-05-19 Tokyo Electron Ltd Etching method
WO2005045916A1 (en) 2003-11-11 2005-05-19 Tokyo Electron Limited Method for processing substrate

Also Published As

Publication number Publication date
JP2022105522A (en) 2022-07-14

Similar Documents

Publication Publication Date Title
TWI760555B (en) Etching method
TWI811409B (en) Plasma processing method and plasma processing apparatus
US11658036B2 (en) Apparatus for processing substrate
TWI618145B (en) Plasma etching method and plasma etching device
TWI682461B (en) Method for processing workpiece
US9911607B2 (en) Method of processing target object
TWI789449B (en) Plasma etching method of substrate
TW202008458A (en) Cyclic etch process
TWI766866B (en) Etching method
TWI405260B (en) A plasma etching treatment method and a plasma etching processing apparatus
TWI713486B (en) Etching method (two)
JP4504684B2 (en) Etching method
US10233535B2 (en) Plasma processing apparatus and plasma processing method
CN110783187A (en) Plasma processing method and plasma processing apparatus
JP2021515394A (en) Systems and methods for forming voids
JP7418632B2 (en) plasma processing equipment
JP2018182104A (en) Film deposition method
JP7278456B2 (en) Plasma processing equipment
JP2018182103A (en) Etching method
JP7257883B2 (en) Plasma processing method and plasma processing apparatus
WO2023234214A1 (en) Etching method and plasma processing device
TW202316520A (en) Etching method and etching device
JP2020177958A (en) Substrate processing method and substrate processing device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230411

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230509

R150 Certificate of patent or registration of utility model

Ref document number: 7278456

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150