JP7345283B2 - Plasma treatment method and plasma treatment device - Google Patents

Plasma treatment method and plasma treatment device Download PDF

Info

Publication number
JP7345283B2
JP7345283B2 JP2019104041A JP2019104041A JP7345283B2 JP 7345283 B2 JP7345283 B2 JP 7345283B2 JP 2019104041 A JP2019104041 A JP 2019104041A JP 2019104041 A JP2019104041 A JP 2019104041A JP 7345283 B2 JP7345283 B2 JP 7345283B2
Authority
JP
Japan
Prior art keywords
film
plasma processing
opening
pair
processing method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019104041A
Other languages
Japanese (ja)
Other versions
JP2020025079A (en
Inventor
理子 中谷
亨 久松
慎也 石川
翔 熊倉
昌伸 本田
嘉英 木原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to TW108125909A priority Critical patent/TWI820170B/en
Priority to CN201910665735.9A priority patent/CN110777361B/en
Priority to CN202310861258.XA priority patent/CN116837349A/en
Priority to KR1020190089771A priority patent/KR20200012770A/en
Priority to US16/522,890 priority patent/US11459655B2/en
Publication of JP2020025079A publication Critical patent/JP2020025079A/en
Priority to US17/900,577 priority patent/US20220411928A1/en
Application granted granted Critical
Publication of JP7345283B2 publication Critical patent/JP7345283B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

以下の開示は、プラズマ処理方法およびプラズマ処理装置に関する。 The following disclosure relates to a plasma processing method and a plasma processing apparatus.

基板上に成膜を行う手法の一種として、プラズマ励起原子層堆積(PE-ALD:Plasma Enhanced Atomic Layer Deposition)法が知られている。PE-ALD法を含む様々な技術が半導体装置のパターン形成に利用されている。 A plasma enhanced atomic layer deposition (PE-ALD) method is known as a type of method for forming a film on a substrate. Various techniques, including PE-ALD, are used to pattern semiconductor devices.

たとえば、被処理基板に形成される開口部の位置に応じて成膜が選択的に促進されるようにALDを利用した手法が提案されている(特許文献1)。また、SAM(Self-assembled monolayer: 自己組織化単分子膜)を選択的に形成し、その後、気相エッチングを行う手法が提案されている(特許文献2)。また、イオン注入を用いて3Dナノ構造体に対する選択的な成膜を実現する手法が提案されている(非特許文献1)。 For example, a method using ALD has been proposed in which film formation is selectively promoted depending on the position of an opening formed in a substrate to be processed (Patent Document 1). Furthermore, a method has been proposed in which a SAM (Self-assembled monolayer) is selectively formed and then vapor phase etching is performed (Patent Document 2). Furthermore, a method for selectively forming a film on 3D nanostructures using ion implantation has been proposed (Non-Patent Document 1).

米国特許出願公開第2017/0140983号明細書US Patent Application Publication No. 2017/0140983 米国特許出願公開第2017/0148642号明細書US Patent Application Publication No. 2017/0148642

Woo-Hee Kim他、”A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation” ACS Nano 2016, 10, 4451-4458.Woo-Hee Kim et al., “A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation” ACS Nano 2016, 10, 4451-4458.

本開示は、基板上に形成されるパターンの精密な寸法制御を実現することができる技術を提供する。 The present disclosure provides a technique that can achieve precise dimensional control of a pattern formed on a substrate.

本開示の一態様によるプラズマ処理装置が実行するプラズマ処理方法は、第1の工程と第2の工程とを含む。第1の工程では、プラズマ処理装置は、処理対象が有する開口部の側壁上に、対向する側壁対同士の間隔に応じて異なる厚みの第1の膜を形成する。第1の工程後の第2の工程では、プラズマ処理装置は、成膜サイクルを1回以上施し、対向する側壁対同士の間隔に応じて異なる厚みの第2の膜を形成する。 A plasma processing method performed by a plasma processing apparatus according to one aspect of the present disclosure includes a first step and a second step. In the first step, the plasma processing apparatus forms a first film having a different thickness on the sidewall of the opening of the processing target depending on the distance between the opposing pair of sidewalls. In the second step after the first step, the plasma processing apparatus performs one or more film forming cycles to form a second film having a different thickness depending on the distance between the opposing sidewall pairs.

本開示によれば、基板上に形成されるパターンの精密な寸法制御を実現することができる。 According to the present disclosure, precise dimensional control of a pattern formed on a substrate can be achieved.

図1は、一実施形態に係るプラズマ処理装置の構成の一例を示す図である。FIG. 1 is a diagram showing an example of the configuration of a plasma processing apparatus according to an embodiment. 図2Aは、ALDにおける前駆体ガスの化学吸着ステップを説明するための図である。FIG. 2A is a diagram for explaining a chemical adsorption step of a precursor gas in ALD. 図2Bは、ALDにおける前駆体ガスのパージステップを説明するための図である。FIG. 2B is a diagram for explaining a precursor gas purge step in ALD. 図2Cは、ALDにおける反応ガスによる活性化ステップを説明するための図である。FIG. 2C is a diagram for explaining an activation step using a reactive gas in ALD. 図2Dは、ALDにおける反応ガスのパージステップを説明するための図である。FIG. 2D is a diagram for explaining a reaction gas purge step in ALD. 図3Aは、一実施形態に係るプラズマ処理方法におけるインキュベーションについて説明するための図(1)である。FIG. 3A is a diagram (1) for explaining incubation in a plasma processing method according to an embodiment. 図3Bは、一実施形態に係るプラズマ処理方法におけるインキュベーションについて説明するための図(2)である。FIG. 3B is a diagram (2) for explaining incubation in the plasma processing method according to one embodiment. 図3Cは、一実施形態に係るプラズマ処理方法におけるインキュベーションについて説明するための図(3)である。FIG. 3C is a diagram (3) for explaining incubation in the plasma processing method according to one embodiment. 図3Dは、一実施形態に係るプラズマ処理方法におけるインキュベーションについて説明するための図(4)である。FIG. 3D is a diagram (4) for explaining incubation in the plasma processing method according to one embodiment. 図3Eは、一実施形態に係るプラズマ処理方法におけるインキュベーションについて説明するための図(5)である。FIG. 3E is a diagram (5) for explaining incubation in the plasma processing method according to one embodiment. 図3Fは、一実施形態に係るプラズマ処理方法におけるインキュベーションについて説明するための図(6)である。FIG. 3F is a diagram (6) for explaining incubation in the plasma processing method according to one embodiment. 図4Aは、マスクに形成される開口部の寸法制御について説明するための図である。FIG. 4A is a diagram for explaining size control of an opening formed in a mask. 図4Bは、マスクに形成される開口部の一例について説明するための図である。FIG. 4B is a diagram for explaining an example of an opening formed in a mask. 図4Cは、図4Bのマスクを用いてエッチングを行った場合に形成されるパターンの一例を示す図である。FIG. 4C is a diagram showing an example of a pattern formed when etching is performed using the mask of FIG. 4B. 図5Aは、X-Yパターンについて説明するための図である。FIG. 5A is a diagram for explaining the XY pattern. 図5Bは、X-Yパターンの寸法制御例1について説明するための図である。FIG. 5B is a diagram for explaining example 1 of dimension control of the XY pattern. 図5Cは、X-Yパターンの寸法制御例2について説明するための図である。FIG. 5C is a diagram for explaining the second example of dimension control of the XY pattern. 図6は、一実施形態に係るプラズマ処理装置におけるプラズマ処理方法の大まかな流れの一例を示すフローチャートである。FIG. 6 is a flowchart illustrating an example of a general flow of a plasma processing method in a plasma processing apparatus according to an embodiment. 図7は、ローディング効果の一例について説明するための図である。FIG. 7 is a diagram for explaining an example of the loading effect. 図8Aは、一実施形態に係るプラズマ処理方法によって得られるX>Yシュリンク効果について説明するための図(1)である。FIG. 8A is a diagram (1) for explaining the X>Y shrink effect obtained by the plasma processing method according to one embodiment. 図8Bは、一実施形態に係るプラズマ処理方法によって得られるX>Yシュリンク効果について説明するための図(2)である。FIG. 8B is a diagram (2) for explaining the X>Y shrink effect obtained by the plasma processing method according to one embodiment. 図9は、一実施形態のプラズマ処理方法を適用する処理対象の材料の組み合わせ例を示す図である。FIG. 9 is a diagram showing an example of a combination of materials to be processed to which the plasma processing method of one embodiment is applied. 図10Aは、変形例2に係るプラズマ処理方法の第1工程について説明するための図である。FIG. 10A is a diagram for explaining the first step of the plasma processing method according to Modification 2. FIG. 図10Bは、変形例2に係るプラズマ処理方法の第2工程について説明するための図である。FIG. 10B is a diagram for explaining the second step of the plasma processing method according to Modification 2.

以下に、開示する実施形態について、図面に基づいて詳細に説明する。なお、本実施形態は限定的なものではない。また、各実施形態は、処理内容を矛盾させない範囲で適宜組み合わせることが可能である。 Below, the disclosed embodiments will be described in detail based on the drawings. Note that this embodiment is not limited. Moreover, each embodiment can be combined as appropriate within the range that does not conflict with the processing contents.

<ALDにおけるインキュベーションのメカニズム>
実施形態について説明する前に、ALDにおけるインキュベーションのメカニズムについて説明する。
<Incubation mechanism in ALD>
Before describing the embodiments, the mechanism of incubation in ALD will be described.

図2A乃至図2Dは、一般的なALDの流れの一例を説明するための図である。図2Aは、ALDにおける前駆体ガスの化学吸着ステップを説明するための図である。図2Bは、ALDにおける前駆体ガスのパージステップを説明するための図である。図2Cは、ALDにおける反応ガスによる活性化ステップを説明するための図である。図2Dは、ALDにおける反応ガスのパージステップを説明するための図である。ALDは、図2A乃至図2Dに示すように、通常、次の4つのステップを含む。
(1)処理室内に配置した処理対象(たとえば半導体基板)を前駆体ガスに晒す化学吸着ステップ(図2A参照)
(2)処理室内に残留した前駆体ガスをパージするステップ(図2B参照)
(3)処理室内に配置した処理対象を反応ガスに晒す反応ステップ(図2C参照)
(4)処理室内に残留した反応ガスをパージするステップ(図2D参照)
なお、以下の説明では、(3)の反応ステップは反応ガスをプラズマ化させて実行するものとする。ALDでは上記ステップ(1)から(4)が繰り返し実行され、処理対象上に膜が形成される。なお、パージステップ(2)および(4)は任意のステップであり、必ずしも実行しなくてもよい。
2A to 2D are diagrams for explaining an example of a general ALD flow. FIG. 2A is a diagram for explaining a chemical adsorption step of a precursor gas in ALD. FIG. 2B is a diagram for explaining a precursor gas purge step in ALD. FIG. 2C is a diagram for explaining an activation step using a reactive gas in ALD. FIG. 2D is a diagram for explaining a reaction gas purge step in ALD. ALD typically includes the following four steps, as shown in FIGS. 2A-2D.
(1) Chemical adsorption step in which a processing target (for example, a semiconductor substrate) placed in a processing chamber is exposed to a precursor gas (see Figure 2A)
(2) Purging the precursor gas remaining in the processing chamber (see Figure 2B)
(3) Reaction step of exposing the processing target placed in the processing chamber to the reaction gas (see Figure 2C)
(4) Purging the reaction gas remaining in the processing chamber (see Figure 2D)
In the following description, it is assumed that the reaction step (3) is performed by turning the reaction gas into plasma. In ALD, the above steps (1) to (4) are repeatedly executed to form a film on the processing target. Note that purge steps (2) and (4) are optional steps and do not necessarily have to be performed.

ALDにおいては、たとえば前駆体ガスとしてシリコン含有ガスを用い反応ガスとしてO含有ガスを用いて、処理対象上にSiO2膜を堆積することができる。この場合、まずステップ(1)において、処理室内に配置された処理対象を前駆体ガスであるシリコン含有ガスに晒す。すると、処理対象の表面にシリコン含有ガスが化学吸着する。処理対象に化学吸着せず処理室内に残存した前駆体ガスは、ステップ(2)においてパージされる。その後、ステップ(3)においてO含有ガスがプラズマ化され、酸素ラジカルと処理対象に化学吸着したシリコン含有分子とが反応して(シリコンを酸化させて)、SiO2膜1層を形成する。処理室内に残存したO含有ガスはステップ(4)においてパージされる。ALDは基本的に1層ずつ膜を形成していき処理対象上に原子が化学吸着する表面がなくなれば処理が停止するため、自己制御的にコンフォーマルな膜を形成することができる。 In ALD, a SiO2 film can be deposited on a processing object using, for example, a silicon-containing gas as a precursor gas and an O-containing gas as a reaction gas. In this case, first, in step (1), a processing target placed in a processing chamber is exposed to a silicon-containing gas that is a precursor gas. Then, the silicon-containing gas is chemically adsorbed onto the surface of the object to be treated. The precursor gas remaining in the processing chamber without being chemically adsorbed onto the processing object is purged in step (2). Thereafter, in step (3), the O-containing gas is turned into plasma, and the oxygen radicals react with silicon-containing molecules chemically adsorbed on the object to be treated (oxidizing silicon) to form one layer of SiO2 film. The O-containing gas remaining in the processing chamber is purged in step (4). ALD basically forms a film one layer at a time, and the process stops when there is no surface on which atoms can be chemically adsorbed on the object to be processed, so it is possible to form a conformal film in a self-controlled manner.

ところで、前駆体ガスの化学吸着を阻害する因子(以下インヒビターとも呼ぶ)が処理対象表面上に存在すると、ステップ(1)において処理対象に前駆体ガスが化学吸着せずALDによる成膜が行われない。かかる阻害因子等によって生じる成膜開始の遅れのことを、インキュベーションと呼ぶ。図3A乃至図3Fは、一実施形態に係るプラズマ処理方法におけるインキュベーションについて説明するための図(1)乃至(6)である。 By the way, if a factor that inhibits the chemical adsorption of the precursor gas (hereinafter also referred to as an inhibitor) is present on the surface of the processing object, the precursor gas will not be chemically adsorbed to the processing object in step (1) and film formation by ALD will not be performed. do not have. The delay in the start of film formation caused by such inhibitory factors is called incubation. 3A to 3F are diagrams (1) to (6) for explaining incubation in the plasma processing method according to one embodiment.

図3Aは、CF(フルオロカーボン)を用いた化学気相成長(CVD:Chemical Vapor Deposition)等により処理対象の表面上にCF膜が形成された状態を示している。図3A中、フッ素原子(CF:炭素に共有結合したフッ素原子)を黒い丸で示す。また、処理対象(基板)の原子は、白い丸で示す。 FIG. 3A shows a state in which a CF film is formed on the surface of the object to be processed by chemical vapor deposition (CVD) using CF (fluorocarbon). In FIG. 3A, fluorine atoms (CF: fluorine atoms covalently bonded to carbon) are indicated by black circles. Furthermore, atoms to be processed (substrate) are indicated by white circles.

図3Bは、図3Aに示す処理対象に対してALDサイクルを1回実行した状態の一例を示す。処理対象表面にはインヒビターであるCF膜が存在するため、前駆体ガス(シリコン含有ガス)が化学吸着せずALDによる成膜は行われない。逆にALDサイクル中の酸素プラズマで生成される酸素ラジカルの影響により処理対象表面からCF膜が少しずつ除去されていく。 FIG. 3B shows an example of a state in which an ALD cycle has been performed once on the processing target shown in FIG. 3A. Since a CF film as an inhibitor exists on the surface to be treated, the precursor gas (silicon-containing gas) is not chemically adsorbed and film formation by ALD is not performed. Conversely, the CF film is gradually removed from the surface to be processed due to the influence of oxygen radicals generated by oxygen plasma during the ALD cycle.

図3Cは、図3Aに示す処理対象に対してALDサイクルを5回実行した状態の一例を示す。図3Cの例では、5回のALDサイクルによりCF膜がすべて除去されている。 FIG. 3C shows an example of a state in which the ALD cycle has been performed five times on the processing target shown in FIG. 3A. In the example of FIG. 3C, all of the CF film has been removed by five ALD cycles.

図3D、図3E、図3Fはそれぞれ、図3Aに示す処理対象に対してALDサイクルを6回、8回、10回実行した状態の一例を示す。図3Cに示すように、ALDサイクルを5回実行することでCF膜は除去され、CF膜の下の層が露出する。この状態の処理対象表面には、前駆体ガスが化学吸着可能な物質が存在するため、前駆体ガスが化学吸着し反応ガスと反応して図3Dに示すように成膜が開始する。その後、図3E,図3Fに示すようにALDサイクルが実行されるごとに膜厚が増加していく。図3D,図3E,図3F中、上から2層目の丸はSi含有前駆体ガス、一番上の丸は酸素原子を示す。 3D, FIG. 3E, and FIG. 3F show examples of states in which the ALD cycle is executed 6 times, 8 times, and 10 times for the processing target shown in FIG. 3A, respectively. As shown in FIG. 3C, by performing five ALD cycles, the CF film is removed and the layer beneath the CF film is exposed. Since there is a substance that can chemically adsorb the precursor gas on the surface to be treated in this state, the precursor gas chemically adsorbs and reacts with the reaction gas, and film formation starts as shown in FIG. 3D. Thereafter, as shown in FIGS. 3E and 3F, the film thickness increases each time an ALD cycle is performed. In FIGS. 3D, 3E, and 3F, the circles in the second layer from the top indicate the Si-containing precursor gas, and the circles at the top indicate oxygen atoms.

<X-Yパターンの制御>
ところで、半導体装置の製造時には、基板上に様々なパターンが形成される。たとえば、一つの基板上に、同様の形状を有する開口部を複数形成する場合がある。かかる場合、開口部の寸法の精密な制御が半導体装置の性能に影響する。
<X-Y pattern control>
By the way, when manufacturing a semiconductor device, various patterns are formed on a substrate. For example, a plurality of openings having similar shapes may be formed on one substrate. In such cases, precise control of the dimensions of the opening affects the performance of the semiconductor device.

図4Aは、マスクに形成される開口部の寸法制御について説明するための図である。図4Aに示す基板Sは、自己整合型ダブルパターニングによって形成されている。このため、基板Sの表面には、各々異なる種類の材料A(コア)、材料B(スペーサ)、材料C(フィル)で形成されるラインがA,B,C,B,A,B,C,B,Aの順に並んでいる。以下、材料Aで形成されるラインをラインA、材料Bで形成されるラインをラインB、材料Cで形成されるラインをラインCと呼ぶ。ここで、図4A中点線で示す形状のマスクを用いて基板Sのエッチングを実行することを考える。図4A中には、2つの端部がそれぞれ別のラインC上に位置するように形成される開口部O1,O2,O4,O5,O6,O7と、別のラインA上に位置するように形成される開口部O3とが示されている。なお、説明の便宜上、図4A乃至図4Cに示すラインの長手方向をX1方向、ラインを横切る方向をY1方向と呼ぶ。 FIG. 4A is a diagram for explaining size control of an opening formed in a mask. The substrate S shown in FIG. 4A is formed by self-aligned double patterning. Therefore, on the surface of the substrate S, there are lines A, B, C, B, A, B, C formed of different types of material A (core), material B (spacer), and material C (fill). , B, and A are arranged in that order. Hereinafter, a line formed using material A will be referred to as line A, a line formed using material B will be referred to as line B, and a line formed using material C will be referred to as line C. Here, consider etching the substrate S using a mask having the shape shown by the dotted line in FIG. 4A. In FIG. 4A, openings O1, O2, O4, O5, O6, and O7 are formed such that their two ends are located on separate lines C, and openings O1, O2, O4, O5, O6, and O7 are formed such that their two ends are located on separate lines A, respectively. An opening O3 to be formed is shown. For convenience of explanation, the longitudinal direction of the line shown in FIGS. 4A to 4C will be referred to as the X1 direction, and the direction across the line will be referred to as the Y1 direction.

図4Aに示す形状通りのマスクを形成することができた場合にはその後エッチングにより形成されるパターンの形状にも大きな問題はない。しかし、図4Bに示すように、マスクの開口部が所望の位置からY1方向においてずれた位置に形成されたとする。図4Bは、マスクに形成される開口部の一例について説明するための図である。この場合、当該マスクを使用して基板Sのエッチングを実行すると、図4Cに示すように、基板S上に形成される開口部の位置がさらにY1方向にずれてラインCを繋ぐように形成されない可能性がある。図4Cは、図4Bのマスクを用いてエッチングを行った場合に形成されるパターンの一例を示す図である。図4Bに示すようなマスクが形成された場合、図4Cに示すような不良を発生させないためには、一旦形成されたマスクの開口部の寸法を調整することができれば便宜である。特に、図4Aに示す形状のマスクの場合、開口部の短辺方向の寸法制御よりも長辺方向の寸法制御がその後の配線形成に影響する。このような、上面視で短辺と長辺とを有する略矩形状の開口部をX-Yパターンと呼ぶことがある。 If a mask having the shape shown in FIG. 4A can be formed, there will be no major problem in the shape of a pattern formed by subsequent etching. However, as shown in FIG. 4B, suppose that the opening of the mask is formed at a position shifted from the desired position in the Y1 direction. FIG. 4B is a diagram for explaining an example of an opening formed in a mask. In this case, when the substrate S is etched using the mask, the position of the opening formed on the substrate S is further shifted in the Y1 direction, and the opening is not formed to connect the line C, as shown in FIG. 4C. there is a possibility. FIG. 4C is a diagram showing an example of a pattern formed when etching is performed using the mask of FIG. 4B. When a mask as shown in FIG. 4B is formed, in order to prevent defects as shown in FIG. 4C from occurring, it is convenient if the dimensions of the opening of the mask once formed can be adjusted. In particular, in the case of the mask having the shape shown in FIG. 4A, the size control in the long side direction of the opening has more influence on the subsequent wiring formation than the size control in the short side direction. Such a substantially rectangular opening having short sides and long sides when viewed from above is sometimes referred to as an XY pattern.

図5Aは、X-Yパターンについて説明するための図である。図5Aは、基板上に形成されたX-Yパターンの部分上面図である。図5Aに示す基板には、上面視で略矩形状の開口部が複数整列した状態で形成されている。複数の開口部は略同一の寸法を有する。なお、X-Yパターンは、上面視略矩形状だけでなく、上面視略楕円状であってもよい。X-Yパターンは、上面視で直交する2方向(X方向、Y方向)における寸法に差があるパターンを指すものとする。 FIG. 5A is a diagram for explaining the XY pattern. FIG. 5A is a partial top view of an XY pattern formed on a substrate. The substrate shown in FIG. 5A is formed with a plurality of substantially rectangular openings aligned in a top view. The plurality of openings have substantially the same dimensions. Note that the XY pattern may not only have a substantially rectangular shape when viewed from above, but may also have a substantially elliptical shape when viewed from above. The XY pattern refers to a pattern that has different dimensions in two orthogonal directions (X direction and Y direction) when viewed from above.

図5Bは、X-Yパターンの寸法制御例1について説明するための図である。図5Cは、X-Yパターンの寸法制御例2について説明するための図である。図5Bの例は、短辺Xの開口寸法を維持しつつ、長辺Yの開口寸法を小さくする制御例(X<Yシュリンク:X辺よりもY辺の減少量が大きくなるように開口部を小さくする)である。図5Bの例では、X-Yパターンが形成された後、Y辺が短くなるように基板上に成膜する。他方、図5Cの例は、短辺Xの開口寸法を小さくしつつ、長辺Yの開口寸法を維持する制御例(X>Yシュリンク:Y辺よりもX辺の減少量が大きくなるように開口部を小さくする)である。図5Cの例では、X-Yパターンが形成された後、X辺が短くなるように基板上に成膜する。 FIG. 5B is a diagram for explaining example 1 of dimension control of the XY pattern. FIG. 5C is a diagram for explaining the second example of dimension control of the XY pattern. The example in FIG. 5B is a control example in which the opening size on the long side Y is reduced while maintaining the opening size on the short side X (X<Y shrink: the opening is ). In the example of FIG. 5B, after the XY pattern is formed, a film is formed on the substrate so that the Y side becomes shorter. On the other hand, the example in FIG. 5C is a control example in which the opening size on the long side Y is maintained while reducing the opening size on the short side (make the opening smaller). In the example of FIG. 5C, after the XY pattern is formed, a film is formed on the substrate so that the X sides are shortened.

図4Cに示すような不良を発生させないためには、図4Bのマスクの開口部のX>Yシュリンク(図5C)を実行することで、開口部のY辺の減少量をできるだけ小さくすることができればよいと考えられる。 In order to prevent the defects shown in Figure 4C from occurring, it is possible to minimize the amount of decrease in the Y side of the opening by executing X>Y shrinkage (Figure 5C) of the opening in the mask shown in Figure 4B. It would be good if possible.

<実施形態>
上記に鑑みて、本実施形態に係るプラズマ処理装置は、基板上に形成されたパターンの状態に応じた膜厚差を有する第1の膜をCVDで成膜した後、第1の膜がインヒビターとして機能する材料を用いてALDサイクルを実行して第2の膜を成膜する。プラズマ処理装置は、たとえばローディング効果を利用して膜厚差を有する第1の膜をCVDで成膜する。その後、ALDサイクルを実行すると、第1の膜は徐々にプラズマの影響で削られていくが、第1の膜の膜厚に応じたインキュベーションタイムが生じる。このため、たとえば、第1の膜が厚く形成される位置には第2の膜が薄く形成され、第1の膜が薄く形成される位置には第2の膜が厚く形成されることになる。このように、本実施形態に係るプラズマ処理装置は、インキュベーションとローディング効果を利用して精密な寸法制御を実現する。
<Embodiment>
In view of the above, in the plasma processing apparatus according to the present embodiment, after forming a first film by CVD having a film thickness difference depending on the state of a pattern formed on a substrate, the first film is formed with an inhibitor. A second film is formed by performing an ALD cycle using a material that functions as a film. The plasma processing apparatus forms a first film having a difference in film thickness by CVD, for example, using a loading effect. After that, when an ALD cycle is executed, the first film is gradually scraped away by the influence of the plasma, but an incubation time is required depending on the thickness of the first film. For this reason, for example, the second film is formed thinly at a position where the first film is formed thickly, and the second film is formed thickly at a position where the first film is formed thinly. . In this manner, the plasma processing apparatus according to this embodiment utilizes incubation and loading effects to achieve precise dimensional control.

<実施形態に係るプラズマ処理装置の一例>
図1は、一実施形態に係るプラズマ処理装置10の構成の一例を示す図である。本実施例におけるプラズマ処理装置10は、例えば図1に示すように、表面が陽極酸化処理されたアルミニウム等により形成され、内部に略円筒形状の処理空間を画成するチャンバ21を有する。チャンバ21は、保安接地されている。本実施例におけるプラズマ処理装置10は、例えば容量結合型平行平板プラズマ処理装置として構成されている。チャンバ21内には、セラミックス等で形成された絶縁板22を介して支持台23が配置される。支持台23上には例えばアルミニウム等で形成され、下部電極として機能するサセプタ24が設けられている。
<An example of a plasma processing apparatus according to an embodiment>
FIG. 1 is a diagram showing an example of the configuration of a plasma processing apparatus 10 according to an embodiment. As shown in FIG. 1, for example, the plasma processing apparatus 10 in this embodiment is made of aluminum or the like whose surface has been anodized, and has a chamber 21 that defines a substantially cylindrical processing space inside. Chamber 21 is safety grounded. The plasma processing apparatus 10 in this embodiment is configured, for example, as a capacitively coupled parallel plate plasma processing apparatus. A support stand 23 is arranged within the chamber 21 with an insulating plate 22 formed of ceramics or the like interposed therebetween. A susceptor 24 is provided on the support base 23 and is made of, for example, aluminum and functions as a lower electrode.

サセプタ24の略中央上部には、処理対象の一例である半導体ウエハWを静電気力で吸着保持する静電チャック25が設けられている。静電チャック25は、導電膜等で形成された電極26を一対の絶縁層で挟んだ構造を有する。電極26には直流電源27が電気的に接続されている。なお、静電チャック25には、半導体ウエハWを加熱するための図示しないヒータが設けられてもよい。 An electrostatic chuck 25 is provided approximately at the upper center of the susceptor 24 to attract and hold a semiconductor wafer W, which is an example of a processing target, by electrostatic force. The electrostatic chuck 25 has a structure in which an electrode 26 made of a conductive film or the like is sandwiched between a pair of insulating layers. A DC power source 27 is electrically connected to the electrode 26 . Note that the electrostatic chuck 25 may be provided with a heater (not shown) for heating the semiconductor wafer W.

サセプタ24の上部には、静電チャック25を囲むようにフォーカスリング25aが配置されている。フォーカスリング25aにより、半導体ウエハWのエッジ付近におけるプラズマの均一性が向上する。フォーカスリング25aは、例えば単結晶シリコン等により形成される。支持台23およびサセプタ24の周囲には、支持台23およびサセプタ24を囲むように、内壁部材28が設けられている。内壁部材28は、例えば石英等により略円筒状に形成されている。 A focus ring 25a is arranged above the susceptor 24 so as to surround the electrostatic chuck 25. The focus ring 25a improves the uniformity of plasma near the edge of the semiconductor wafer W. The focus ring 25a is made of, for example, single crystal silicon. An inner wall member 28 is provided around the support base 23 and the susceptor 24 so as to surround the support base 23 and the susceptor 24. The inner wall member 28 is made of, for example, quartz and has a substantially cylindrical shape.

支持台23の内部には、例えば支持台23の周方向に沿って冷媒室29が形成されている。冷媒室29には、外部に設けられた図示しないチラーユニットから配管30aおよび配管30bを介して、所定温度の冷媒が循環供給される。冷媒室29内を所定温度の冷媒が循環することにより、冷媒との熱交換により静電チャック25上の半導体ウエハWを所定の温度に制御することができる。また、図示しないガス供給機構から供給された伝熱ガスが、配管31を介して静電チャック25の上面と、静電チャック25上に載置された半導体ウエハWの裏面との間に供給される。伝熱ガスは、例えばヘリウムガスである。 A refrigerant chamber 29 is formed inside the support base 23, for example, along the circumferential direction of the support base 23. A refrigerant at a predetermined temperature is circulated and supplied to the refrigerant chamber 29 from an externally provided chiller unit (not shown) via piping 30a and piping 30b. By circulating the refrigerant at a predetermined temperature in the refrigerant chamber 29, the temperature of the semiconductor wafer W on the electrostatic chuck 25 can be controlled to a predetermined temperature through heat exchange with the refrigerant. Further, heat transfer gas supplied from a gas supply mechanism (not shown) is supplied between the top surface of the electrostatic chuck 25 and the back surface of the semiconductor wafer W placed on the electrostatic chuck 25 via the piping 31. Ru. The heat transfer gas is, for example, helium gas.

下部電極として機能するサセプタ24の上方には、チャンバ21内の処理空間を介してサセプタ24と対向するように上部電極40が設けられている。上部電極40とサセプタ24との間の空間であって、チャンバ21に囲まれた空間が、プラズマが生成される処理空間である。上部電極40は、電極本体部として機能する天板42と、天板42を支持する天板支持部41とを有する。 Above the susceptor 24 functioning as a lower electrode, an upper electrode 40 is provided so as to face the susceptor 24 across the processing space in the chamber 21 . A space between the upper electrode 40 and the susceptor 24 and surrounded by the chamber 21 is a processing space in which plasma is generated. The upper electrode 40 includes a top plate 42 that functions as an electrode main body, and a top plate support portion 41 that supports the top plate 42.

天板支持部41は、絶縁性部材45を介して、チャンバ21の上部に支持されている。天板支持部41は、例えば表面が陽極酸化処理されたアルミニウム等の、熱伝導性が比較的に高い導電性材料により略円板状に形成されている。また、天板支持部41は、処理空間で生成されたプラズマによって加熱された天板42を冷却する冷却板としても機能する。天板支持部41には、処理ガスを導入するガス導入口46と、ガス導入口46から導入された処理ガスを拡散させる拡散室43と、拡散室43内に拡散された処理ガスを下方に通流させる流路である複数の流通口43aとが形成されている。 The top plate support section 41 is supported on the upper part of the chamber 21 via an insulating member 45. The top plate support portion 41 is formed into a substantially disk shape and made of a conductive material with relatively high thermal conductivity, such as aluminum whose surface is anodized. Further, the top plate support portion 41 also functions as a cooling plate that cools the top plate 42 heated by the plasma generated in the processing space. The top support part 41 includes a gas introduction port 46 for introducing processing gas, a diffusion chamber 43 for diffusing the processing gas introduced from the gas introduction port 46, and a diffusion chamber 43 for dispersing the processing gas diffused into the diffusion chamber 43 downward. A plurality of flow ports 43a, which are flow paths for allowing flow to flow, are formed.

天板42は、例えば石英等のケイ素含有物質により略円板状に形成される。天板42には、天板42を天板42の厚さ方向に貫く複数のガス導入口42aが形成されている。各ガス導入口42aは、天板支持部41の流通口43aのいずれかと連通するように配置されている。これにより、拡散室43内に供給された処理ガスは、流通口43aおよびガス導入口42aを介してチャンバ21内にシャワー状に拡散されて供給される。 The top plate 42 is made of a silicon-containing material such as quartz and has a substantially disk shape. A plurality of gas introduction ports 42a are formed in the top plate 42, passing through the top plate 42 in the thickness direction of the top plate 42. Each gas introduction port 42a is arranged so as to communicate with any one of the flow ports 43a of the top plate support section 41. Thereby, the processing gas supplied into the diffusion chamber 43 is diffused and supplied into the chamber 21 through the flow port 43a and the gas introduction port 42a in the form of a shower.

天板支持部41のガス導入口46には、配管47を介して複数のバルブ50a~50cが接続されている。バルブ50aには、マスフローコントローラ(MFC)49aを介して、ガス供給源48aが接続されている。バルブ50aが開状態、即ちオープン状態に制御された場合、ガス供給源48aから供給された処理ガスは、MFC49aによって流量が制御され、配管47を介してチャンバ21内に供給される。ガス供給源48aは、例えば前駆体ガスをチャンバ21内に供給する。 A plurality of valves 50a to 50c are connected to the gas inlet 46 of the top support portion 41 via piping 47. A gas supply source 48a is connected to the valve 50a via a mass flow controller (MFC) 49a. When the valve 50a is controlled to be in the open state, the processing gas supplied from the gas supply source 48a is supplied into the chamber 21 through the pipe 47 with the flow rate controlled by the MFC 49a. The gas supply source 48a supplies, for example, a precursor gas into the chamber 21.

また、バルブ50bには、MFC49bを介して、ガス供給源48bが接続されている。バルブ50bが開状態に制御された場合、ガス供給源48bから供給されたガスは、MFC49bによって流量が制御され、配管47を介してチャンバ21内に供給される。ガス供給源48bは、例えばパージガスをチャンバ21内に供給する。パージガスとしては、例えば、アルゴンガスや窒素ガス等の不活性ガスが用いられる。 Further, a gas supply source 48b is connected to the valve 50b via an MFC 49b. When the valve 50b is controlled to be open, the gas supplied from the gas supply source 48b is supplied into the chamber 21 through the pipe 47 with the flow rate controlled by the MFC 49b. The gas supply source 48b supplies purge gas into the chamber 21, for example. As the purge gas, for example, an inert gas such as argon gas or nitrogen gas is used.

また、バルブ50cには、MFC49cを介して、ガス供給源48cが接続されている。バルブ50cが開状態に制御された場合、ガス供給源48cから供給されたガスは、MFC49cによって流量が制御され、配管47を介してチャンバ21内に供給される。ガス供給源48cは、例えば反応ガスをチャンバ21内に供給する。 Further, a gas supply source 48c is connected to the valve 50c via an MFC 49c. When the valve 50c is controlled to be open, the gas supplied from the gas supply source 48c is supplied into the chamber 21 through the pipe 47 with the flow rate controlled by the MFC 49c. The gas supply source 48c supplies, for example, a reaction gas into the chamber 21.

なお、前駆体ガスおよび反応性ガスをチャンバ21に供給するに当たって、前駆体ガスおよび反応性ガスの使用量の削減ならびにチャンバ21の内部におけるガス分布の均一化などの生産性を目的とした添加ガスが用いられてもよい。添加ガスとしては、例えばアルゴンガスや窒素ガス等の不活性ガスを用いることができる。例えば、バルブ50aおよびMFC49aを介してガス供給源48aから供給される前駆体ガスに、バルブ50bおよびMFC49bを介してガス供給源48bから供給される不活性ガスが添加されてもよい。また、例えば、バルブ50cおよびMFC49cを介してガス供給源48cから供給される反応ガスに、バルブ50bおよびMFC49bを介してガス供給源48bから供給される不活性ガスが添加されてもよい。 In addition, when supplying the precursor gas and the reactive gas to the chamber 21, an additive gas is added for the purpose of productivity such as reducing the usage amount of the precursor gas and the reactive gas and making the gas distribution uniform inside the chamber 21. may be used. As the additive gas, for example, an inert gas such as argon gas or nitrogen gas can be used. For example, an inert gas supplied from gas supply source 48b via valve 50b and MFC 49b may be added to the precursor gas supplied from gas supply source 48a via valve 50a and MFC 49b. Further, for example, an inert gas supplied from the gas supply source 48b via the valve 50b and the MFC 49b may be added to the reaction gas supplied from the gas supply source 48c via the valve 50c and the MFC 49c.

それぞれのMFC49a~49cによる各ガスの流量の調整、および、それぞれのバルブ50a~50cの開閉は、後述する制御装置60によって制御される。 Adjustment of the flow rate of each gas by each of the MFCs 49a to 49c and opening and closing of each of the valves 50a to 50c are controlled by a control device 60, which will be described later.

上部電極40には、整合器51を介して高周波電源52が電気的に接続されている。高周波電源52は、例えば40MHz程度のプラズマ励起用の高周波電力(HF:High Frequency)を上部電極40に供給する。高周波電源52から供給される高周波電力は、後述する制御装置60によって制御される。 A high frequency power source 52 is electrically connected to the upper electrode 40 via a matching box 51. The high frequency power supply 52 supplies high frequency power (HF) for plasma excitation of about 40 MHz to the upper electrode 40, for example. The high frequency power supplied from the high frequency power supply 52 is controlled by a control device 60, which will be described later.

下部電極として機能するサセプタ24には、整合器33を介して高周波電源34が電気的に接続されている。高周波電源34は、バイアス用の高周波電力(LF:Low Frequency)をサセプタ24に印加する。高周波電源34は、13.56MHz以下の周波数、例えば2MHzの高周波電力を、整合器33を介してサセプタ24に供給する。高周波電力がサセプタ24に供給されることにより、プラズマ中のイオン等の活性種が静電チャック25上の半導体ウエハWに引き込まれる。高周波電源34から供給される高周波電力は、後述する制御装置60によって制御される。 A high frequency power source 34 is electrically connected to the susceptor 24 functioning as a lower electrode via a matching box 33. The high frequency power supply 34 applies high frequency power (LF: Low Frequency) for bias to the susceptor 24 . The high frequency power supply 34 supplies high frequency power at a frequency of 13.56 MHz or lower, for example, 2 MHz, to the susceptor 24 via the matching box 33. By supplying high frequency power to the susceptor 24, active species such as ions in the plasma are drawn into the semiconductor wafer W on the electrostatic chuck 25. The high frequency power supplied from the high frequency power supply 34 is controlled by a control device 60, which will be described later.

チャンバ21の側壁には開口78が形成されており、開口78には、配管38が接続されている。配管38は、2つに分岐しており、一方には、バルブ37aの一端が接続されており、他方には、バルブ37bの一端が接続されている。バルブ37aの他端は、配管38aを介して圧力計36aに接続されており、バルブ37bの他端は、配管38bを介して圧力計36bに接続されている。圧力計36aおよび36bは、例えばキャパシタンスマノメータである。 An opening 78 is formed in the side wall of the chamber 21, and the pipe 38 is connected to the opening 78. The piping 38 is branched into two, one end of which is connected to a valve 37a, and the other end is connected to one end of a valve 37b. The other end of the valve 37a is connected to a pressure gauge 36a via a pipe 38a, and the other end of the valve 37b is connected to a pressure gauge 36b via a pipe 38b. The pressure gauges 36a and 36b are, for example, capacitance manometers.

バルブ37aが開状態に制御されることにより、配管38と配管38aとが連通する。これにより、チャンバ21の側壁に形成された開口78を介して、圧力計36aがチャンバ21内の処理空間に曝露される。これにより、圧力計36aは、処理空間内の圧力を測定することができる。一方、バルブ37aが閉状態、即ちクローズ状態に制御されることにより、配管38と配管38aとが遮断される。これにより、チャンバ21内の処理空間に対して圧力計36aが遮蔽される。 By controlling the valve 37a to an open state, the pipe 38 and the pipe 38a communicate with each other. Thereby, the pressure gauge 36a is exposed to the processing space inside the chamber 21 through the opening 78 formed in the side wall of the chamber 21. Thereby, the pressure gauge 36a can measure the pressure within the processing space. On the other hand, by controlling the valve 37a to a closed state, that is, to a closed state, the pipe 38 and the pipe 38a are cut off. Thereby, the pressure gauge 36a is shielded from the processing space within the chamber 21.

また、バルブ37bが開状態に制御されることにより、配管38と配管38bとが連通する。これにより、チャンバ21の側壁に形成された開口78を介して、圧力計36bがチャンバ21内の処理空間に曝露される。これにより、圧力計36bは、処理空間内の圧力を測定することができる。一方、バルブ37bが閉状態に制御されることにより、配管38と配管38bとが遮断される。これにより、チャンバ21内の処理空間に対して圧力計36bが遮蔽される。バルブ37aおよび37bの開閉制御は、後述する制御装置60によって行われる。 In addition, by controlling the valve 37b to be in an open state, the pipe 38 and the pipe 38b communicate with each other. Thereby, the pressure gauge 36b is exposed to the processing space in the chamber 21 through the opening 78 formed in the side wall of the chamber 21. Thereby, the pressure gauge 36b can measure the pressure within the processing space. On the other hand, by controlling the valve 37b to a closed state, the pipe 38 and the pipe 38b are cut off. Thereby, the pressure gauge 36b is shielded from the processing space within the chamber 21. Opening and closing control of the valves 37a and 37b is performed by a control device 60, which will be described later.

チャンバ21の底部には排気口71が設けられ、排気口71には排気管72を介して排気装置73が接続されている。排気装置73は、例えばDP(Dry Pump)やTMP(Turbo Molecular Pump)等の真空ポンプを有しており、チャンバ21内を所望の真空度まで減圧することができる。排気装置73の排気量等は、後述する制御装置60によって制御される。例えば、ガス供給源48aから前駆体ガスがチャンバ21内に供給される場合、制御装置60は、バルブ37aを開状態に制御し、バルブ37bを閉状態に制御する。そして、圧力計36aによって測定されたチャンバ21内の圧力に基づいて、排気装置73の排気量等を制御することにより、チャンバ21内の圧力を所定の圧力に制御する。また、例えば、ガス供給源48cから反応ガスがチャンバ21内に供給される場合、制御装置60は、バルブ37aを閉状態に制御し、バルブ37bを開状態に制御する。そして、圧力計36bによって測定されたチャンバ21内の圧力に基づいて、排気装置73の排気量等を制御することにより、チャンバ21内の圧力を所定の圧力に制御する。 An exhaust port 71 is provided at the bottom of the chamber 21 , and an exhaust device 73 is connected to the exhaust port 71 via an exhaust pipe 72 . The exhaust device 73 includes a vacuum pump such as a DP (Dry Pump) or a TMP (Turbo Molecular Pump), and can reduce the pressure inside the chamber 21 to a desired degree of vacuum. The displacement of the exhaust device 73 and the like are controlled by a control device 60, which will be described later. For example, when the precursor gas is supplied into the chamber 21 from the gas supply source 48a, the control device 60 controls the valve 37a to be open and the valve 37b to be closed. Then, the pressure in the chamber 21 is controlled to a predetermined pressure by controlling the exhaust amount of the exhaust device 73, etc. based on the pressure in the chamber 21 measured by the pressure gauge 36a. Further, for example, when a reaction gas is supplied into the chamber 21 from the gas supply source 48c, the control device 60 controls the valve 37a to be in a closed state and the valve 37b to be in an open state. Then, the pressure in the chamber 21 is controlled to a predetermined pressure by controlling the exhaust amount of the exhaust device 73, etc. based on the pressure in the chamber 21 measured by the pressure gauge 36b.

チャンバ21の側壁には半導体ウエハWの搬入および搬出を行うための開口74が設けられている。開口74は、ゲートバルブGにより開閉可能となっている。また、チャンバ21の内壁には、壁面に沿ってデポシールド76が着脱自在に設けられている。また、内壁部材28の外周面には、内壁部材28の外周面に沿って、デポシールド77が着脱自在に設けられている。デポシールド76および77は、チャンバ21の内壁および内壁部材28に反応副生成物(デポ)が付着することを防止する。静電チャック25上に載置された半導体ウエハWと略同じ高さのデポシールド76の位置には、グランドに接続された導電性部材(GNDブロック)79が設けられている。GNDブロック79により、チャンバ21内の異常放電が防止される。 An opening 74 for loading and unloading the semiconductor wafer W is provided in the side wall of the chamber 21 . The opening 74 can be opened and closed by a gate valve G. Further, a deposit shield 76 is detachably provided on the inner wall of the chamber 21 along the wall surface. Further, a deposit shield 77 is detachably provided on the outer circumferential surface of the inner wall member 28 along the outer circumferential surface of the inner wall member 28 . The deposit shields 76 and 77 prevent reaction byproducts (deposits) from adhering to the inner wall of the chamber 21 and the inner wall member 28. A conductive member (GND block) 79 connected to the ground is provided at a position of the deposit shield 76 at approximately the same height as the semiconductor wafer W placed on the electrostatic chuck 25 . The GND block 79 prevents abnormal discharge within the chamber 21 .

上記したプラズマ処理装置10は、制御装置60によって、その動作が統括的に制御される。制御装置60は、例えばROM(Read Only Memory)やRAM(Random Access Memory)等のメモリ61と、例えばCPU(Central Processing Unit)やDSP(Digital Signal Processor)等のプロセッサ62と、ユーザインターフェイス63とを有する。ユーザインターフェイス63は、例えば、工程管理者等のユーザがプラズマ処理装置10を管理するためにコマンドの入力操作を行うキーボードや、プラズマ処理装置10の稼動状況を可視化して表示するディスプレイ等を含む。 The operation of the plasma processing apparatus 10 described above is totally controlled by the control device 60. The control device 60 includes a memory 61 such as a ROM (Read Only Memory) or a RAM (Random Access Memory), a processor 62 such as a CPU (Central Processing Unit) or a DSP (Digital Signal Processor), and a user interface 63. have The user interface 63 includes, for example, a keyboard through which a user such as a process manager inputs commands to manage the plasma processing apparatus 10, a display that visualizes and displays the operating status of the plasma processing apparatus 10, and the like.

メモリ61には、プラズマ処理装置10において各種処理を実現するための処理条件データ等を含むレシピや、制御プログラム(ソフトウエア)が格納されている。そして、プロセッサ62が、ユーザインターフェイス63を介したユーザからの指示に応じて任意のレシピをメモリ61から呼び出して実行することにより、プラズマ処理装置10の各部を制御する。これにより、プラズマ処理装置10によって成膜等の所望の処理が行われる。なお、処理条件データ等を含むレシピや制御プログラムは、コンピュータで読み取り可能な記録媒体等に格納された状態のものを利用したり、あるいは、他の装置から、例えば通信回線を介して伝送されたものを利用したりすることも可能である。コンピュータで読み取り可能な記録媒体とは、例えば、ハードディスク、CD(Compact Disc)、DVD(Digital Versatile Disc)、フレキシブルディスク、半導体メモリ等である。 The memory 61 stores recipes including process condition data and the like for realizing various processes in the plasma processing apparatus 10, and control programs (software). Then, the processor 62 controls each part of the plasma processing apparatus 10 by reading an arbitrary recipe from the memory 61 and executing it in response to an instruction from the user via the user interface 63. Thereby, desired processing such as film formation is performed by the plasma processing apparatus 10. Note that recipes and control programs containing processing condition data, etc. may be stored in a computer-readable recording medium, or may be transmitted from another device, for example, via a communication line. It is also possible to use things. Examples of computer-readable recording media include hard disks, CDs (Compact Discs), DVDs (Digital Versatile Discs), flexible disks, and semiconductor memories.

なお、ここでは一例としてプラズマ源として容量結合型プラズマ(CCP:Capacitively Coupled Plasma)を用いたプラズマ処理装置10を説明するが、開示の技術はこれに限られず、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)や、マイクロ波プラズマなど、任意のプラズマ源を用いたプラズマ処理装置10を採用することができる。 Note that although a plasma processing apparatus 10 using capacitively coupled plasma (CCP) as a plasma source will be described here as an example, the disclosed technology is not limited to this; Plasma processing apparatus 10 using any plasma source such as plasma or microwave plasma can be employed.

<一実施形態に係るプラズマ処理方法の流れの一例>
図6は、一実施形態に係るプラズマ処理装置10におけるプラズマ処理方法の大まかな流れの一例を示すフローチャートである。
<Example of flow of plasma processing method according to one embodiment>
FIG. 6 is a flowchart illustrating an example of the general flow of a plasma processing method in the plasma processing apparatus 10 according to an embodiment.

まず、プラズマ処理装置10のチャンバ21内に処理対象(たとえばウエハW)が載置される。プラズマ処理装置10はまず、処理対象の表面上にマスク層を形成する(ステップS61)。次に、プラズマ処理装置10は、エッチングによりマスク層にパターンを形成する(ステップS62)。パターンはたとえば、X-Yパターンを有する開口部を含む。ここで、ステップS61およびS62は、プラズマ処理装置10内で実行せず、他の装置において実行するものとしてもよい。たとえば、他の装置においてウエハWにマスク層とパターンとを形成した後、ウエハWをプラズマ処理装置10のチャンバ21内に移動させて以下の処理を実行してもよい。 First, a processing target (for example, a wafer W) is placed in the chamber 21 of the plasma processing apparatus 10 . The plasma processing apparatus 10 first forms a mask layer on the surface of the processing target (step S61). Next, the plasma processing apparatus 10 forms a pattern on the mask layer by etching (step S62). The pattern includes, for example, openings having an XY pattern. Here, steps S61 and S62 may not be executed within the plasma processing apparatus 10, but may be executed in another apparatus. For example, after forming a mask layer and a pattern on the wafer W in another apparatus, the wafer W may be moved into the chamber 21 of the plasma processing apparatus 10 and the following process may be performed.

次に、プラズマ処理装置10は、形成したパターンの上から、成膜してインヒビターとなるガスを用いたCVDを実行する(ステップS63、第1の工程)。CVDにより、処理対象上のパターンの形状に応じて異なる厚みを有する第1の膜(以下、インヒビター層とも呼ぶ)が形成される。次に、プラズマ処理装置10は、第1の膜の上からALDサイクルを所定回数実行する(ステップS64、第2の工程)。ALDサイクルによって、処理対象上に第2の膜が形成される。その後、プラズマ処理装置10は所定条件が満足されたか否かを判定する(ステップS65)。所定条件が満足されたと判定する(ステップS65、Yes)と、プラズマ処理装置10は処理を終了する。他方、所定条件が満足されていないと判定する(ステップS65、No)と、プラズマ処理装置10はステップS63に戻って処理を繰り返す。これが一実施形態に係るプラズマ処理方法の大まかな流れである。なお、ステップS64の後に別の処理を実行するように構成してもよい。以下の説明中、ステップS63からステップS64までの処理1回を1シーケンスとも呼ぶ。 Next, the plasma processing apparatus 10 performs CVD using a gas that forms a film and becomes an inhibitor from above the formed pattern (step S63, first step). By CVD, a first film (hereinafter also referred to as an inhibitor layer) having a thickness that varies depending on the shape of a pattern on the processing target is formed. Next, the plasma processing apparatus 10 executes the ALD cycle a predetermined number of times from above the first film (step S64, second process). The ALD cycle forms a second film on the object to be processed. After that, the plasma processing apparatus 10 determines whether a predetermined condition is satisfied (step S65). If it is determined that the predetermined condition is satisfied (step S65, Yes), the plasma processing apparatus 10 ends the processing. On the other hand, if it is determined that the predetermined condition is not satisfied (step S65, No), the plasma processing apparatus 10 returns to step S63 and repeats the process. This is the general flow of the plasma processing method according to one embodiment. Note that another process may be executed after step S64. In the following description, one process from step S63 to step S64 is also referred to as one sequence.

<第1の膜の膜厚>
プラズマ処理装置10がCVDにより形成するインヒビター層となる第1の膜の膜厚は、種々の要因によって決定される。たとえば、ローディング効果を利用することで、プラズマ処理装置10は、第1の膜を所望の膜厚に形成することができる。ローディング効果は、パターンの粗密度によって成膜される膜の膜厚等が変動する現象である。たとえば、パターン自体の大きさ、たとえば開口部の開口面積によって成膜後の開口寸法が変動する。また、当該パターンの周囲にあるパターンの形状や配置によって成膜後の開口寸法が変動する。
<Film thickness of first film>
The thickness of the first film forming the inhibitor layer formed by the plasma processing apparatus 10 by CVD is determined by various factors. For example, by utilizing the loading effect, the plasma processing apparatus 10 can form the first film to a desired thickness. The loading effect is a phenomenon in which the thickness of a deposited film varies depending on the coarse density of the pattern. For example, the size of the opening after film formation varies depending on the size of the pattern itself, such as the opening area of the opening. Furthermore, the opening dimensions after film formation vary depending on the shape and arrangement of patterns around the pattern.

ローディング効果が生じる一つの理由は、開口部のアスペクト比によって、開口側からガス等の成膜材料が開口部内に侵入できる角度が決定され、結果的に開口部に入り込む成膜材料の量が決定されるためであると考えられる。図7は、ローディング効果の一例について説明するための図である。図7に示すように、処理対象上の開口部のアスペクト比が小さい場合、材料の侵入角度(Ω)が大きくなる。他方、開口部のアスペクト比が大きい場合、材料の侵入角度が小さくなる。このため、各開口部の成膜量は侵入角度に応じて変動する。結果として、小さな開口のX辺における成膜量は、大きな開口のY辺における成膜量よりも小さくなる。 One reason why the loading effect occurs is that the aspect ratio of the opening determines the angle at which the deposition material such as gas can enter the opening from the opening side, which in turn determines the amount of deposition material that can enter the opening. It is thought that this is because the FIG. 7 is a diagram for explaining an example of the loading effect. As shown in FIG. 7, when the aspect ratio of the opening on the processing target is small, the penetration angle (Ω) of the material becomes large. On the other hand, if the aspect ratio of the opening is large, the penetration angle of the material will be small. Therefore, the amount of film deposited at each opening varies depending on the angle of entry. As a result, the amount of film formed on the X side of the small opening is smaller than the amount of film formed on the Y side of the large opening.

このように、第1の膜の膜厚は、たとえば開口部のアスペクト比が小さいほど厚くなる。またたとえば、第1の膜の膜厚は、開口部の立体角が大きいほど厚くなる。またたとえば、第1の膜の膜厚は、開口部の広狭や深さに応じて変動する。たとえば、開口部が広く浅いほど第1の膜の膜厚は厚くなる。また、第1の膜の膜厚は、処理対象上に形成されるパターンの粗密、ラインアンドスペース(L/S)等に応じて変動する。 In this way, the thickness of the first film increases, for example, as the aspect ratio of the opening decreases. Further, for example, the thickness of the first film increases as the solid angle of the opening increases. Further, for example, the thickness of the first film varies depending on the width and depth of the opening. For example, the wider and shallower the opening, the thicker the first film becomes. Further, the thickness of the first film varies depending on the density, line and space (L/S), etc. of the pattern formed on the processing target.

なお、実施形態に係るプラズマ処理において形成する第1の膜の材料は、第2の膜の成膜を阻害する材料であれば特に限定されない。たとえば、第1の膜は疎水性の膜である。またたとえば、第1の膜はフッ素(F)を含む膜である。またたとえば、第1の膜はフルオロカーボンを含むガスにより形成される膜である。またたとえば、第1の膜は水素を含まないガスにより形成される膜である。またたとえば、第1の膜は処理対象表面を改質する改質膜である。 Note that the material of the first film formed in the plasma treatment according to the embodiment is not particularly limited as long as it is a material that inhibits the formation of the second film. For example, the first membrane is a hydrophobic membrane. Further, for example, the first film is a film containing fluorine (F). Further, for example, the first film is a film formed of a gas containing fluorocarbon. Further, for example, the first film is a film formed of a gas that does not contain hydrogen. For example, the first film is a modification film that modifies the surface to be treated.

<第2の膜の膜厚>
第2の膜の形成時、第1の膜はインヒビター層として機能し、前駆体ガスの化学吸着を阻害する。このため、第2の膜の膜厚は、第1の膜の膜厚に応じて制御される。
<Film thickness of second film>
During the formation of the second film, the first film functions as an inhibitor layer and inhibits chemisorption of the precursor gas. Therefore, the thickness of the second film is controlled according to the thickness of the first film.

たとえば、上記ローディング効果によって第1の膜がX辺上には薄く形成され、Y辺上には厚く形成されたとする。この場合に、第1の膜の上からALDサイクルを実行して第2の膜を形成すると、X辺上の第1の膜がALDサイクルにより除去されるのにかかる時間よりも、Y辺上の第1の膜がALDサイクルにより除去されるのにかかる時間の方が長くなる。すると、X辺上でALDサイクルによる第2の膜の形成が開始するタイミングは、Y辺上でALDサイクルによる第2の膜の形成が開始するタイミングよりも早くなる。結果的に、X辺およびY辺のいずれにおいても同じ数のALDサイクルが実行されるとすれば、X辺上に形成される第2の膜の膜厚の方がY辺上に形成される第2の膜の膜厚よりも厚くなる。 For example, suppose that the first film is formed thinly on the X side and thickly formed on the Y side due to the loading effect. In this case, if an ALD cycle is performed from above the first film to form the second film, it will take longer to remove the first film on the Y side than it takes to remove the first film on the X side by the ALD cycle. It takes longer for the first film to be removed by the ALD cycle. Then, the timing at which the formation of the second film by the ALD cycle starts on the X side is earlier than the timing at which the formation of the second film by the ALD cycle starts on the Y side. As a result, if the same number of ALD cycles are performed on both the X side and the Y side, the second film formed on the X side will be thicker on the Y side. The film thickness becomes thicker than that of the second film.

たとえば、Y辺上に形成された第1の膜の膜厚がA、X辺上に形成された第1の膜の膜厚がB(ただしA>B)とする。そして、第2の工程(ステップS64)においてALDサイクル1回につき除去される第1の膜の膜厚をx、ALDサイクル1回につき形成される第2の膜の膜厚をyとする。そして、A=10x、B=2xとする。この場合に、ステップS64においてALDサイクルを12回実行すると、Y辺上に形成される第2の膜の膜厚は2y、X辺上に形成される第2の膜の膜厚は10yとなる。ただし、第1の工程(ステップS63)において形成された第1の膜がALDサイクル1回で除去される量(膜厚)は、ALDサイクル1回で形成される第2の膜の膜厚と同じではない(x≠y)。このため、第2の工程における第1の膜の除去量と、第2の膜の形成量とを考慮して、第1の工程および第2の工程の処理条件たとえば処理時間やサイクル数を調整することができる。 For example, assume that the thickness of the first film formed on the Y side is A, and the thickness of the first film formed on the X side is B (where A>B). Then, in the second step (step S64), the thickness of the first film removed per ALD cycle is x, and the thickness of the second film formed per ALD cycle is y. Then, it is assumed that A=10x and B=2x. In this case, if the ALD cycle is executed 12 times in step S64, the thickness of the second film formed on the Y side will be 2y, and the thickness of the second film formed on the X side will be 10y. . However, the amount (thickness) of the first film formed in the first step (step S63) removed in one ALD cycle is the same as the thickness of the second film formed in one ALD cycle. They are not the same (x≠y). Therefore, the processing conditions of the first and second steps, such as processing time and number of cycles, are adjusted in consideration of the amount of first film removed and the amount of second film formed in the second step. can do.

したがって、ローディング効果を用いて図5Bの基板上に形成される膜と同様の形状のインヒビター層を形成することができれば、その後のALDサイクルによりX>Yシュリンクを実現することができる。また、図5Cの基板上に形成される膜と同様の形状のインヒビター層を形成することができれば、その後のALDサイクルによりX<Yシュリンクを実現することができる。 Therefore, if an inhibitor layer having a shape similar to that of the film formed on the substrate in FIG. 5B can be formed using the loading effect, X>Y shrink can be achieved through subsequent ALD cycles. Further, if an inhibitor layer having a shape similar to that of the film formed on the substrate in FIG. 5C can be formed, X<Y shrink can be realized by the subsequent ALD cycle.

図8Aおよび図8Bは、一実施形態に係るプラズマ処理方法によって得られるX>Yシュリンク効果について説明するための図である。図8Aは、図6に示すステップS63およびS64を3回繰り返してX辺に第2の膜を形成した状態を概略的に示す。また、図8Bは、図6に示すステップS63およびS64を3回繰り返してY辺に第2の膜を形成した状態を概略的に示す。何れの場合も、ステップS63において1回のCVDを実行してCF膜を形成した後、ステップS64において所定回数のALDサイクルを繰り返し実行し、このステップS63とステップS64のシーケンスを3回繰り返して実行した。 FIGS. 8A and 8B are diagrams for explaining the X>Y shrink effect obtained by the plasma processing method according to one embodiment. FIG. 8A schematically shows a state in which steps S63 and S64 shown in FIG. 6 are repeated three times to form the second film on the X side. Further, FIG. 8B schematically shows a state in which steps S63 and S64 shown in FIG. 6 are repeated three times to form the second film on the Y side. In either case, after one CVD is performed to form a CF film in step S63, ALD cycles are repeatedly performed a predetermined number of times in step S64, and the sequence of steps S63 and S64 is repeated three times. did.

図8Aに示すように、X辺においては、X辺を挟んで対向する側壁上に形成された第2の膜により、X辺の長さは平均して8.12ナノメートル[nm]減少している。すなわち、側壁上に平均8.12ナノメートルの第2の膜が形成されている。他方、Y辺においては、Y辺を挟んで対向する側壁上に形成された第2の膜により、Y辺の長さは平均して6.37ナノメートル減少している。すなわち、側壁上に平均6.37ナノメートルの第2の膜が形成されている。図8Aおよび図8Bから、ステップS63およびS64を繰り返し実行することで、X辺の開口寸法をY辺の開口寸法よりも大きく減少させつつ、両者の開口寸法を減少させることができることが分かる。すなわち、X>Yシュリンクを実現できることが分かる。また、ステップS63およびS64の実行回数をさらに増加させることでX>Yシュリンク効果を増加させることができる。 As shown in FIG. 8A, on the X side, the length of the X side is reduced by 8.12 nanometers [nm] on average due to the second film formed on the side walls opposite to each other with the X side in between. ing. That is, a second film having an average thickness of 8.12 nanometers is formed on the sidewall. On the other hand, on the Y side, the length of the Y side is reduced by 6.37 nanometers on average due to the second film formed on the side walls facing each other with the Y side in between. That is, a second film having an average thickness of 6.37 nanometers is formed on the sidewall. It can be seen from FIGS. 8A and 8B that by repeatedly performing steps S63 and S64, it is possible to reduce both opening dimensions while decreasing the opening dimension on the X side to a greater extent than the opening dimension on the Y side. That is, it can be seen that X>Y shrink can be achieved. Further, by further increasing the number of times steps S63 and S64 are executed, the X>Y shrinking effect can be increased.

<基板他の材料例>
本実施形態のプラズマ処理方法は様々な材料で形成される処理対象に適用することができる。
<Examples of substrates and other materials>
The plasma processing method of this embodiment can be applied to processing objects made of various materials.

図9は、本実施形態のプラズマ処理方法を適用する処理対象の材料の組み合わせ例を示す図である。ここでは、基板上に被エッチング層とマスクが順番に形成された処理対象に対して、マスクの寸法制御のために本実施形態のプラズマ処理方法を適用して第2の膜を形成するものとする。なお、被エッチング層と基板との間にはストップ層が形成されてもよい。 FIG. 9 is a diagram showing an example of a combination of materials to be processed to which the plasma processing method of this embodiment is applied. Here, a second film is formed by applying the plasma processing method of this embodiment to a processing target in which a layer to be etched and a mask are sequentially formed on a substrate in order to control the dimensions of the mask. do. Note that a stop layer may be formed between the layer to be etched and the substrate.

この場合、たとえば、シリコン基板上に、窒化シリコン(SiN)、シリコン(Si)またはシリコンゲルマニウム(SiGe)の被エッチング層を形成し、二酸化シリコン(SiO2)のマスクを形成することができる。この場合、第2の膜として二酸化シリコン(SiO2)を使用することができる。 In this case, for example, a layer to be etched of silicon nitride (SiN), silicon (Si), or silicon germanium (SiGe) can be formed on a silicon substrate, and a mask of silicon dioxide (SiO2) can be formed. In this case, silicon dioxide (SiO2) can be used as the second film.

また、被エッチング層にSiO2を、マスクにSiNを、第2の膜にSiNを使用することができる。また、被エッチング層にSiO2を、マスクに窒化チタン(TiN)、タングステンカーバイド(WC)または二酸化ジルコニウム(ZrO2)を使用することができる。この場合、第2の膜としてTiNまたはWCを使用することができる。 Moreover, SiO2 can be used for the layer to be etched, SiN can be used for the mask, and SiN can be used for the second film. Furthermore, SiO2 can be used as the layer to be etched, and titanium nitride (TiN), tungsten carbide (WC), or zirconium dioxide (ZrO2) can be used as the mask. In this case, TiN or WC can be used as the second film.

いずれの材料の組み合わせの場合であってもCCP等の装置を用いて処理を実現することができる。 Regardless of the combination of materials, processing can be realized using a device such as a CCP.

また、上記実施形態のプラズマ処理方法は、基板上に被エッチング層とマスクとが順次形成された処理対象だけでなく、他の構成の処理対象に適用することができる。たとえば、シリコン基板上に被エッチング層、有機層、シリコン含有反射防止層等が順次形成され、反射防止層上にフォトレジスト等のマスク層が形成された処理対象に適用できる。この場合、たとえば、基板上にマルチパターニングにより形成された層を介在させてもよい。そして、マスクに形成するパターンが、マルチパターニングにより形成された層の各ラインと整列されるように、上記実施形態のプラズマ処理方法を用いてマスクのパターン寸法を調整してもよい。上記実施形態のプラズマ処理方法はマスクのパターン寸法を調整することにより、ビアやコンタクトを形成する位置を精密に調整するために利用できる。 Further, the plasma processing method of the above embodiment can be applied not only to a processing object in which an etched layer and a mask are sequentially formed on a substrate, but also to processing objects having other configurations. For example, the present invention can be applied to a processing target in which a layer to be etched, an organic layer, a silicon-containing antireflection layer, etc. are sequentially formed on a silicon substrate, and a mask layer such as a photoresist is formed on the antireflection layer. In this case, for example, a layer formed by multi-patterning may be interposed on the substrate. Then, the pattern dimensions of the mask may be adjusted using the plasma processing method of the above embodiment so that the pattern formed on the mask is aligned with each line of the layer formed by multi-patterning. The plasma processing method of the above embodiment can be used to precisely adjust the positions where vias and contacts are formed by adjusting the pattern dimensions of the mask.

<実施形態の効果>
上記実施形態に係るプラズマ処理方法は、第1の工程と第2の工程とを含む。第1の工程において、プラズマ処理装置は、処理対象が有する開口部の側壁上に、対向する側壁対同士の間隔に応じて異なる厚みの第1の膜を形成する。第1の工程後の第2の工程において、プラズマ処理装置は、成膜サイクルを1回以上施し、前記対向する側壁対同士の間隔に応じて異なる厚みの第2の膜を形成する。このため、プラズマ処理装置は、処理対象上に、パターンの状態に応じた膜厚差を有する第2の膜を形成することができる。このため、実施形態にかかるプラズマ処理装置は、所望の膜厚差を有する第2の膜を1回の工程で形成することが困難な場合であっても、ローディング効果やインキュベーションを利用して所望の膜厚差を有する第2の膜を形成することができる。このため、実施形態に係るプラズマ処理装置は、基板上に形成されるパターンの精密な寸法制御を実現することができる。
<Effects of embodiment>
The plasma processing method according to the above embodiment includes a first step and a second step. In the first step, the plasma processing apparatus forms a first film having a different thickness on the sidewall of the opening of the processing target depending on the distance between the opposing pair of sidewalls. In a second step after the first step, the plasma processing apparatus performs a film forming cycle one or more times to form a second film having a different thickness depending on the distance between the pair of opposing side walls. Therefore, the plasma processing apparatus can form a second film having a thickness difference depending on the state of the pattern on the processing target. Therefore, even if it is difficult to form a second film having a desired thickness difference in one step, the plasma processing apparatus according to the embodiment can use the loading effect or incubation to obtain the desired thickness. It is possible to form a second film having a film thickness difference of . Therefore, the plasma processing apparatus according to the embodiment can realize precise dimensional control of the pattern formed on the substrate.

また、実施形態に係るプラズマ処理方法において、プラズマ処理装置は、第1の工程において、処理対象上に形成される第1の側壁対よりも狭い間隔で対向する第2の側壁対上に、第1の側壁対上に形成される第1の膜よりも薄い第1の膜を形成する。また、プラズマ処理装置は、第2の工程において、第2の側壁対上に、第1の側壁対上に形成される第2の膜よりも厚い第2の膜を形成する。このため、実施形態に係るプラズマ処理装置は、異なる間隔をおいて対向する側壁対ごとに、膜厚を調整して寸法制御することができ、パターン精度を向上させることができる。 Further, in the plasma processing method according to the embodiment, in the first step, the plasma processing apparatus includes a second sidewall pair formed on the processing target on a second sidewall pair facing each other at a narrower interval than the first sidewall pair formed on the processing target. A first film is formed that is thinner than the first film formed on the first pair of sidewalls. Further, in the second step, the plasma processing apparatus forms a second film thicker than a second film formed on the first sidewall pair on the second sidewall pair. Therefore, the plasma processing apparatus according to the embodiment can control the dimensions by adjusting the film thickness for each pair of side walls facing each other at different intervals, and can improve pattern accuracy.

また、実施形態に係るプラズマ処理方法において、プラズマ処理装置は、第1の工程において、成膜サイクルにおける第2の膜の形成の阻害因子となる成分を含む第1の膜を形成する。このため、実施形態に係るプラズマ処理装置は、第1の膜の膜厚によって、その後形成される第2の膜の膜厚を精密に制御することができる。 Further, in the plasma processing method according to the embodiment, the plasma processing apparatus forms, in the first step, a first film containing a component that inhibits the formation of the second film in the film forming cycle. Therefore, the plasma processing apparatus according to the embodiment can precisely control the thickness of the second film that is subsequently formed by the thickness of the first film.

また、実施形態に係るプラズマ処理方法において、プラズマ処理装置は、第1の工程において、疎水性の第1の膜を形成する。また、プラズマ処理装置は、第1の工程において、フッ素(F)を含む第1の膜を形成する。また、プラズマ処理装置は、第1の工程において、水素を含まずフルオロカーボン(CF)を含むガスにより第1の膜を形成する。このように実施形態に係るプラズマ処理装置は、第2の膜のインキュベーションを生じる材料を選択して第1の膜を形成することができ、パターンの寸法を精密に制御することができる。 Further, in the plasma processing method according to the embodiment, the plasma processing apparatus forms a hydrophobic first film in the first step. Further, in the first step, the plasma processing apparatus forms a first film containing fluorine (F). Further, in the first step, the plasma processing apparatus forms the first film using a gas that does not contain hydrogen but contains fluorocarbon (CF). In this way, the plasma processing apparatus according to the embodiment can form the first film by selecting a material that causes incubation of the second film, and can precisely control the dimensions of the pattern.

また、実施形態に係るプラズマ処理方法において、プラズマ処理装置は、第2の工程において、第1の膜を除去した後に第2の膜を形成する。このため、実施形態に係るプラズマ処理装置は、第1の膜の膜厚により、第2の膜の膜厚を精密に制御することができる。 Furthermore, in the plasma processing method according to the embodiment, the plasma processing apparatus forms the second film after removing the first film in the second step. Therefore, in the plasma processing apparatus according to the embodiment, the thickness of the second film can be precisely controlled by the thickness of the first film.

また、実施形態に係るプラズマ処理方法において、プラズマ処理装置は、第1の工程および第2の工程を含むシーケンスを1回以上繰り返し実行する。このため、実施形態に係るプラズマ処理装置は、シーケンスを繰り返す数を調整することにより、形成する第2の膜の膜厚を精密に制御することができる。 Furthermore, in the plasma processing method according to the embodiment, the plasma processing apparatus repeatedly executes the sequence including the first step and the second step one or more times. Therefore, the plasma processing apparatus according to the embodiment can precisely control the thickness of the second film to be formed by adjusting the number of repetitions of the sequence.

また、実施形態に係るプラズマ処理方法は、第2の工程の後に、第2の膜をマスクとしてエッチングする第3の工程を含む。このため、実施形態に係るプラズマ処理装置は、マスクである第2の膜の寸法を精密に制御した上で、エッチングを実行することができ、エッチングにより形成されるパターンの寸法を精密に制御することができる。 Further, the plasma processing method according to the embodiment includes, after the second step, a third step of etching using the second film as a mask. Therefore, the plasma processing apparatus according to the embodiment can perform etching after precisely controlling the dimensions of the second film that is a mask, and can precisely control the dimensions of the pattern formed by etching. be able to.

また、実施形態に係るプラズマ処理方法における処理対象の側壁対は、少なくとも一部曲面を含む。このため、実施形態に係るプラズマ処理装置は、直線的に形成されたパターンのみならず曲線的に形成されたパターンの寸法も精密に制御することができる。 Furthermore, the pair of sidewalls to be processed in the plasma processing method according to the embodiment includes at least a partially curved surface. Therefore, the plasma processing apparatus according to the embodiment can precisely control the dimensions of not only a linearly formed pattern but also a curved pattern.

また、実施形態に係るプラズマ処理方法は、第2の工程において、原子層堆積サイクルを1回以上施して第2の膜を形成する。このため、実施形態に係るプラズマ処理装置は、原子層堆積の自己制御性を利用して第2の膜の膜厚を容易に制御できる。 Further, in the plasma processing method according to the embodiment, in the second step, the atomic layer deposition cycle is performed one or more times to form the second film. Therefore, the plasma processing apparatus according to the embodiment can easily control the thickness of the second film by utilizing the self-control properties of atomic layer deposition.

また、実施形態に係るプラズマ処理方法の第1の工程において、プラズマ処理装置は、化学気相成長またはプラズマ化学気相成長により第1の膜を形成する。このため、実施形態に係るプラズマ処理装置は、効率的に処理を実行することができる。 Furthermore, in the first step of the plasma processing method according to the embodiment, the plasma processing apparatus forms the first film by chemical vapor deposition or plasma enhanced chemical vapor deposition. Therefore, the plasma processing apparatus according to the embodiment can efficiently perform processing.

また、実施形態に係るプラズマ処理方法の第1の工程において、プラズマ処理装置は、処理対象上に形成される開口部のアスペクト比、立体角、開口部の広狭および深さ、開口部の面積、パターンの粗密、ラインアンドスペースのうち少なくとも一つに応じた厚みの差を有する、第1の膜を形成する。このため、実施形態に係るプラズマ処理装置は、様々な要因で発生するローディング効果を利用して、パターンの寸法を精密に制御することができる。 Further, in the first step of the plasma processing method according to the embodiment, the plasma processing apparatus includes the aspect ratio, the solid angle, the width and depth of the opening formed on the processing target, the area of the opening, A first film is formed which has a thickness difference depending on at least one of pattern density, line and space. Therefore, the plasma processing apparatus according to the embodiment can precisely control the dimensions of the pattern by using loading effects that occur due to various factors.

また、実施形態に係るプラズマ処理方法は、処理対象上に第1の膜を成膜する工程と、処理対象に対して成膜サイクルを実行する工程と、を備える。そして、成膜サイクルは、第1の膜の表面に化学吸着せず、処理対象表面に化学吸着する前駆体ガスと、プラズマ化して第1の膜を除去するラジカルを生じる反応ガスと、を用いて実行される。このため、実施形態に係るプラズマ処理方法は、第1の膜を利用して成膜サイクルにおいて形成される膜の膜厚を制御することができる。このため、実施形態に係るプラズマ処理方法は、パターンの寸法を精密に制御することができる。 Further, the plasma processing method according to the embodiment includes the steps of forming a first film on the processing target, and executing a film formation cycle on the processing target. The film forming cycle uses a precursor gas that does not chemically adsorb to the surface of the first film but chemically adsorbs to the surface to be treated, and a reactive gas that generates radicals that turn into plasma and remove the first film. is executed. Therefore, the plasma processing method according to the embodiment can control the thickness of the film formed in the film formation cycle using the first film. Therefore, the plasma processing method according to the embodiment can precisely control the dimensions of the pattern.

また、実施形態に係るプラズマ処理方法は、処理対象上において第1の膜を第1の所定量除去する処理と、処理対象上において第2の膜を第1の所定量とは異なる第2の所定量堆積する処理と、を同一ガスにより同時に実行する工程を含む成膜サイクルを実行する。このため、実施形態に係るプラズマ処理方法は、一つの工程において膜の除去と膜の形成という二つの異なる処理を実現することができる。このため、実施形態に係るプラズマ処理方法は、パターンの寸法を効率よく制御することができる。 Further, the plasma processing method according to the embodiment includes a process of removing a first predetermined amount of the first film on the processing target, and a process of removing the second film by a second predetermined amount different from the first predetermined amount on the processing target. A film forming cycle including a process of depositing a predetermined amount and a process of simultaneously performing the process using the same gas is executed. Therefore, the plasma processing method according to the embodiment can realize two different processes, ie, film removal and film formation, in one process. Therefore, the plasma processing method according to the embodiment can efficiently control the dimensions of the pattern.

<変形例1>
さて、上記実施形態においては、第1の膜の膜厚によって成膜サイクルたとえばALDサイクルのインキュベーションタイムを制御した。これに代えて、たとえば、第1の膜の膜厚は一定として、第1の膜にALDサイクルによる改質処理を施すことで第2の膜の膜厚を変動させてもよい。
<Modification 1>
Now, in the above embodiment, the incubation time of the film forming cycle, for example, the ALD cycle, is controlled depending on the thickness of the first film. Alternatively, for example, the thickness of the first film may be constant, and the thickness of the second film may be varied by subjecting the first film to a modification process using an ALD cycle.

たとえば、図6のステップS63において、処理対象上のパターンの形状に応じて異なる厚みを有する第1の膜を形成するのに代えて、処理対象上に均一な厚みを有する第1の膜を形成する。このとき、成膜手法は、熱CVD(thermal chemical vapor deposition)、2種の有機ガスを供給して温度制御により重合反応させて成膜する手法などを用いることができる。 For example, in step S63 of FIG. 6, instead of forming a first film having a different thickness depending on the shape of the pattern on the processing object, a first film having a uniform thickness is formed on the processing object. do. At this time, as a film forming method, thermal CVD (thermal chemical vapor deposition), a method of supplying two types of organic gases and causing a polymerization reaction under temperature control to form a film, or the like can be used.

そして、図6のステップS64において、ローディング効果を利用した改質処理を実行する。たとえば、ALDサイクル中、化学吸着ステップ(図2A参照)において前駆体ガスとしてシリコン含有ガスをチャンバ21に供給する。そして、反応ステップ(図2C参照)において、反応ガスとしてフルオロカーボン(CxFy、たとえばC4F6))とO含有ガスとをチャンバ21に供給する。化学吸着ステップと反応ステップ各々の後にチャンバ21内をパージするパージステップを実行してもよい。 Then, in step S64 of FIG. 6, a modification process using the loading effect is executed. For example, during an ALD cycle, a silicon-containing gas is supplied to chamber 21 as a precursor gas in the chemisorption step (see FIG. 2A). Then, in the reaction step (see FIG. 2C), fluorocarbon (CxFy, eg, C4F6)) and an O-containing gas are supplied to the chamber 21 as a reaction gas. A purge step of purging the inside of the chamber 21 may be performed after each of the chemisorption step and the reaction step.

この場合、第1の膜が形成された箇所には化学吸着ステップにおいてシリコン含有ガスが化学吸着せず、反応ステップにおいてO含有プラズマによって第1の膜が除去される。また、反応ステップにおいて、反応ガスに含まれるフルオロカーボンが第1の膜上に堆積する。他方、第1の膜(および第1の膜上に堆積したフルオロカーボンの膜)がO含有プラズマによって除去された箇所には、化学吸着ステップにおいてシリコン含有ガスが化学吸着し、反応ステップにおいて酸素ラジカルとシリコン含有分子とが反応してSiO2膜を形成する。 In this case, the silicon-containing gas is not chemically adsorbed at the location where the first film is formed in the chemisorption step, and the first film is removed by the O-containing plasma in the reaction step. Further, in the reaction step, fluorocarbon contained in the reaction gas is deposited on the first film. On the other hand, at locations where the first film (and the fluorocarbon film deposited on the first film) has been removed by the O-containing plasma, silicon-containing gas is chemisorbed in the chemisorption step, and oxygen radicals and oxygen radicals are absorbed in the reaction step. The silicon-containing molecules react to form a SiO2 film.

反応ステップにおいて、処理対象上のパターン中、処理対象上のパターン中、パターンが密な部分にはCxFyが入り込みにくく、パターンが疎な部分にはCxFyが入り込みやすい。したがって、パターンが密な部分(X辺)ほどCxFyによる成膜量が少なく、パターンが疎な部分(Y辺)ほどCxFyによる成膜量が多くなる。また、パターンが密な部分にはO含有プラズマが入り込みにくく、パターンが疎な部分にはO含有プラズマが入りこみやすい。したがって、パターンが密な部分(X辺)ほどO含有ガスから生成されるO含有プラズマによる第1の膜の除去量が少なく、パターンが疎な部分(Y辺)ほど第1の膜の除去量が多くなる。反応ガスに含まれるフルオロカーボンとO含有ガスの割合を調整して、X辺における第1の膜の除去速度がY辺における第1の膜の除去速度より速くなるようにすることで、X>Yシュリンク効果(図5C)を得ることができる。このため、変形例に係るプラズマ処理方法によっても、X>Yシュリンク効果(図5C参照)を実現することができる。 In the reaction step, among the patterns on the processing target, CxFy is difficult to enter into areas where the pattern is dense, and CxFy is likely to enter into areas where the pattern is sparse. Therefore, the denser the pattern (X side), the smaller the amount of CxFy film formation, and the sparser the pattern (Y side), the more CxFy film formation. Furthermore, O-containing plasma is difficult to enter into areas where the pattern is dense, and O-containing plasma is likely to enter into areas where the pattern is sparse. Therefore, the denser the pattern (X side), the smaller the amount of the first film removed by the O-containing plasma generated from the O-containing gas, and the sparser the pattern (Y side), the smaller the amount of first film removed. will increase. By adjusting the ratio of fluorocarbon and O-containing gas contained in the reaction gas so that the removal rate of the first film on the X side is faster than the removal rate of the first film on the Y side, X>Y A shrinking effect (FIG. 5C) can be obtained. Therefore, the X>Y shrink effect (see FIG. 5C) can also be achieved by the plasma processing method according to the modification.

<変形例2>
また、上記実施形態においては、ALDサイクルの処理条件は処理対象の表面上での自己制御的な吸着、反応を完了させるために十分な処理時間を設けるものとした。これに限らず、ALDサイクルの処理条件を、処理対象の表面上での自己制御的な吸着、反応が完了しないように設定してもよい。たとえば、いわゆる不飽和ALD(以下、サブコンフォーマルALDとも呼ぶ。)を第2工程において用いてもよい。サブコンフォーマルALDは、たとえば、以下の2態様で実現できる。
(1)プリカーサを処理対象の表面全体に吸着させる。その後導入する反応ガスが、処理対象の表面全体にいきわたらないように制御する。
(2)プリカーサを処理対象の表面の一部のみに吸着させる。その後導入する反応ガスは、プリカーサが吸着した表面部分のみで成膜する。
サブコンフォーマルALDを利用することで、第2の膜の厚みが頂部から底部に向けて徐々に減少するように第2の膜を形成することができる。
<Modification 2>
Further, in the above embodiment, the processing conditions of the ALD cycle are such that sufficient processing time is provided to complete self-controlled adsorption and reaction on the surface of the processing target. The present invention is not limited to this, and the processing conditions of the ALD cycle may be set so that self-controlled adsorption and reaction on the surface of the processing target are not completed. For example, so-called unsaturated ALD (hereinafter also referred to as subconformal ALD) may be used in the second step. Subconformal ALD can be realized, for example, in the following two ways.
(1) The precursor is adsorbed onto the entire surface of the object to be treated. The reaction gas introduced thereafter is controlled so as not to spread over the entire surface of the object to be treated.
(2) The precursor is adsorbed only on a part of the surface of the object to be treated. The reaction gas introduced thereafter forms a film only on the surface portion where the precursor is adsorbed.
By utilizing subconformal ALD, the second film can be formed such that the thickness of the second film gradually decreases from the top to the bottom.

図10Aは、変形例2に係るプラズマ処理方法の第1工程について説明するための図である。図10Bは、変形例2に係るプラズマ処理方法の第2工程について説明するための図である。図10Aに示すX-Yパターンは、図5Bに示すX-Yパターンと同様であるが、短辺Xの成膜量が図5Bの例よりも少なく設定されている。 FIG. 10A is a diagram for explaining the first step of the plasma processing method according to Modification 2. FIG. FIG. 10B is a diagram for explaining the second step of the plasma processing method according to Modification 2. The XY pattern shown in FIG. 10A is similar to the XY pattern shown in FIG. 5B, but the amount of film deposited on the short side X is set smaller than in the example shown in FIG. 5B.

変形例2の第1工程は、CVDを用いて、短辺Xの開口寸法を維持しつつ、長辺Yの開口寸法を小さくする制御を行う(X<Yシュリンク)。その後、第2工程において、サブコンフォーマルALDを用いて、短辺Xの開口寸法を小さくしつつ、長辺Yの開口寸法を維持する制御を行う(X>Yシュリンク)。このとき、短辺Xにおいては、不飽和ALDにより頂部から底部にかけて徐々に膜厚が薄くなるように第2の膜が形成される。また、短辺Xの底部には第2の膜が成膜されない。このように、サブコンフォーマルALDを利用することで、処理対象の底部への成膜量を抑制することができる。また、サブコンフォーマルALDを利用した場合も、第1の膜の膜厚が厚いほど、同じ部分に形成される第2の膜の膜厚が薄くなるという関係が維持される。このため、係るプラズマ処理方法によれば、X-Yパターンの寸法制御を実現できる。 In the first step of Modified Example 2, CVD is used to control the opening size on the long side Y while maintaining the opening size on the short side X (X<Y shrink). After that, in the second step, control is performed using subconformal ALD to maintain the opening size on the long side Y while reducing the opening size on the short side X (X>Y shrink). At this time, on the short side X, the second film is formed by unsaturated ALD so that the film thickness becomes gradually thinner from the top to the bottom. Further, the second film is not formed on the bottom of the short side X. In this way, by using subconformal ALD, the amount of film deposited on the bottom of the object to be processed can be suppressed. Furthermore, even when subconformal ALD is used, the relationship is maintained that the thicker the first film is, the thinner the second film formed in the same portion is. Therefore, according to this plasma processing method, it is possible to realize dimension control of the XY pattern.

変形例2のように、本実施形態のプラズマ処理方法は、第2の工程において、処理対象の表面上での自己制御的な吸着または反応が完了しない処理条件でサブコンフォーマルALDサイクルを1回以上施して第2の膜を形成してもよい。このため、プラズマ処理方法は、単にX-Yパターンを制御するだけでなく、パターン底部の成膜量を抑制することができ、後続処理たとえばエッチングを容易に実行することができる。 As in Modification 2, the plasma processing method of the present embodiment includes, in the second step, subconformal ALD cycles performed one or more times under processing conditions in which self-limiting adsorption or reaction on the surface of the processing target is not completed. may be applied to form a second film. Therefore, the plasma processing method not only controls the XY pattern, but also suppresses the amount of film formed at the bottom of the pattern, making it possible to easily perform subsequent processing such as etching.

今回開示された実施形態は全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。 The embodiments disclosed this time should be considered to be illustrative in all respects and not restrictive. The embodiments described above may be omitted, replaced, or modified in various forms without departing from the scope and spirit of the appended claims.

10 プラズマ処理装置
21 チャンバ
24 サセプタ
25 静電チャック
40 上部電極
48a~48c ガス供給源
60 制御装置
61 メモリ
62 プロセッサ
63 ユーザインターフェイス
73 排気装置
W ウエハ
10 Plasma processing device 21 Chamber 24 Susceptor 25 Electrostatic chuck 40 Upper electrodes 48a to 48c Gas supply source 60 Control device 61 Memory 62 Processor 63 User interface 73 Exhaust device W Wafer

Claims (15)

少なくとも一つの開口部を有する処理対象を提供する工程であって、前記開口部の側壁には、上面視において、前記開口部が形成された前記処理対象の面に沿う第1の方向で対向する第1の側壁対と、前記開口部が形成された前記処理対象の面に沿う第2の方向であって、前記第1の方向と直交する第2の方向で対向する第2の側壁対とが含まれ、前記第2の側壁対は、前記第1の側壁対よりも狭い間隔で対向する、前記工程と、
前記開口部の側壁上に第1の膜を形成する第1の工程であって、前記第2の側壁対上に、前記第1の側壁対上に形成される第1の膜よりも薄い第1の膜を形成する、前記第1の工程と、
前記第1の工程後に、プラズマを用いた成膜サイクルを1回以上施し、前記側上に第2の膜を形成する第2の工程であって、前記第2の側壁対上に、前記第1の側壁対上に形成される第2の膜よりも厚い第2の膜を形成する、前記第2の工程と、
を含み、
前記第1の工程において、化学気相成長またはプラズマ化学気相成長により、前記成膜サイクルにおける第2の膜の形成の阻害因子となる成分を含む前記第1の膜を形成するプラズマ処理方法。
A step of providing a processing object having at least one opening, the side wall of the opening facing in a first direction along a surface of the processing object in which the opening is formed, when viewed from above. a first pair of side walls; and a second pair of side walls facing each other in a second direction along the surface of the processing target in which the opening is formed and perpendicular to the first direction. and the second pair of side walls face each other at a narrower interval than the first pair of side walls;
a first step of forming a first film on the sidewalls of the opening, the first film being thinner than the first film formed on the first pair of sidewalls on the second pair of sidewalls; the first step of forming a film ;
After the first step, a second step of forming a second film on the sidewalls by performing a film formation cycle using plasma one or more times, the second step comprising: forming a second film on the second sidewall pair; the second step of forming a second film that is thicker than the second film formed on the first sidewall pair;
including;
In the first step, the first film is formed by chemical vapor deposition or plasma chemical vapor deposition to form the first film containing a component that inhibits the formation of the second film in the film forming cycle.
前記第1の工程は、疎水性の前記第1の膜を形成する、
請求項に記載のプラズマ処理方法。
The first step is to form the first hydrophobic film.
The plasma processing method according to claim 1 .
前記第1の工程は、フッ素(F)を含む前記第1の膜を形成する、
請求項に記載のプラズマ処理方法。
The first step is to form the first film containing fluorine (F).
The plasma processing method according to claim 2 .
前記第1の工程は、水素を含まずフルオロカーボン(CF)を含むガスにより前記第1の膜を形成する、
請求項に記載のプラズマ処理方法。
In the first step, the first film is formed using a gas that does not contain hydrogen and contains fluorocarbon (CF).
The plasma processing method according to claim 3 .
前記第2の工程は、前記第1の膜を除去した後に前記第2の膜を形成する、
請求項1からのいずれか1項に記載のプラズマ処理方法。
The second step is to form the second film after removing the first film.
The plasma processing method according to any one of claims 1 to 4 .
前記第1の工程および前記第2の工程を含むシーケンスを1回以上繰り返し実行する、
請求項1からのいずれか1項に記載のプラズマ処理方法。
repeating a sequence including the first step and the second step one or more times;
The plasma processing method according to any one of claims 1 to 5 .
前記第2の工程の後に、前記第2の膜をマスクとしてエッチングする第3の工程を含む、請求項1からのいずれか1項に記載のプラズマ処理方法。 6. The plasma processing method according to claim 1, further comprising a third step of etching using the second film as a mask after the second step. 前記側壁対は、少なくとも一部曲面を含む、請求項1からのいずれか1項に記載のプラズマ処理方法。 The plasma processing method according to any one of claims 1 to 7 , wherein the sidewall pair includes at least a partially curved surface. 前記第2の工程において、原子層堆積(Atomic Layer Deposition、ALD)サイクルを1回以上施して前記第2の膜を形成する、請求項1からのいずれか1項に記載のプラズマ処理方法。 9. The plasma processing method according to claim 1, wherein in the second step, the second film is formed by performing one or more atomic layer deposition (ALD) cycles. 前記第2の工程において、処理対象の表面上での自己制御的な吸着または反応が完了しない処理条件でサブコンフォーマルALDサイクルを1回以上施して前記第2の膜を形成する、請求項1からのいずれか1項に記載のプラズマ処理方法。 2. From claim 1, wherein in the second step, the second film is formed by performing one or more subconformal ALD cycles under processing conditions in which self-limiting adsorption or reaction on the surface to be processed is not completed. 8. The plasma processing method according to any one of 8 . 前記第2の工程は、 The second step is
前記処理対象を前駆体のガスに晒す吸着ステップと、 an adsorption step of exposing the processing target to a precursor gas;
前記処理対象を反応ガスに晒す反応ステップと、 a reaction step of exposing the processing target to a reaction gas;
を含むサイクルを1回以上実施して前記第2の膜を形成する、請求項1から8のいずれか1項に記載のプラズマ処理方法。9. The plasma processing method according to claim 1, wherein the second film is formed by performing a cycle including the steps one or more times.
前記吸着ステップにおいて、前記前駆体を前記処理対象の表面の一部に吸着させるか、又は、前記反応ステップにおいて、前記反応ガスが前記処理対象の表面全体にいきわたらないようにする、請求項11に記載のプラズマ処理方法。 11. In the adsorption step, the precursor is adsorbed on a part of the surface of the object to be treated, or in the reaction step, the reaction gas is prevented from spreading over the entire surface of the object to be treated. The plasma treatment method described in . 前記第1の工程は、前記処理対象上に形成される開口部のアスペクト比、立体角、開口部の広狭および深さ、開口部の面積、パターンの粗密、ラインアンドスペースのうち少なくとも一つに応じた厚みの差を有する、前記第1の膜を形成する、
請求項1から12のいずれか1項に記載のプラズマ処理方法。
The first step includes determining at least one of the aspect ratio, the solid angle, the width and depth of the opening formed on the processing target, the area of the opening, the density of the pattern, and the line and space. forming the first film having a corresponding thickness difference;
The plasma processing method according to any one of claims 1 to 12.
少なくとも一つの開口部を有する処理対象を提供する工程であって、前記開口部の側壁には、上面視において、前記開口部が形成された前記処理対象の面に沿う第1の方向で対向する第1の側壁対と、前記開口部が形成された前記処理対象の面に沿う第2の方向であって、前記第1の方向と直交する第2の方向で対向する第2の側壁対とが含まれ、前記第2の側壁対は、前記第1の側壁対よりも狭い間隔で対向する、前記工程と、 A step of providing a processing object having at least one opening, the side wall of the opening facing in a first direction along a surface of the processing object in which the opening is formed, when viewed from above. a first pair of side walls; and a second pair of side walls facing each other in a second direction along the surface of the processing target in which the opening is formed and perpendicular to the first direction. and the second pair of side walls face each other at a narrower interval than the first pair of side walls;
前記開口部の側壁上に、プラズマ化学気相成長により、フッ素を含む第1の膜を形成する第1の工程と、 a first step of forming a first film containing fluorine on the sidewall of the opening by plasma chemical vapor deposition;
前記第1の工程後に成膜サイクルを1回以上施し、前記側壁上に第2の膜を形成する第2の工程と、 a second step of forming a second film on the sidewall by performing a film formation cycle one or more times after the first step;
を含み、including;
前記成膜サイクルは、 The film forming cycle is
前記処理対象を、シリコン含有ガスを含む前駆体ガスに晒す吸着ステップと、 an adsorption step of exposing the processing target to a precursor gas containing a silicon-containing gas;
前記処理対象を、酸素含有プラズマに晒す反応ステップと、 a reaction step of exposing the object to be treated to oxygen-containing plasma;
を含み、including;
前記第1の工程では、前記第2の側壁対上に、前記第1の側壁対上に形成される第1の膜よりも薄い第1の膜が形成され、 In the first step, a first film that is thinner than a first film formed on the first sidewall pair is formed on the second sidewall pair,
前記第2の工程では、前記第2の側壁対上に、前記第1の側壁対上に形成される第2の膜よりも厚い第2の膜が形成されるプラズマ処理方法。 In the plasma processing method, in the second step, a second film that is thicker than a second film formed on the first sidewall pair is formed on the second sidewall pair.
請求項1から14のいずれか1項に記載のプラズマ処理方法を実行するプログラムを記憶する記憶部と、当該プログラムを実行するよう制御する制御部と、
を備えるプラズマ処理装置。
A storage unit that stores a program for executing the plasma processing method according to any one of claims 1 to 14, and a control unit that controls the execution of the program.
A plasma processing apparatus comprising:
JP2019104041A 2018-07-26 2019-06-03 Plasma treatment method and plasma treatment device Active JP7345283B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
TW108125909A TWI820170B (en) 2018-07-26 2019-07-23 Plasma processing method and plasma processing apparatus
CN201910665735.9A CN110777361B (en) 2018-07-26 2019-07-23 Plasma processing method and plasma processing apparatus
CN202310861258.XA CN116837349A (en) 2018-07-26 2019-07-23 Plasma processing apparatus
KR1020190089771A KR20200012770A (en) 2018-07-26 2019-07-24 Plasma processing method and plasma processing apparatus
US16/522,890 US11459655B2 (en) 2018-07-26 2019-07-26 Plasma processing method and plasma processing apparatus
US17/900,577 US20220411928A1 (en) 2018-07-26 2022-08-31 Plasma processing method and plasma processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018140334 2018-07-26
JP2018140334 2018-07-26

Publications (2)

Publication Number Publication Date
JP2020025079A JP2020025079A (en) 2020-02-13
JP7345283B2 true JP7345283B2 (en) 2023-09-15

Family

ID=69619516

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019104041A Active JP7345283B2 (en) 2018-07-26 2019-06-03 Plasma treatment method and plasma treatment device

Country Status (2)

Country Link
JP (1) JP7345283B2 (en)
TW (1) TWI820170B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023134139A (en) * 2022-03-14 2023-09-27 キオクシア株式会社 Semiconductor manufacturing device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173299A (en) 2004-12-15 2006-06-29 Nec Electronics Corp Method of manufacturing semiconductor device
JP2016076621A (en) 2014-10-07 2016-05-12 東京エレクトロン株式会社 Method of processing workpiece
JP2017112258A (en) 2015-12-17 2017-06-22 東京エレクトロン株式会社 Film deposition method, and film deposition device
JP2017139451A (en) 2016-02-01 2017-08-10 東京エレクトロン株式会社 Nitride film-forming method
JP2017174919A (en) 2016-03-23 2017-09-28 東京エレクトロン株式会社 Method for forming nitride film

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881668B2 (en) * 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173299A (en) 2004-12-15 2006-06-29 Nec Electronics Corp Method of manufacturing semiconductor device
JP2016076621A (en) 2014-10-07 2016-05-12 東京エレクトロン株式会社 Method of processing workpiece
JP2017112258A (en) 2015-12-17 2017-06-22 東京エレクトロン株式会社 Film deposition method, and film deposition device
JP2017139451A (en) 2016-02-01 2017-08-10 東京エレクトロン株式会社 Nitride film-forming method
JP2017174919A (en) 2016-03-23 2017-09-28 東京エレクトロン株式会社 Method for forming nitride film

Also Published As

Publication number Publication date
JP2020025079A (en) 2020-02-13
TW202014548A (en) 2020-04-16
TWI820170B (en) 2023-11-01

Similar Documents

Publication Publication Date Title
US9466506B2 (en) Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US9911607B2 (en) Method of processing target object
US11658036B2 (en) Apparatus for processing substrate
US9607811B2 (en) Workpiece processing method
JP5682290B2 (en) Method and apparatus for slimming carbon-containing thin film
KR20150035593A (en) Pattern forming method and substrate processing system
JP6388553B2 (en) Substrate processing apparatus and substrate processing method
JP6050944B2 (en) Plasma etching method and plasma processing apparatus
US10668512B2 (en) Particle removal method and substrate processing method
US10151034B2 (en) Substrate processing method including supplying a fluorine-containing gas on a surface of a substrate
US11289339B2 (en) Plasma processing method and plasma processing apparatus
TW201907477A (en) Etching method and etching device
JP6735549B2 (en) Substrate processing apparatus, substrate processing method and ring-shaped member
KR102364193B1 (en) Processing method and processing apparatus
TWI807049B (en) Method and apparatus for processing substrate
JP7345283B2 (en) Plasma treatment method and plasma treatment device
JP7418632B2 (en) plasma processing equipment
JP7330078B2 (en) Etching method and etching apparatus
US11459655B2 (en) Plasma processing method and plasma processing apparatus
JP7278456B2 (en) Plasma processing equipment
JP2023007137A (en) Film forming method and film forming device
KR20220107945A (en) Film forming method and film forming apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220324

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230905

R150 Certificate of patent or registration of utility model

Ref document number: 7345283

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150