TWI806523B - 半導體製程工具及其操作方法 - Google Patents
半導體製程工具及其操作方法 Download PDFInfo
- Publication number
- TWI806523B TWI806523B TW111111657A TW111111657A TWI806523B TW I806523 B TWI806523 B TW I806523B TW 111111657 A TW111111657 A TW 111111657A TW 111111657 A TW111111657 A TW 111111657A TW I806523 B TWI806523 B TW I806523B
- Authority
- TW
- Taiwan
- Prior art keywords
- pin
- semiconductor substrate
- region
- semiconductor
- potential
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 237
- 238000000034 method Methods 0.000 title claims abstract description 141
- 238000012545 processing Methods 0.000 title claims abstract description 28
- 239000000758 substrate Substances 0.000 claims abstract description 133
- 230000008859 change Effects 0.000 claims abstract description 7
- 230000008569 process Effects 0.000 claims description 117
- 230000033228 biological regulation Effects 0.000 claims description 34
- 238000005259 measurement Methods 0.000 claims description 31
- 239000000463 material Substances 0.000 claims description 20
- 238000012546 transfer Methods 0.000 claims description 9
- 229910052710 silicon Inorganic materials 0.000 claims description 5
- 239000010703 silicon Substances 0.000 claims description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 4
- 239000002041 carbon nanotube Substances 0.000 claims description 4
- 229910021393 carbon nanotube Inorganic materials 0.000 claims description 4
- 238000007689 inspection Methods 0.000 description 28
- 230000015654 memory Effects 0.000 description 23
- 238000004891 communication Methods 0.000 description 19
- 238000010801 machine learning Methods 0.000 description 17
- 238000000151 deposition Methods 0.000 description 14
- 230000008021 deposition Effects 0.000 description 11
- 238000010586 diagram Methods 0.000 description 11
- 230000033001 locomotion Effects 0.000 description 11
- 230000032258 transport Effects 0.000 description 11
- 238000010894 electron beam technology Methods 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 9
- 238000005530 etching Methods 0.000 description 6
- 238000005498 polishing Methods 0.000 description 6
- 238000009713 electroplating Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000007747 plating Methods 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 238000004422 calculation algorithm Methods 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000008878 coupling Effects 0.000 description 4
- 238000010168 coupling process Methods 0.000 description 4
- 238000005859 coupling reaction Methods 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000010891 electric arc Methods 0.000 description 3
- 230000006698 induction Effects 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 239000002210 silicon-based material Substances 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000006386 neutralization reaction Methods 0.000 description 2
- 239000011295 pitch Substances 0.000 description 2
- 241000699670 Mus sp. Species 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- QCEUXSAXTBNJGO-UHFFFAOYSA-N [Ag].[Sn] Chemical compound [Ag].[Sn] QCEUXSAXTBNJGO-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- LQBJWKCYZGMFEV-UHFFFAOYSA-N lead tin Chemical compound [Sn].[Pb] LQBJWKCYZGMFEV-UHFFFAOYSA-N 0.000 description 1
- 238000003062 neural network model Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 238000011017 operating method Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000007637 random forest analysis Methods 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67276—Production flow monitoring, e.g. for increasing throughput
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
Landscapes
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Automation & Control Theory (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Mechanical Treatment Of Semiconductor (AREA)
- Non-Volatile Memory (AREA)
- Turning (AREA)
Abstract
本文所描述之一些實施方案提供用於半導體製程工具之技術及設備,該半導體製程工具包括靜電卡盤,具有電壓調整系統,該電壓調整系統用於調整定位於該靜電卡盤上方的半導體基板之整個區域中的電位。該電壓調整系統可判定該半導體基板之一區域內的電位不滿足臨限值。該電壓調整系統可基於判定整個該區域中的該電位不滿足該臨限值來將一或多個導電銷定位於該區域內。該一或多個導電銷在定位於該區域內時可改變該區域之該電位。
Description
本揭露關於一種半導體製程工具及其操作方法。
半導體製程工具可包括支撐半導體基板以進行職製程的靜電卡盤(electrostatic chuck,ESC)。例如,掃描電子顯微鏡(scanning electron microscope,SEM)可包括暫時捕獲半導體基板並將其定位於ESC上方以進行檢驗的ESC。當半導體基板暫時支撐於ESC上方時,SEM之一或多個電子束可針對諸如污染物、材料橋接或不正確的特徵大小以及其他實例的缺陷對半導體基板進行掃描。
根據本揭露的一些實施例,一種半導體製程工具操作方法包含以下步驟:由一控制器自與由一靜電卡盤支撐的一半導體基板之一區域相關聯的一感測器接收包括電壓量測資料的一第一信號;由該控制器基於該電壓量測資料來判定該區域之一電位不滿足一臨限值;由該控制器基於判定該電位不滿足該臨限值來發射:一第二信號以致使一
銷定位子系統將多個導電銷之一集合之多個頂端定位於該區域內;及一第三信號以激活一電源,使得多個導電銷之該集合將一電荷傳輸至該區域以使得該區域之該電位滿足該臨限值。
根據本揭露的一些實施例,一種半導體製程工具操作方法包含以下步驟:由一控制器自一感測器接收包括電壓量測資料的一第一信號;由該控制器基於該電壓量測資料來標識由一靜電卡盤支撐的一半導體基板之一正電荷區域;及由該控制器基於標識該正電荷區域來發射:一第二信號,包括向一銷定位子系統的定位資料,以致使該銷定位子系統將一導電銷之一頂端定位於該正電荷區域內;及一第三信號以調節一電源之一設定,使得該導電銷將一負電荷傳輸至該正電荷區域以中和一正電荷。
根據本揭露的一些實施例,一種半導體製程工具包含:一靜電卡盤,其包括一電極;一包含多個銷導件之陣列,穿過該電極;及一電壓調整系統,包含:一銷定位子系統;多個導電銷之一集合;一電源,該電源與多個導電銷之該集合電耦合;及一控制器,用以:判定與一電弧放電相關聯的一條件已經發生,該電弧放電的位置是位於該電極上方的一半導體基板之一頂側表面與該半導體製程工具之一組件之間;及基於判定該條件已經發生來發射:一第一信號以致使該銷定位子系統將多個導電銷之該集合中之對應的一或多個導電銷之一或多個頂端定位於多個銷導件之該陣列中之一或多個銷導件之多個出口附近或定位成
穿過該些出口;及一第二信號以致使該電源調節一設定,使得該一或多個導電銷傳輸去往或來自該半導體基板的一電荷以改變包括該頂側表面的該半導體基板之一區域之一電位。
100:環境
102:沉積工具
104:曝光工具
106:顯影劑工具
108:蝕刻工具
110:平坦化工具
112:電鍍工具
114:檢驗工具
116:晶圓/晶粒運輸工具
118:靜電卡盤/ESC
120:半導體基板
122:組件
124:電氣接地
126:頂側表面
128,128a,128b,128c,128d:電位
130:半導體元件
132,132a,132b:導電銷
134:背側表面
136:距離
138:電極
140:基板
142,142a,142b:銷導件
144:區域
146:電壓調整系統
148:感測器
150:電源
152:銷定位子系統
154,154a,154b,154c,154d:豎直運動
156:側向運動
158:控制器
160:通訊鏈路
200:實施方案
202:陣列
204,204a,204b,204c:集合
206:線性間距
300:實施方案
302,304,306:製程
400:實施方案
402,404,406:製程
500A,500B:實施方案
502:長度
504:直徑
506:尖頭頂端
600:實施方案
602:翹曲度
700:裝置
710:匯流排
720:處理器
730:記憶體
740:輸入組件
750:輸出組件
760:通訊組件
800:製程
810,820,830:方塊
900:製程
910,920,930:方塊
當結合附圖閱讀以下詳細描述時可最好地理解本揭露之態樣。應注意,根據業內之標準慣例,各種特徵並未按比例繪製。事實上,為了討論清楚起見,可任意增大或減小各種特徵之尺寸。
第1A圖至第1C圖係可在其中實施本文所描述之電壓調整系統及相關方法的示範性環境的圖。
第2圖係本文所描述之導電銷與銷導件之陣列之示範性實施方案的圖。
第3A圖至第3C圖及第4A圖至第4C圖係本文所描述之電壓調整系統之示範性實施方案的圖。
第5A圖、第5B圖及第6圖係本文所描述之導電銷之示範性實施方案的圖。
第7圖係本文所描述之一或多個裝置之示範性組件的圖。
第8圖及第9圖係與包括本文所描述之電壓調整系統的半導體製程工具相關的示範性製程的流程圖。
以下揭露提供許多不同的實施例或實例以用於實
現所提供之主題之不同特徵。在下面描述組件及配置之具體實例以簡化本揭露。當然,這些組件及配置僅僅係實例且並不意欲進行限制。例如,在以下描述中,在第二特徵之上或在其上形成第一特徵可包括將第一特徵與第二特徵形成為直接接觸的實施例,且亦可包括可在第一特徵與第二特徵之間形成附加特徵以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各個實例中重複參考數字及/或字母。此重複係為了簡單及清楚之目的,且本身並不決定所討論之各種實施例及/或組態之間的關係。
此外,為便於描述,在本文中可使用空間相對用語諸如「在......之下」、「在......下方」、「下部」、「在......上方」、「上部」及類似者來描述如圖中所例示之一個元件或特徵與另一個或一些元件或特徵之關係。除了圖中所描繪之定向之外,空間相對用語意欲涵蓋元件在使用中或操作中的不同定向。可以其他方式來定向裝置(旋轉90度或以其他定向),且同樣可相應地解釋本文所使用之空間相對描述詞。
半導體製程工具之靜電卡盤(electrostatic chuck,ESC)可支撐半導體基板。當半導體基板由ESC支撐時,可在半導體基板之一區域中形成電位(例如,電荷量)。電位可引起半導體基板與半導體製程工具之組件之間的電弧放電。另外地或替代地,電位可引起半導體基板與半導體製程工具之電氣接地之間的電弧放電。
電弧放電可對半導體基板造成損壞且可降低自半
導體基板製造半導體元件的產量。電弧放電亦可損壞半導體製程工具,這可導致半導體製程工具之停機時間增加及/或使用半導體製程工具製造半導體元件的產出量降低。
本文所描述之一些實施方案提供一種半導體製程工具,該半導體製程工具包括ESC及電壓調整系統。電壓調整系統用以調整由ESC支撐的半導體基板之整個區域中的電位。電壓調整系統可判定半導體基板之一區域內的電位(例如,電荷量)不滿足臨限值。電壓調整系統可基於判定該區域內的電位不滿足臨限值來將一或多個導電銷定位於該區域內。一或多個導電銷可在定位於區域內時改變區域之電位。在一些實施方案中,電壓調整系統使用不同範圍的豎直運動來定位一或多個導電銷以適應半導體基板之一定程度的翹曲。
以此方式,電壓調整系統確保區域之電位滿足臨限值。藉由確保電位滿足臨限值,電壓調整系統可降低半導體基板與半導體製程工具之組件之間電弧放電的可能性。因此,電壓調整系統防止對半導體基板造成損壞及/或降低損壞可能性,這增加了藉由半導體基板製造半導體元件的產量。此外,電壓調整系統防止對半導體製程工具造成損壞及/或降低損壞可能性,這增加半導體製程工具之正常運行時間且增加使用半導體製程工具製造半導體元件的產出量。
第1A圖至第1C圖係可在其中實施本文所描述之電壓調整系統及相關方法的示範性環境100的圖。如第1A
圖所示,環境100可包括複數個半導體製程工具102-114及晶圓/晶粒運輸工具116。複數個半導體製程工具102-114可包括沉積工具102、曝光工具104、顯影劑工具106、蝕刻工具108、平坦化工具110、電鍍工具112、檢驗工具114及/或另一種類型的半導體製程工具。示範性環境100中所包括的複數個半導體製程工具102-114可包括在半導體清潔室、半導體代工廠、半導體製程設施及/或製造設施以及其他實例中。
沉積工具102係包括半導體製程腔室及能夠將各種類型的材料沉積至半導體基板上的一或多個元件的半導體製程工具。在一些實施方案中,沉積工具102包括能夠將光阻劑層沉積於半導體基板上的旋塗工具。在一些實施方案中,沉積工具102包括化學氣相沉積(chemical vapor deposition,CVD)工具,諸如電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)工具、高密度電漿化學氣相沉積(high-density plasma CVD,HDP-CVD)工具、亞常壓化學氣相沉積(sub-atmospheric CVD,SACVD)工具、低壓化學氣相沉積(low-pressure CVD,LPCVD)工具、原子層沉積(atomic layer deposition,ALD)工具、電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PEALD)工具或另一種類型的CVD工具。在一些實施方案中,沉積工具102包括物理氣相沉積(physical vapor deposition,PVD)工具,諸如濺射
工具或另一種類型的PVD工具。在一些實施方案中,沉積工具102包括用以藉由磊晶生長形成元件之層及/或區域的磊晶工具。在一些實施方案中,示範性環境100包括複數種類型的沉積工具102。
曝光工具104係能夠將光阻劑層曝露於輻射源的半導體製程工具,該輻射源諸如紫外(ultraviolet,UV)光源(例如,深紫外光源、極紫外(extreme UV,EUV)光源及/或類似者)、x射線源、電子束(e束)源及/或類似者。曝光工具104可將光阻劑層曝露於輻射源以將圖案自光罩轉印至光阻劑層。圖案可包括用於形成一或多個半導體元件的一或多個半導體元件層圖案,可包括用於形成半導體元件之一或多個結構的圖案,可包括用於蝕刻半導體元件之各個部分的圖案,及/或類似者。在一些實施方案中,曝光工具104包括掃描器、步進器或相似類型的曝光工具。
顯影劑工具106係能夠對已經曝露於輻射源的光阻劑層進行顯影以顯影自曝光工具104轉印至光阻劑層的圖案的半導體製程工具。在一些實施方案中,顯影劑工具106藉由移除光阻劑層之未曝光部分來顯影圖案。在一些實施方案中,顯影劑工具106藉由移除光阻劑層之經曝光部分來顯影圖案。在一些實施方案中,顯影劑工具106藉由透過使用化學顯影劑溶解光阻劑層之未曝光或經曝光部分來顯影圖案。
蝕刻工具108係能夠蝕刻半導體基板或半導體元
件之各種類型的材料的半導體製程工具。例如,蝕刻工具108可包括濕蝕刻工具、乾蝕刻工具及/或類似者。在一些實施方案中,蝕刻工具108包括填充有蝕刻劑的腔室,且半導體基板放置於該腔室中達特定時間週期以移除半導體基板之特定量的一或多個部分。在一些實施方案中,蝕刻工具108可使用電漿蝕刻或電漿輔助蝕刻來蝕刻半導體基板之一或多個部分,這可涉及使用電離氣體對一或多個部分進行各向同性或定向蝕刻。
平坦化工具110係能夠對半導體基板或半導體元件之各個層進行拋光或平坦化的半導體製程工具。例如,平坦化工具110可包括對所沉積或所電鍍材料之層或表面進行拋光或平坦化的化學機械平坦化(chemical mechanical planarization,CMP)工具及/或另一種類型的平坦化工具。平坦化工具110可用化學力與機械力之組合(例如,化學蝕刻及自由研磨拋光)對半導體元件之表面進行拋光或平坦化。平坦化工具110可結合拋光墊及固定環(例如,通常具有比半導體元件更大的直徑)利用研磨性及腐蝕性化學漿料。拋光墊及半導體元件可由動態拋光頭壓在一起且由固定環保持就位。動態拋光頭可以不同的旋轉軸旋轉以移除材料並使半導體元件之任何不規則形貌平整,從而使半導體元件變平或平坦。
電鍍工具112係能夠用一或多種金屬對半導體基板(例如,半導體晶圓、半導體元件及/或類似者)或其一部分進行電鍍的半導體製程工具。例如,電鍍工具112可包
括銅電鍍裝置、鋁電鍍裝置、鎳電鍍裝置、錫電鍍裝置、複合材料或合金(例如,錫銀、錫鉛及/或類似者)電鍍裝置及/或用於一或多種其他類型的導電材料、金屬及/或相似類型的材料的電鍍裝置。
檢驗工具114係能夠針對缺陷對半導體基板進行檢驗的半導體製程工具。檢驗工具114可檢測的缺陷包括污染物、材料橋接、形成於半導體基板上的積體電路之不正確特徵大小(例如,不正確臨界尺度)、圖案未對準、上覆未對準及/或空隙及其他類型的不連續以及其他實例。藉由針對缺陷對半導體基板進行檢驗,檢驗工具114可提供資料及/或反饋以保持對一或多種半導體製程工具102-112的製程控制。檢驗工具之實例包括掃描電子顯微鏡(scanning electron microscope,SEM)、透射電子顯微鏡(transmissive electronic microscope,TEM)及/或另一種類型的電子束檢驗工具以及其他實例。
晶圓/晶粒運輸工具116包括移動式機器人、機械臂、有軌電車或軌道車、架空式起重運輸(overhead hoist transport,OHT)系統、自動化材料搬運系統(automated material-handling system,AMHS)及/或另一種類型的裝置,該裝置用以在半導體製程工具102-114之間運輸半導體基板及/或半導體元件,用以在同一半導體製程工具之製程腔室之間運輸基板及/或半導體元件,及/或用以向及自其他位置諸如晶圓架、儲存室及/或類似者運輸基板及/或半導體元件。在一些實施方案中,
晶圓/晶粒運輸工具116可係用以沿特定路徑行進的程式化裝置,且/或可半自主地或自主地操作。在一些實施方案中,環境100包括複數個晶圓/晶粒運輸工具116。
例如,晶圓/晶粒運輸工具116可包括在群集工具或包括複數個製程腔室的另一種類型的工具中,且可用以在複數個製程腔室之間運輸半導體基板及/或半導體元件,用以在製程腔室與緩衝區之間運輸半導體基板及/或半導體元件,用以在製程腔室與介面工具諸如設備前端模組(equipment front end module,EFEM)之間運輸半導體基板及/或半導體元件,及/或用以在製程腔室與運輸載具(例如,前開式晶圓傳送盒(front opening unified pod,FOUP))之間運輸半導體基板及/或半導體元件,以及其他實例。在一些實施方案中,晶圓/晶粒運輸工具116可包括在多腔室(或群集)沉積工具102,沉積工具102可包括預清潔製程腔室(例如,用於清潔或移除來自半導體基板及/或半導體元件的氧化物、氧化層及/或其他類型的污染物或副產物)及複數種類型的沉積製程腔室(例如,用於沉積不同類型的材料的製程腔室、用於執行不同類型的沉積操作的製程腔室)。在這些實施方案中,晶圓/晶粒運輸工具116用以在沉積工具102之製程腔室之間運輸半導體基板及/或半導體元件,而不破壞或移除製程腔室之間及/或沉積工具102中的製程操作之間的真空(或至少部分真空),如本文所描述。
在一些實施方案中,半導體製程工具102-114中
之一或多者包括在各別製造製程期間支撐(例如,暫時固定、暫時對準或暫時定位以及其他實例)半導體基板的卡持組件。例如,檢驗工具114可包括靜電卡盤(electrostatic chuck,ESC)118,如第1A圖所示。
第1B圖示出ESC 118之示範性實施方案。在第1B圖中,ESC 118在檢驗工具114內支撐半導體基板120(例如,半導體晶圓)。ESC 118用以基於施加至ESC 118的電壓產生ESC 118與半導體基板120之間的吸引力。電壓可由向ESC 118提供高偏壓電壓的電源提供。吸引力(例如,庫侖力)可致使半導體基板120保持在ESC 118上並由ESC 118支撐。
ESC 118之大小及形狀可視半導體基板120之大小及形狀來設定。例如,ESC 118可為圓形形狀且可支撐半導體基板120之全部或一部分,半導體基板120亦係圓形形狀的。ESC 118可由金屬諸如鋁、不銹鋼或另一合適材料構成。
如圖所示,ESC 118相對於檢驗工具114之組件122定位半導體基板120。在檢驗工具114包括掃描電子顯微鏡(scanning electron microscope,SEM)的實施方案中,組件122可對應於透鏡帽結構(例如,容納一或多個電子束所穿過的一或多個透鏡的結構)。檢驗工具114可進一步包括電氣接地124。
如第1B圖所示,半導體基板120之頂側表面126包括電位128(例如,電荷)。儘管在第1B圖中示出為正,
但電位之極性可為負。在一些實施方案中,檢驗工具114產生電子束,該電子束對頂側表面126進行掃描。在對頂側表面126的掃描期間,電子束可產生與電位128之變化相關的條件。例如,電子束可導致在半導體基板120之頂側表面126上的電子堆積,從而導致在頂側表面126上形成負電荷。又如,電子束可撞擊半導體基板120之頂側表面126上的電子,這使電子移位並導致空穴形成。在此實例中,形成空穴堆積,這導致在頂側表面126上形成正電荷。
電位128可產生與組件122與頂側表面126、半導體基板120及/或ESC 118之間電弧放電(或電弧放電的可能性增加)相關聯的條件。另外地或替代地,電位128亦可產生與電氣接地124與頂側表面126、半導體基板120及/或ESC 118之間電弧放電(或電弧放電的可能性增加)相關聯的條件。電弧放電可損壞包括在半導體基板120上的半導體元件130(例如,晶粒、積體電路)。另外地或替代地,電弧放電可損壞檢驗工具114。
如本文所用,用語「電弧放電」可係指透過通常非導電的介質諸如絕緣材料或空氣發生的任何放電。如本文所用,「電弧放電」可係指間歇或離散放電(亦可稱為電火花)及/或連續放電(亦可稱為電弧)。例如,在電位128增加至足以產生穿過半導體基板120與組件122之間或半導體基板120與電氣接地124之間的非導電介質的導電通道的量級的情況下,可發生放電。
與電弧放電相關聯的一或多個條件可與包括在半導體基板120中的一或多種材料相關。例如,若半導體基板120包括矽材料,則大於或等於矽材料之帶隙的電位128可增加電弧放電可能性。對於矽材料,且例如,帶隙可包括在自近似1.0電子伏特(electron volt,eV)至近似1.5eV的範圍內。
為了降低電弧放電可能性,導電銷132之頂端(或包括一或多個導電銷132的集合之頂端)可定位於半導體基板120之背側表面134附近及包括電位128的區域附近或該區域內。以此方式,電荷可自導電銷132傳輸至半導體基板120以減小電位128之量級及/或中和電位128。減小量級及/或中和電位128降低在半導體基板120與組件122之間及/或半導體基板120與電氣接地124之間形成導電通道之可能性及/或防止這種情況,這降低電弧放電可能性。
在一些實施方案中,導電銷132之頂端定位於距半導體基板120之背側表面134的距離136處,距離136在大於0微米(micron,μm)至小於或等於近似1μm之範圍內。將頂端定位於此範圍內可允許電源透過導電銷132提供中和電位128(或減小電位128之量級)而不損壞半導體基板120的電荷。然而,距離136之其他值及/或範圍(包括導致導電銷132接觸背側表面134或半導體基板120之其他表面的範圍)亦在本揭露之範疇內。
其他半導體製程工具(例如,半導體製程工具
102-112以及其他實例)可包括卡持組件(例如,真空卡持組件、或ESC 118之變型以及其他實例)及導電銷132之變型。另外地或替代地,導電銷132之頂端可定位於半導體基板120之頂側表面126附近或其他半導體製程工具之卡持組件附近以中和電位128。
第1C圖示出ESC 118之示範性實施方案。在該示範性實施方案中,ESC 118包括電極138及基板140。電壓可施加至電極138以產生庫侖力,該庫侖力將半導體基板120吸引至電極138以供ESC 118支撐(例如,暫時固定、暫時對準或暫時定位以及其他實例)半導體基板120。
電極138包括銷導件142,導電銷132可穿過銷導件142定位。銷導件142可包括圓柱孔或者包括襯套,以及其他實例。在一些實施方案中,導電銷132經定位成使得導電銷132之頂端自銷導件142之出口突出。在一些實施方案中,導電銷132經定位成使得導電銷132之頂端保持於銷導件142內。如第1C圖所示,導電銷132之頂端位於包括電位128的區域144內。
如第1C圖所示,導電銷132可作為電壓調整系統146之一部分包括在內,電壓調整系統146可改變區域144之電位128。除導電銷132之外,電壓調整系統146包括感測器148(例如,電壓位準感測器以及其他實例)。電壓調整系統146進一步包括電源150(例如,可產生正電位或負電位的電源,以及其他實例),電源150電氣耦
合至導電銷132。
電壓調整系統146進一步包括銷定位子系統152,銷定位子系統152機械耦合至導電銷132。銷定位子系統152可包括一或多個組件,諸如線性感應馬達組件、伺服馬達組件、步進馬達組件、氣壓缸組件、或滾珠螺桿組件以及其他實例。銷定位子系統152可致使豎直運動154及/或側向運動156中之一或多者之組合將導電銷132之頂端定位於包括電位128的區域144內。在存在包括複數個導電銷132的集合的實施方案中,銷定位子系統152之一或多個組件可提供對該集合中之各導電銷132的獨立定位控制。
電壓調整系統146進一步包括控制器158。控制器158可包括處理器、處理器與記憶體之組合、及/或發射及接收信號的收發器以及其他實例。如第1C圖所示,控制器158使用一或多個通訊鏈路160(例如,一或多個無線通訊鏈路、一或多個有線通訊鏈路、或一或多個無線通訊鏈路與一或多個有線通訊鏈路之組合以及其他實例)通訊地連接至感測器148、電源150及銷定位子系統152。
控制器158可執行一或多個製程。例如,控制器158可自感測器148接收電壓量測資料,且基於電壓量測資料來判定區域144之電位128不滿足臨限值。控制器158可進一步用以向銷定位子系統152發射信號以致使銷定位子系統152將導電銷132之頂端定位於區域144內。控制器158可向電源150發射另一信號以使得導電銷132
將電荷傳輸至區域144,使得區域144之電位128滿足臨限值。
控制器158可使用機器學習模型來判定可與電弧放電相關聯的一或多個條件。該機器學習模型可包括神經網路模型、隨機森林模型、群集模型或迴歸模型以及其他實例中之一或多者及/或可與之相關聯。在一些實施方案中,控制器158藉由將區域144之候選電壓量測、在ESC 118上方的半導體基板120之定位量測、半導體基板120之翹曲量測及/或檢驗工具114之操作參數作為輸入提供給機器學習模型使用機器學習模型來判定一或多個條件。使用機器學習模型,控制器158可判定使用候選量測及/或參數將達成後續檢驗操作之特定結果(例如,電弧放電)的可能性、概率或置信度。在一些實施方案中,控制器158將不發生電弧放電的條件作為輸入提供給機器學習模型,且控制器158使用機器學習模型判定或標識有可能達成防止電弧放電的條件的操作及/或定位參數之特定組合。
控制器158(或另一系統)可訓練、更新及/或微調機器學習模型以增加使用機器學習模型判定的結果及/或參數之準確度。控制器158可基於來自由檢驗工具114執行的後續檢驗操作以及歷史的或相關檢驗操作(例如,數百、數千或更多歷史的或相關檢驗操作)的反饋及/或結果來訓練、更新及/或微調機器學習模型。
控制器158可執行一或多個製程以改變區域144之電位128。例如,且對於引起如第1C圖所示之電位128
的正電荷,一或多個製程可包括由控制器158自感測器148接收包括電壓量測資料的第一信號,由控制器158基於電壓量測資料來標識由ESC 118支撐的半導體基板120之正電荷區域(例如,區域144)。接著,一或多個製程可包括由控制器158基於標識正電荷區域144來向銷定位子系統152發射包括定位資料的第二信號,以致使銷定位子系統152將導電銷132之頂端定位於正電荷區域144內。一或多個製程亦可包括發射第三信號以調節電源150之設定,使得導電銷132將負電荷傳輸至區域144以中和正電荷。
在第1C圖及本文別處之一些實施方案中,在電壓調整系統146改變電位128的同時將半導體基板120定位及/或支撐在ESC 118上方包括使用升舉銷及/或真空棒(未例示出)將半導體基板120與電極138之表面分離。在第1C圖及本文別處之一些實施方案中,在電壓調整系統146改變電位128的同時將半導體基板120定位及/或支撐在ESC 118上方包括使半導體基板120接觸電極138之表面。
第1A圖至第1C圖所示之裝置之數目及配置係作為一或多個實例而提供。在實踐中,可存在與第1A圖至第1C圖所示之那些裝置相比附加的裝置、更少的裝置、不同的裝置或以不同方式配置的裝置。此外,第1A圖至第1C圖所示之二或更多個裝置可在單個裝置內實現,或者第1A圖至第1C圖所示之單個裝置可實現為多個分佈式裝置。
例如,且關於第2圖及本文別處所描述,包括銷導件142中之一或多個的陣列可包括在電極138中,且包括導電銷132中之一或多個的集合可作為電壓調整系統146之一部分包括在內。另外地或替代地,環境100之裝置集合(例如,一或多個裝置)可執行描述為由環境100之另一裝置集合執行的一或多個功能。
第2圖係本文所描述之導電銷132與銷導件142之陣列202之示範性實施方案200的圖。陣列202可形成為使得導電銷132及銷導件142穿過電極138。
陣列202包括導電銷132中之一或多個及銷導件142中之一或多個。在一些實施方案中,電壓調整系統146(例如,控制器158與銷定位子系統152相結合)可自陣列202選擇集合204。例如,電壓調整系統146可選擇包括導電銷132中之一個及銷導件142中之一個以改變半導體基板120之一區域中的電位128的集合204a。另外地或替代地,電壓調整系統146可選擇包括導電銷132中之兩個及銷導件142中之兩個以改變半導體基板120之另一區域中的電位128的集合204b。另外地或替代地,電壓調整系統146可選擇包括導電銷132中之四個及銷導件142中之四個以改變半導體基板120之另一區域中的電位128的集合204c。集合204a、204b、204c係作為實例而提供。在其他實例中,可選擇附加或不同數目的導電銷132及銷導件142之集合。
如第2圖所示,且例如,陣列202包括具有近似
5.0公分(centimeter,cm)的線性間距206的「等間距陣列」圖案。然而,其他圖案(例如,線性圖案、放射狀圖案以及其他實例)及間距(包括可變間距)亦在本揭露之範疇內。
根據第2圖,導電銷132與銷導件142之陣列202可包括在包括控制器158的半導體製程工具(例如,半導體製程工具114)中。此外,導電銷132與銷導件142之陣列202可用於由半導體製程工具執行的一或多個製程中。
例如,且根據第2圖之陣列202,控制器158可執行一或多個製程以使得區域144之電位128滿足臨限值。一或多個製程可包括由控制器158自與由ESC 118支撐的半導體基板120之區域144相關聯的感測器148接收包括電壓量測資料的第一信號,及由控制器158基於電壓量測資料來判定區域144之電位128不滿足臨限值。一或多個製程可進一步包括由控制器158基於判定電位128不滿足臨限值來發射:第二信號以致使銷定位子系統152將導電銷132之集合204c之頂端定位於區域144內;及第三信號以激活電源150,使得導電銷132之集合204c將電荷傳輸至區域144以使得區域144之電位128滿足臨限值。
另外地或替代地,半導體製程工具可包括具有電極138的ESC 118、穿過電極138的銷導件142之陣列202以及電壓調整系統146。電壓調整系統146可包括銷
定位子系統152、導電銷132之集合204及與導電銷132之集合204電氣耦合的電源150。電壓調整系統146可進一步包括控制器158,控制器158用以判定與定位於電極138上方的半導體基板120之頂側表面126與半導體製程工具之組件122之間電弧放電相關聯的條件已經發生。接著,控制器158可用以基於判定條件已經發生來發射第一信號以致使銷定位子系統152將導電銷132之集合204c中之對應的一或多個導電銷132之一或多個頂端定位於銷導件142之陣列202中之一或多個銷導件142之出口附近或定位成穿過該等出口。控制器158可進一步用以發射第二信號以致使電源150調節設定,使得一或多個導電銷132傳輸去往或來自半導體基板120的電荷以改變包括頂側表面126的半導體基板120之區域144之電位128。
如以上所指示,第2圖係作為實例而提供。其他實例可與關於第2圖所描述的不同。
第3A圖至第3C圖係本文所描述之電壓調整系統146之示範性實施方案300的圖。為簡單起見,該實施方案描述由包括單個導電銷132的電壓調整系統146執行的製程。然而,該實施方案之不同置換、組合及/或變型可使用如第2圖之陣列202中及本文別處所闡述的一或多個導電銷132之集合。
自第3A圖開始,製程302包括控制器158使用一或多個通訊鏈路160自感測器148接收第一信號。控制器158可基於第一信號中所包括的電壓量測資料來判定區
域144之電位128a(例如,正偏壓)不滿足臨限值。
例如,臨限值可對應於在自近似1.0eV至近似1.5eV範圍內的上限臨限值,在這種情況下,滿足臨限值對應於小於近似1.0eV至近似1.5eV的電位128a。藉由選擇在此範圍內的臨限值,由於超出矽之帶隙,區域144與檢驗工具114之組件122之間電弧放電的可能性得以降低。然而,臨限值之其他值及/或範圍亦在本揭露之範疇內。
另外地或替代地,臨限值可對應於跨半導體基板120之多個區域的均勻性臨限值。控制器158可基於與區域144相關聯的電壓量測資料及與半導體基板120之其他區域相關聯的其他電壓量測資料來判定跨半導體基板120的均勻性度量(例如,分佈、標準偏差、或範圍以及其他實例)不滿足臨限值。
此外,控制器158可判定導電銷132處於縮回狀態且導電銷132之頂端在區域144下方。
在第3B圖中,作為製程304之一部分,且基於判定區域144之電位128a不滿足臨限值,控制器158使用一或多個通訊鏈路160向銷定位子系統152發射第二信號。該第二信號可致使銷定位子系統152使用豎直運動154將導電銷132定位成使得導電銷132之頂端在半導體基板120之背側表面134之特定距離範圍內。
作為製程306之一部分,在第3C圖中,控制器158使用一或多個通訊鏈路160向電源150發射第三信號。
在一些實施方案中,第三信號致使電源150中和電位128a。如第3C圖所示,電源150可藉由提供另一電位128b(例如,負偏壓或電荷)來中和電位128a。
在一些實施方案中,導電銷132可使電位128a放電(例如,電源150處於中性狀態且不使用其他電位128b偏置導電銷132)。
如以上所指示,第3A圖至第3C圖係作為實例而提供。其他實例可與關於第3A圖至第3C圖所描述的不同。另外地或替代地,第3A圖至第3C圖之實施方案使用複數個導電銷132,包括如關於第2圖所描述之集合204中之一或多個。例如,第3A圖至第3C圖之實施方案可使用包括第一數量的導電銷132的第一集合,且同時或連續地使用包括第二數量的導電銷132的第二集合。
第4A圖至第4C圖係本文所描述之電壓調整系統146之示範性實施方案400的圖。為簡單起見,該實施方案描述由包括單個導電銷132的電壓調整系統146執行的製程。然而,該實施方案之不同置換、組合及/或變型可使用如第2圖之陣列202中及本文別處所闡述的一或多個導電銷132之集合。
自第4A圖開始,製程402包括控制器158使用一或多個通訊鏈路160自感測器148接收第一信號。控制器158可判定區域144之電位128c(例如,負偏壓或電荷)不滿足臨限值(例如,電位128c大於矽之帶隙,以及其他實例)。此外,控制器158可判定導電銷132在銷導
件142a內且導電銷132之頂端不在區域144內。
在第4B圖中,作為製程404之一部分,且基於判定區域144之電位128c不滿足臨限值,控制器158使用一或多個通訊鏈路160向銷定位子系統152發射第二信號。第二信號可致使銷定位子系統152將導電銷132自銷導件142a重新定位至另一銷導件142b。
例如,銷定位子系統152可使用氣壓缸組件以使用豎直運動154a使銷自銷導件142a縮回。繼續該實例,銷定位子系統152可使用線性感應馬達組件以側向地將導電銷132自銷導件142a重新定位至銷導件142b(例如,在二或更多個銷導件之中)且使用側向運動156重新定位至區域144內。繼續該實例,銷定位子系統152可使用氣壓缸組件來使導電銷132使用豎直運動154b延伸成使得導電銷132之頂端在半導體基板120之背側表面134之特定距離範圍內。
作為製程406之一部分,在第4C圖中,控制器158使用一或多個通訊鏈路160向電源150發射第三信號。在一些實施方案中,第三信號致使電源150中和電位128c。如第4C圖所示,電源150可藉由提供另一電位128d(例如,正偏壓或電荷)來中和電位128c。
如以上所指示,第4A圖至第4C圖係作為實例而提供。其他實例可與關於第4A圖至第4C圖所描述的不同。例如,另外地或替代地,第4A圖至第4C圖之實施方案使用複數個導電銷132,包括如關於第2圖及本文別處所描
述之一或多個集合204。
第5A圖及第5B圖係本文所描述之導電銷132之示範性實施方案500A及500B的圖。第5A圖及第5B圖之導電銷132可包括一或多種材料。在一些實施方案中,導電銷132包括碳奈米管材料。在一些實施方案中,導電銷132包括另一種材料,諸如鋁、銅、金、或鎢以及其他實例。
如第5A圖所示,導電銷132可具有在近似20.0公分(centimeter,cm)至近似30.0cm範圍內的長度502。藉由具有在此範圍內的長度502,導電銷132可穿過ESC 118並機械連接至銷定位子系統152。然而,長度502之其他值及/或範圍亦在本揭露之範疇內。
亦如第5A圖所示,導電銷132可具有在近似1.0奈米(nanometer,nm)至近似2.0nm範圍內的直徑504。藉由具有在此範圍內的直徑504,導電銷132可與導電銷132中包含碳奈米管材料兼容。然而,直徑504之其他值及/或範圍亦在本揭露之範疇內。
在一些實施方案中,且如第5B圖所示,導電銷132包括尖頭頂端506。尖頭頂端506可增加藉由銷定位子系統152定位導電銷132的準確度。在導電銷132與半導體基板120之背側表面134實體接觸的實施方案中,尖頭頂端506可穿透氧化物生長以改善與背側表面134之電氣接觸之品質。在一些實施方案中,電壓調整系統146包括有包括非尖頭頂端與尖頭頂端之組合的導電銷132。
如以上所指示,第5A圖及第5B圖係作為實例而提供。其他實例可與關於第5A圖及第5B圖所描述的不同。
第6圖係本文所描述之導電銷132之示範性實施方案600。如第6圖所示,半導體基板120具有翹曲度602。在一些實施方案中,控制器158基於一批(例如,一群或一堆)半導體基板120提供給檢驗工具114的所接收資訊來標識翹曲度602。在一些實施方案中,控制器158基於由檢驗工具114執行的量測來標識翹曲度602。
控制器158可基於翹曲度602來發射信號以致使銷定位子系統152個別地調節一或多個導電銷132之「行程」以補償翹曲度602。例如,且如第6圖所示,導電銷132a之行程(例如,豎直運動154c)不同於導電銷132b之另一行程(例如,豎直運動154d)。行程差異可補償翹曲度602且將導電銷132a及132b之頂端定位於距半導體基板之背側表面134的相同範圍(例如,距離)內。
如以上所指示,第6圖係作為實例而提供。其他實例可與關於第6圖所描述的不同。
第7圖係裝置700之示範性組件的圖,該等組件可對應於半導體製程工具102-112、檢驗工具114、感測器148、電源150、銷定位子系統152及/或控制器158中之一或多者。在一些實施方案中,半導體製程工具102-112、檢驗工具114、感測器148、電源150、銷定位子系統152及/或控制器158中之一或多者包括一或多
個裝置700及/或裝置700之一或多個組件。如第7圖所示,裝置700可包括匯流排710、處理器720、記憶體730、輸入組件740、輸出組件750及通訊組件760。
匯流排710包括使得能夠在裝置700之組件之間進行有線及/或無線通訊的一或多個組件。匯流排710可諸如經由操作耦合、通訊耦合、電子耦合及/或電耦合將第7圖之二或更多個組件耦合在一起。處理器720包括中央處理單元、圖形處理單元、微處理器、控制器、微控制器、數位信號處理器、現場可程式邏輯閘陣列、特殊應用積體電路及/或另一種類型的處理組件。處理器720以硬體、韌體、或硬體與軟體之組合實施。在一些實施方案中,處理器720包括能夠經程式化以執行本文別處所描述之一或多個操作或製程的一或多個處理器。
記憶體730包括揮發性及/或非揮發性記憶體。例如,記憶體730可包括隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read only memory,ROM)、硬碟驅動器及/或另一種類型的記憶體(例如,快閃記憶體、磁記憶體及/或光記憶體)。記憶體730可包括內部記憶體(例如,RAM、ROM或硬碟驅動器)及/或可移除記憶體(例如,可經由通用串列匯流排連接移除)。記憶體730可係非暫時性電腦可讀取媒體。記憶體730儲存與裝置700之操作相關的資訊、指令及/或軟體(例如,一或多個軟體應用)。在一些實施方案中,記憶體730包括諸如經由匯流排710耦合至一或多個處理器(例如,處理器
720)的一或多個記憶體。
輸入組件740使得裝置700能夠接收輸入,諸如使用者輸入及/或讀出輸入。例如,輸入組件740可包括觸控螢幕、鍵盤、小鍵盤、滑鼠、按鈕、麥克風、開關、感測器、全球定位系統感測器、加速度計、陀螺儀及/或致動器。輸出組件750使得裝置700能夠諸如經由顯示器、揚聲器及/或發光二極體提供輸出。通訊組件760使得裝置700能夠諸如經由有線連接及/或無線連接與其他裝置通訊。例如,通訊組件760可包括接收器、發射器、收發器、數據機、網路介面卡及/或天線。
裝置700可執行本文所描述之一或多個操作或製程。例如,非暫時性電腦可讀取媒體(例如,記憶體730)可儲存指令集合(例如,一或多個指令或代碼)以供處理器720執行。處理器720可執行指令集合以執行本文所描述之一或多個操作或製程。在一些實施方案中,由一或多個處理器720執行指令集合致使一或多個處理器720及/或裝置700執行本文所描述之一或多個操作或製程。在一些實施方案中,固線式電路系統被用來代替指令或與指令結合以執行本文所描述之一或多個操作或製程。另外地或替代地,處理器720可用以執行本文所描述之一或多個操作或製程。因此,本文所描述之實施方案不限於硬體電路及軟體之任何特定組合。
第7圖所示之組件之數目及配置係作為實例而提供。裝置700可包括與第7圖所示之那些組件相比附加的
組件、更少的組件、不同的組件或以不同方式配置的組件。另外地或替代地,裝置700之組件集合(例如,一或多個組件)可執行描述為由裝置700之另一組件集合執行的一或多個功能。
第8圖係與包括本文所描述之電壓調整系統的半導體製程工具相關的示範性製程的流程圖。在一些實施方案中,第8圖之一或多個製程方塊由控制器(例如,控制器158)執行。在一些實施方案中,第8圖之一或多個製程方塊由與控制器158分開或包括控制器158的另一裝置或一群裝置諸如檢驗工具114、感測器148、電源150及/或銷定位子系統152執行。另外地或替代地,第8圖之一或多個製程方塊可由裝置700之一或多個組件諸如處理器720、記憶體730、輸入組件740、輸出組件750及/或通訊組件760執行。
如第8圖所示,製程800可包括自與由ESC支撐的半導體基板之區域相關聯的感測器接收包括電壓量測資料的第一信號(方塊810)。例如,控制器158可自與由ESC 118支撐的半導體基板120之區域144相關聯的感測器148接收包括電壓量測資料的第一信號,如以上所描述。
如第8圖進一步所示,製程800可包括基於電壓量測資料來判定該區域之電位不滿足臨限值(方塊820)。例如,控制器158可基於電壓量測資料來判定該區域144之電位128不滿足臨限值,如以上所描述。
如第8圖進一步所示,製程800可包括基於判定電位不滿足臨限值來發射:第二信號以致使銷定位子系統將導電銷之集合之頂端定位於該區域內;及第三信號以激活電源,使得導電銷之集合將電荷傳輸至該區域以使得區域之電位滿足臨限值(方塊830)。例如,控制器158可基於判定電位128不滿足臨限值來發射:第二信號以致使銷定位子系統152將導電銷132之集合之頂端定位於區域144內;及第三信號以激活電源150,使得導電銷132之集合將電荷傳輸至區域144以使得區域之電位128滿足臨限值,如以上所描述。
製程800可包括附加的實施方案,諸如任何單個實施方案、或以下及/或結合本文別處所描述之一或多個其他製程所描述之實施方案之任何組合。
在第一實施方案中,判定電位128不滿足臨限值包括判定電位128大於或等於半導體基板120之材料之帶隙。
在第二實施方案中,單獨地或結合第一實施方案,製程800包括半導體基板120之材料包含矽,且帶隙包括在近似1.0電子伏特(electron volt,eV)至近似1.5eV的範圍內。
在第三實施方案中,單獨地或結合第一及第二實施方案中之一或多個,判定電位128不滿足臨限值包括基於半導體基板120之一或多個其他區域之一或多個其他電位來判定電位128不滿足均勻性臨限值。
在第四實施方案中,單獨地或結合第一至第三實施方案中之一或多個,發射第二信號包括發射第二信號以致使銷定位子系統152在區域144內將導電銷132之集合204之頂端定位於距半導體基板120之背側表面134的距離136處,距離136在大於0微米(micron,μm)至小於或等於近似1μm的範圍內。
在第五實施方案中,單獨地或結合第一至第四實施方案中之一或多個,發射第二信號包括發射自其中包括導電銷132之集合204的導電銷132之陣列202標識導電銷132之集合204的資料。
在第六實施方案中,單獨地或結合第一至第五實施方案中之一或多個,發射第三信號包括發射第三信號以激活電源150,使得電源150在ESC 118支撐半導體基板120的同時嚮導電銷132之集合204提供連續功率。
儘管第8圖示出製程800之示範性方塊,但在一些實施方案中,製程800包括與第8圖中所描繪之那些方塊相比附加的方塊、更少的方塊、不同的方塊或以不同方式配置的方塊。另外地或替代地,製程800之方塊中之二或更多個可並行執行。
第9圖係與包括本文所描述之電壓調整系統的半導體製程工具相關的示範性製程的流程圖。在一些實施方案中,第9圖之一或多個製程方塊由控制器(例如,控制器158)執行。在一些實施方案中,第9圖之一或多個製程方塊由與控制器158分開或包括控制器158的另一裝置或一
群裝置諸如檢驗工具114、感測器148、電源150及/或銷定位子系統152執行。另外地或替代地,第8圖之一或多個製程方塊可由裝置700之一或多個組件諸如處理器720、記憶體730、輸入組件740、輸出組件750及/或通訊組件760執行。
如第9圖所示,製程900可包括自感測器接收包括電壓量測資料的第一信號(方塊910)。例如,控制器158可自感測器148接收包括電壓量測資料的第一信號,如以上所描述。
如第9圖進一步所示,製程900可包括基於電壓量測資料來標識由ESC支撐的半導體基板之正電荷區域(方塊920)。例如,控制器158可基於電壓量測資料來標識由ESC 118支撐的半導體基板120之正電荷區域144,如以上所描述。
如第9圖進一步所示,製程900可包括基於標識正電荷區域來向銷定位子系統發射:包括定位資料的第二信號以致使銷定位子系統將導電銷之頂端定位於正電荷區域內;及第三信號以調節電源之設定,使得導電銷將負電荷傳輸至該區域以中和正電荷(方塊930)。例如,控制器158可基於標識正電荷區域144來向銷定位子系統152發射:包括定位資料的第二信號以致使銷定位子系統152將導電銷132之頂端定位於正電荷區域144內;及第三信號以調節電源150之設定,使得導電銷132將負電荷傳輸至該區域144以中和正電荷,如以上所描述。
製程900可包括附加的實施方案,諸如任何單個實施方案、或以下及/或結合本文別處所描述之一或多個其他製程所描述之實施方案之任何組合。
在第一實施方案中,標識區域144包括判定包括ESC 118的半導體製程工具114之操作參數,及基於機器學習模型來標識區域144,該機器學習模型使半導體製程工具114之操作參數與正電荷區域144相關。
在第二實施方案中,單獨地或結合第一實施方案,製程900包括向機器學習模型提供包括操作參數的資料及正電荷之量級,及使用該資料來更新機器學習模型之演算法,該演算法使半導體製程工具114之操作參數與在半導體製程工具114與半導體基板120之間發生電弧放電的可能性相關。
在第三實施方案中,單獨地或結合第一及第二實施方案中之一或多個,發射第二信號包括發射定位資料,該定位資料標識區域144內的半導體基板120之翹曲度602以致使銷定位子系統152使用補償翹曲度602的一或多個定位調節將導電銷132之頂端定位於正電荷區域144內。
在第四實施方案中,單獨地或結合第一至第三實施方案中之一或多個,發射第二信號包括發射定位資料以致使銷定位子系統152將導電銷132之頂端自對應於穿過ESC 118的第一銷導件142a的第一位置重新定位至對應於穿過ESC 118的第二銷導件142b的第二位置。
在第五實施方案中,單獨地或結合第一至第四實施
方案中之一或多個,製程900包括發射定位資料以致使銷定位子系統152將導電銷132之頂端重新定位至第二位置係為了致使銷定位子系統152將導電銷之頂端重新定位於正電荷區域144內。在一些實施方案中,正電荷區域不同於對應於第一位置的另一區域。
儘管第9圖展示製程900之示範性方塊,但在一些實施方案中,製程900包括與第9圖中所描繪之那些方塊相比附加的方塊、更少的方塊、不同的方塊或以不同方式配置的方塊。另外地或替代地,製程900之方塊中之二或更多個可並行執行。
本文所描述之一些實施方案提供用於半導體製程工具之技術及設備,該半導體製程工具包括ESC,具有電壓調整系統,該電壓調整系統用於調整定位於ESC上方的半導體基板之整個區域中的電位。電壓調整系統可判定半導體基板之一區域內的電位不滿足臨限值。電壓調整系統可基於判定整個區域中的電位不滿足臨限值來將一或多個導電銷定位於該區域內。一或多個導電銷在定位於該區域內時可改變該區域之電位。
電壓調整系統確保各區域之電位滿足臨限值。藉由確保電位滿足臨限值,電壓調整系統可降低半導體基板與半導體製程工具之組件之間電弧放電的可能性。以此方式,可防止對半導體基板造成損壞,以增加藉由半導體基板製造半導體元件的產量。此外,可防止對半導體製程工具造成損壞,以增加半導體製程工具之正常運行時間且增加使
用半導體製程工具製造半導體元件的產出量。
如以上更詳細描述的,本文所描述之一些實施方案提供一種半導體製程工具操作方法。該方法包括以下步驟:由控制器自與由靜電卡盤支撐的半導體基板之一區域相關聯的感測器接收包括電壓量測資料的第一信號。該方法包括以下步驟:由控制器基於電壓量測資料來判定該區域之電位不滿足臨限值。該方法包括以下步驟:由控制器基於判定電位不滿足臨限值來發射:第二信號以致使銷定位子系統將導電銷之集合之頂端定位於該區域內;第三信號以激活電源,使得導電銷之集合將電荷傳輸至該區域以使得區域之電位滿足臨限值。在一些實施例中,判定該電位不滿足該臨限值包含:判定該電位大於或等於該半導體基板之材料之帶隙。在一些實施例中,該半導體基板之該材料包含矽;且該帶隙包括在自近似1.0電子伏特(eV)至近似1.5電子伏特(eV)的一範圍內。在一些實施例中,判定該電位不滿足該臨限值包含:基於該半導體基板之一或多個其他區域之一或多個其他電位來判定該電位不滿足一均勻性臨限值。在一些實施例中,發射該第二信號包含:發射該第二信號以致使該銷定位子系統在該區域內將多個導電銷之該集合之該些頂端定位於距該半導體基板之一背側表面的一距離處,該距離在大於0微米至小於或等於近似1微米的一範圍內。在一些實施例中,發射該第二信號包含:發射標識多個導電銷之該集合的資料,該資料來自多個導電銷之一陣列,其中包括多個導電銷之該集合。在一些實
施例中,發射該第三信號包含:發射該第三信號以激活該電源,使得該電源在該靜電卡盤支撐該半導體基板時向多個導電銷之該集合提供連續功率。
如以上更詳細描述的,本文所描述之一些實施方案提供一種半導體製程工具操作方法。該方法包括以下步驟:由控制器自感測器接收包括電壓量測資料的第一信號。該方法包括以下步驟:由控制器基於電壓量測資料來標識由靜電卡盤支撐的半導體基板之正電荷區域。該方法包括以下步驟:由控制器基於標識正電荷區域來向銷定位子系統發射:一第二信號包括向一銷定位子系統的定位資料,以致使銷定位子系統將一導電銷之一頂端定位於正電荷區域內;第三信號以調節電源之設定,使得導電銷將負電荷傳輸至該區域以中和正電荷。在一些實施例中,標識該正電荷區域之步驟包含:判定包括該靜電卡盤的一半導體製程工具之一操作參數;及基於一機器學習模型來標識該正電荷區域,該機器學習模型使該半導體製程工具之該操作參數與該正電荷區域相關。在一些實施例中,此方法進一步包含以下步驟:向該機器學習模型提供包含以下的資料:該操作參數及該正電荷之一量級;及使用該資料來更新該機器學習模型之一演算法,該演算法使該半導體製程工具之該操作參數與在該半導體製程工具與該半導體基板之間發生電弧放電的一可能性相關。在一些實施例中,發射該第二信號之步驟包含以下步驟:發射定位資料,該定位資料標識該正電荷區域內的該半導體基板之一翹曲度以致使
該銷定位子系統使用補償該翹曲度的一或多個定位調節將該導電銷之該頂端定位於該正電荷區域內。在一些實施例中,發射該第二信號之步驟包含以下步驟:發射定位資料以致使該銷定位子系統將該導電銷之該頂端自對應於穿過該靜電卡盤的一第一銷導件的一第一位置重新定位至對應於穿過該靜電卡盤的一第二銷導件的一第二位置。在一些實施例中,發射該定位資料以致使該銷定位子系統將該導電銷之該頂端重新定位至該第二位置係為了致使該銷定位子系統將該導電銷之該頂端重新定位於該正電荷區域內,其中該正電荷區域不同於對應於該第一位置的另一區域。
如以上更詳細描述的,本文所描述之一些實施方案提供一種半導體製程工具。該半導體製程工具包括靜電卡盤,該靜電卡盤包括電極。該半導體製程工具包括穿過電極的銷導件之陣列。該半導體製程工具包括電壓調整系統,該電壓調整系統包括:銷定位子系統;導電銷之集合;電源,該電源與導電銷之集合電氣耦合;及控制器。該控制器用以判定與一電弧放電相關聯的一條件已經發生,該電弧放電的位置是位於電極上方的半導體基板之頂側表面與半導體製程工具之組件之間,及基於判定條件已經發生來發射第一信號以致使銷定位子系統將導電銷之集合中之對應的一或多個導電銷之一或多個頂端定位於銷導件之陣列中之一或多個銷導件之出口附近或定位成穿過該等出口。控制器用以發射第二信號以致使電源調節設定,使得一或多個導電銷傳輸去往或來自半導體基板的電荷以改變包括
頂側表面的半導體基板之區域之電位。在一些實施例中,多個導電銷之該集合包含至少一個導電銷,其包含一碳奈米管。在一些實施例中,多個導電銷之該集合包含至少一個導電銷,其具有一尖頭頂端。在一些實施例中,半導體製程工具進一步包含一源,其產生一電子束以藉由改變該半導體基板之該頂側表面之該區域之該電位來產生該條件。在一些實施例中,該銷定位子系統包含一線性感應馬達組件,用以側向地將多個導電銷之該集合中之至少一個導電銷重新定位在多個銷導件之該陣列中之二或更多個銷導件之中。在一些實施例中,該銷定位子系統包含一或多個組件,用於提供對多個導電銷之該集合中之各導電銷的獨立定位控制。在一些實施例中,該控制器用以基於一機器學習模型來判定與電弧放電相關聯的條件已經發生,該機器學習模型使該半導體基板之一翹曲度與該條件相關。
如本文所用,視上下文,「滿足臨限值」可係指以下值,該值大於臨限值、大於或等於臨限值、小於臨限值、小於或等於臨限值、等於臨限值、不等於臨限值或類似者。
前述內容概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應當瞭解,他們可容易地將本揭露用作設計或修改用於實施相同目的及/或達成本文所介紹之實施例之優點的其他製程及結構的基礎。熟習此項技術者亦應當認識到,此類等效構造不脫離本揭露之精神及範疇,且他們可在不脫離本揭露之精神及範疇的情況下在本文中作出各種改變、取代及變
更。
800:製程
810,820,830:方塊
Claims (10)
- 一種半導體製程工具操作方法,包含以下步驟:由一控制器自與由一靜電卡盤支撐的一半導體基板之一區域相關聯的一感測器接收包括電壓量測資料的一第一信號;由該控制器基於該電壓量測資料來判定該區域之一電位不滿足一臨限值;由該控制器基於判定該電位不滿足該臨限值來發射:一第二信號以致使一銷定位子系統將多個導電銷之一集合之多個頂端定位於該區域內;及一第三信號以激活一電源,使得多個導電銷之該集合將一電荷傳輸至該區域以使得該區域之該電位滿足該臨限值。
- 如請求項1所述的方法,其中判定該電位不滿足該臨限值包含:判定該電位大於或等於該半導體基板之材料之帶隙。
- 如請求項2所述的方法,其中:該半導體基板之該材料包含矽;且該帶隙包括在自近似1.0電子伏特至近似1.5電子伏特的一範圍內。
- 如請求項1所述的方法,其中判定該電位不滿足該臨限值包含:基於該半導體基板之一或多個其他區域之一或多個其他電位來判定該電位不滿足一均勻性臨限值。
- 如請求項1所述的方法,其中發射該第二信號包含:發射該第二信號以致使該銷定位子系統在該區域內將多個導電銷之該集合之該些頂端定位於距該半導體基板之一背側表面的一距離處,該距離在大於0微米至小於或等於近似1微米的一範圍內。
- 如請求項1所述的方法,其中發射該第二信號包含:發射標識多個導電銷之該集合的資料,該資料來自多個導電銷之一陣列,其中包括多個導電銷之該集合。
- 如請求項1所述的方法,其中發射該第三信號包含:發射該第三信號以激活該電源,使得該電源在該靜電卡盤支撐該半導體基板時向多個導電銷之該集合提供連續功率。
- 一種半導體製程工具操作方法,包含以下步 驟:由一控制器自一感測器接收包括電壓量測資料的一第一信號;由該控制器基於該電壓量測資料來標識由一靜電卡盤支撐的一半導體基板之一正電荷區域;及由該控制器基於標識該正電荷區域來發射:一第二信號,包括向一銷定位子系統的定位資料,以致使該銷定位子系統將一導電銷之一頂端定位於該正電荷區域內;及一第三信號以調節一電源之一設定,使得該導電銷將一負電荷傳輸至該正電荷區域以中和一正電荷。
- 一種半導體製程工具,包含:一靜電卡盤,包括一電極;一包含多個銷導件之陣列,穿過該電極;及一電壓調整系統,包含:一銷定位子系統;多個導電銷之一集合;一電源,該電源與多個導電銷之該集合電耦合;及一控制器,用以:判定與一電弧放電相關聯的一條件已經發生,該電弧放電的位置是位於該電極上方的一半導體基板之一頂側表面與該半導體製程工具之一組件之間;及基於判定該條件已經發生來發射: 一第一信號以致使該銷定位子系統將多個導電銷之該集合中之對應的一或多個導電銷之一或多個頂端定位於多個銷導件之該陣列中之一或多個銷導件之多個出口附近或定位成穿過該些出口;及一第二信號以致使該電源調節一設定,使得該一或多個導電銷傳輸去往或來自該半導體基板的一電荷以改變包括該頂側表面的該半導體基板之一區域之一電位。
- 如請求項9所述的半導體製程工具,其中多個導電銷之該集合包含:至少一個導電銷,包含一碳奈米管。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/651,690 | 2022-02-18 | ||
US17/651,690 US11764094B2 (en) | 2022-02-18 | 2022-02-18 | Semiconductor processing tool and methods of operation |
Publications (2)
Publication Number | Publication Date |
---|---|
TWI806523B true TWI806523B (zh) | 2023-06-21 |
TW202335106A TW202335106A (zh) | 2023-09-01 |
Family
ID=86800051
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111111657A TWI806523B (zh) | 2022-02-18 | 2022-03-28 | 半導體製程工具及其操作方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US11764094B2 (zh) |
CN (1) | CN116313973A (zh) |
TW (1) | TWI806523B (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11764094B2 (en) * | 2022-02-18 | 2023-09-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor processing tool and methods of operation |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002134601A (ja) * | 2000-10-27 | 2002-05-10 | Toto Ltd | 静電チャックからの被吸着物の離脱方法および離脱装置 |
CN101189772A (zh) * | 2005-04-26 | 2008-05-28 | 应用材料公司 | 用于等离子体反应器的具有智能升降销机构的静电卡盘 |
US20100271744A1 (en) * | 2009-04-24 | 2010-10-28 | Advanced Micro-Fabrication Equipment, Inc. Asia | System and method of sensing and removing residual charge from a processed wafer |
US8840754B2 (en) * | 2010-09-17 | 2014-09-23 | Lam Research Corporation | Polar regions for electrostatic de-chucking with lift pins |
TW201826389A (zh) * | 2016-12-05 | 2018-07-16 | 日商東京威力科創股份有限公司 | 電漿處理裝置 |
TW201923948A (zh) * | 2017-09-20 | 2019-06-16 | 美商應用材料股份有限公司 | 具有電浮電源供應的基板支撐件 |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6149774A (en) * | 1998-06-10 | 2000-11-21 | Delsys Pharmaceutical Corporation | AC waveforms biasing for bead manipulating chucks |
US6259592B1 (en) * | 1998-11-19 | 2001-07-10 | Applied Materials, Inc. | Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same |
US6215640B1 (en) * | 1998-12-10 | 2001-04-10 | Applied Materials, Inc. | Apparatus and method for actively controlling surface potential of an electrostatic chuck |
SE522582C2 (sv) * | 2001-07-13 | 2004-02-17 | Microdrug Ag | Förfarande och anordning för styrning av överföring av elektriskt laddade partiklar av ett medicinskt pulver till ett målområde på ett elektrostatiskt chuckelement vid en dosutformningsprocess |
JP5174750B2 (ja) * | 2009-07-03 | 2013-04-03 | 株式会社日立ハイテクノロジーズ | 荷電粒子線装置及び荷電粒子線画像を安定に取得する方法 |
JP5963453B2 (ja) * | 2011-03-15 | 2016-08-03 | 株式会社荏原製作所 | 検査装置 |
KR102556739B1 (ko) * | 2018-11-19 | 2023-07-17 | 엔테그리스, 아이엔씨. | 전하 소산 코팅이 적용된 정전 척 |
JP7199279B2 (ja) * | 2019-03-26 | 2023-01-05 | 東京エレクトロン株式会社 | 基板処理装置及び載置台の除電方法 |
US11764094B2 (en) * | 2022-02-18 | 2023-09-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor processing tool and methods of operation |
-
2022
- 2022-02-18 US US17/651,690 patent/US11764094B2/en active Active
- 2022-03-28 TW TW111111657A patent/TWI806523B/zh active
- 2022-04-20 CN CN202210419482.9A patent/CN116313973A/zh active Pending
-
2023
- 2023-07-26 US US18/359,281 patent/US12087611B2/en active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002134601A (ja) * | 2000-10-27 | 2002-05-10 | Toto Ltd | 静電チャックからの被吸着物の離脱方法および離脱装置 |
CN101189772A (zh) * | 2005-04-26 | 2008-05-28 | 应用材料公司 | 用于等离子体反应器的具有智能升降销机构的静电卡盘 |
US20100271744A1 (en) * | 2009-04-24 | 2010-10-28 | Advanced Micro-Fabrication Equipment, Inc. Asia | System and method of sensing and removing residual charge from a processed wafer |
US8840754B2 (en) * | 2010-09-17 | 2014-09-23 | Lam Research Corporation | Polar regions for electrostatic de-chucking with lift pins |
TW201826389A (zh) * | 2016-12-05 | 2018-07-16 | 日商東京威力科創股份有限公司 | 電漿處理裝置 |
TW201923948A (zh) * | 2017-09-20 | 2019-06-16 | 美商應用材料股份有限公司 | 具有電浮電源供應的基板支撐件 |
Also Published As
Publication number | Publication date |
---|---|
US20230268215A1 (en) | 2023-08-24 |
US20230369092A1 (en) | 2023-11-16 |
CN116313973A (zh) | 2023-06-23 |
US12087611B2 (en) | 2024-09-10 |
US11764094B2 (en) | 2023-09-19 |
TW202335106A (zh) | 2023-09-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9966290B2 (en) | System and method for wafer alignment and centering with CCD camera and robot | |
CN109844176B (zh) | 带有小间隙的销升降器组件 | |
KR101124186B1 (ko) | 유전체 에칭 효율 개선을 위해 통합된 계측을 이용하는방법 및 장치 | |
US8917489B2 (en) | Apparatus and method for holding a wafer | |
KR101287760B1 (ko) | 반도체 웨이퍼를 도금하는 장치 및 방법 | |
US20190122870A1 (en) | Focus ring replacement method and plasma processing system | |
JP2022549793A (ja) | 光干渉法および反射率測定法を使用した半導体機器の自律プロセス制御および最適化のためのシステムおよび方法 | |
US12087611B2 (en) | Semiconductor processing tool and methods of operation | |
KR20160130796A (ko) | 기판 표면을 개질하기 위한 지표각 플라즈마 프로세싱 | |
KR20130105237A (ko) | 반도체 웨이퍼 제조 공정을 제어하는 시스템 및 방법 | |
US20190279848A1 (en) | Measuring device and operation method of system for inspecting focus ring | |
CN112204695B (zh) | 等离子体处理工具上基于图像的等离子体鞘轮廓检测 | |
TW201605595A (zh) | 具有於動作期間把持晶圓之能力的薄端效器 | |
TWI722985B (zh) | 用於管理晶片和晶片載具環之靜電充電與放電的設計 | |
US20060121210A1 (en) | Plasma processing equipment and method of operating the same | |
US6753498B2 (en) | Automated electrode replacement apparatus for a plasma processing system | |
US7098140B2 (en) | Method of compensating for etch rate non-uniformities by ion implantation | |
CN221440846U (zh) | 沉积工具 | |
US20050047057A1 (en) | Electrostatic chuck of semiconductor fabrication equipment and method for chucking wafer using the same | |
KR20130033984A (ko) | 지지 척에 euvl 마스크를 전기적으로 커플링하기 위한 전도성 요소 | |
EP2712703A2 (en) | Adaptive semiconductor processing using feedback from measurement devices | |
CN115305451B (zh) | 沉积系统及衬底处理室中将材料从靶沉积到衬底上的方法 | |
US20230343567A1 (en) | Semiconductor processing tool and methods of operation | |
JP2019090884A (ja) | 基板処理装置および物品製造方法 | |
JP3225695U (ja) | ウェハエッジプラズマシース調整機能を備える半導体プラズマ処理装置 |