TWI806048B - 半導體封裝體及其製造方法 - Google Patents

半導體封裝體及其製造方法 Download PDF

Info

Publication number
TWI806048B
TWI806048B TW110117008A TW110117008A TWI806048B TW I806048 B TWI806048 B TW I806048B TW 110117008 A TW110117008 A TW 110117008A TW 110117008 A TW110117008 A TW 110117008A TW I806048 B TWI806048 B TW I806048B
Authority
TW
Taiwan
Prior art keywords
semiconductor
substrate
semiconductor die
cover
gap
Prior art date
Application number
TW110117008A
Other languages
English (en)
Other versions
TW202220125A (zh
Inventor
卿愷明
葉書伸
陳見宏
游輝昌
鄭幼敏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202220125A publication Critical patent/TW202220125A/zh
Application granted granted Critical
Publication of TWI806048B publication Critical patent/TWI806048B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • H01L23/053Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body
    • H01L23/055Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body the leads having a passage through the base
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/16Fillings or auxiliary members in containers or encapsulations, e.g. centering rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3675Cooling facilitated by shape of device characterised by the shape of the housing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/165Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/08113Disposition the whole bonding area protruding from the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1705Shape
    • H01L2224/17051Bump connectors having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/1615Shape
    • H01L2924/16195Flat cap [not enclosing an internal cavity]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種半導體封裝體包括基底、半導體晶粒、環形結構和蓋。所述半導體晶粒設置在所述基底上。所述環形結構設置在所述基底上並圍繞所述半導體晶粒,其中所述半導體晶粒的第一側與所述環形結構的內側壁相隔第一間隙,且所述半導體晶粒的第二側與所述環形結構的所述內側壁相隔第二間隙。所述第一側與所述第二側相對,並且所述第一間隙小於所述第二間隙。所述蓋設置在所述環形結構上並具有形成在其中的凹陷,且所述凹陷在所述環形結構與所述蓋的堆疊方向上與所述第一間隙交疊。

Description

半導體封裝體及其製造方法
本發明實施例提供一種半導體封裝體及其製造方法。
半導體裝置和積體電路(integrated circuit,IC)被用於各種電子應用(例如,個人電腦、手機、數位相機和其他電子設備)中。舉例來說,半導體裝置和IC通常在單個半導體晶圓上製造。晶圓的晶粒可在晶圓級(wafer level)上或在晶圓鋸切之後與其他半導體裝置、晶粒或組件一起被處理和封裝,並且已研發出了各種技術。用於製作半導體裝置和IC的半導體處理繼續朝著裝置密度增加、具有裝置尺寸不斷減小的主動組件(主要是電晶體)數量增加的方向發展。隨著電子產品不斷小型化,經封裝的半導體裝置和IC的散熱對於封裝技術來說已變得有用。
本發明實施例提供一種半導體封裝體包括基底、半導體晶粒、環形結構和蓋。所述半導體晶粒設置在所述基底上。所述環形結構設置在所述基底上並圍繞所述半導體晶粒,其中所述半導體晶粒的第一側與所述環形結構的內側壁相隔第一間隙,且所述 半導體晶粒的第二側與所述環形結構的所述內側壁相隔第二間隙。所述第一側與所述第二側相對,並且所述第一間隙小於所述第二間隙。所述蓋設置在所述環形結構上並具有形成在其中的凹陷,且所述凹陷在所述環形結構與所述蓋的堆疊方向上與所述第一間隙交疊。
本發明實施例提供一種半導體封裝體包括基底、半導體晶粒和電磁干擾遮蔽結構。所述半導體晶粒設置在所述基底上位於朝向所述基底的邊緣的偏移位置中。所述電磁干擾遮蔽結構設置在所述基底上並電連接到所述基底,其中所述半導體晶粒位於由所述基底和所述電磁干擾遮蔽結構限定的空間中,並且形成在所述電磁干擾遮蔽結構中的凹陷對應於所述偏移位置。
本發明實施例提供一種製造半導體封裝體的方法包括以下步驟:提供半導體晶粒;將所述半導體晶粒安裝到基底;將環形結構黏合在所述基底上以圍繞所述半導體晶粒,所述半導體晶粒的第一側與所述環形結構的內側壁相隔第一間隙,且所述半導體晶粒的第二側與所述環形結構的所述內側壁相隔第二間隙,其中所述第一側與所述第二側相對,並且所述第一間隙小於所述第二間隙;以及將蓋黏合在所述環形結構上,以與所述環形結構和所述基底形成容納空間,所述半導體晶粒位於所述容納空間中,並且所述蓋包括形成在其中的凹陷,其中所述凹陷在空間上與所述容納空間連通,並且在所述環形結構和所述蓋的堆疊方向上與所述第一間隙交疊。
10、10a、10b、10c、10d、10e、20、20a、20b、20c、20d、20e、30、30a、40、40a:半導體封裝體
100、900:半導體晶粒
110:半導體基底
110a:前側表面
110b:後側表面
120:內連結構
122:層間介電層
124:圖案化導電層
130:鈍化層
130a:表面
140、920:導通孔
202、204:焊料區
310、320:半導體裝置
400:導電端子
500:基底
500b、600b、800b:底表面
500t、600t、800t:頂表面
510、520:接合墊
530:金屬化層
600A、600A’、600B、600B’、600C、600D:環形結構
710、720:黏合劑
730:熱介面材料
800A、800B、800C、800D:蓋
C1:第一元件
C2:第二元件
CL1、CL2:中心線
CT:端子
E1:第一邊緣
E2:第二邊緣
E3:第三邊緣
E4:第四邊緣
G1、G2、G3、G4、W1、W2、W6、W7:間隙
L1、L2:長度
O1:開口
P1:環形結構的部分
P2:蓋結構的部分
R1、R2、R2’:凹陷
S1:第一側
S2:第二側
S3:第三側
S4:第四側
S5、S5’、S6、S7、S8、S9、S10、S11、S12:側
S5a、S5b:平面表面
S1000、S2000、S3000、S4000:步驟
SC:元件組合件
SW600i:內側壁
SW600o:外側壁
SW800:側壁
T1:距離
T5、T600、T800、W3、W4、W4’、W8、W9:厚度
UF:底部填料層
W5、W5’:寬度
X、Y、Z:方向
θ:角度
當結合隨附圖式閱讀時,將自以下實施方式最佳地理解本揭露的態樣。應指出,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,出於論述的清楚起見,可任意增加或減小各種特徵的尺寸。
圖1、圖3和圖5示出根據本公開一些實施例的製造半導體封裝體的方法的示意性剖視圖。
圖2、圖4和圖6示出分別在圖1、圖3和圖5中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖。
圖7示出在圖6中繪示的半導體封裝體的示意性剖視圖。
圖8示出根據本公開一些實施例的製造半導體封裝體的方法的流程圖。
圖9示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖10示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖11示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖12示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖13示出根據本公開一些替代實施例的半導體封裝體中所包括的組件的相對位置的示意性平面圖。
圖14示出在圖13中繪示的半導體封裝體的示意性剖視圖。
圖15示出根據本公開一些實施例的半導體封裝體的示意性剖視圖。
圖16示出在圖15中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖。
圖17示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖18示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖19示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖20示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖21示出根據本公開一些替代實施例的半導體封裝體中所包括的組件的相對位置的示意性平面圖。
圖22示出根據本公開一些實施例的半導體封裝體的示意性剖視圖。
圖23示出在圖22中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖。
圖24示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖25示出根據本公開一些實施例的半導體封裝體的示意性剖視圖。
圖26示出在圖25中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖。
圖27示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。
圖28示出根據本公開一些實施例的半導體封裝體的示意性剖視圖。
以下揭露提供用於實施所提供主題的不同特徵的許多不同實施例或實例。下文描述組件及配置的具體實例用以簡化本揭露。當然,此等組件及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或第二特徵上的形成可包含第一特徵以及第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複附圖標號及/或字母。此重複出於簡單及明晰的目的,且其本身並不指示所論述的各種實施例及/或組態之間的關係。
另外,為了便於描述,可在本文中使用諸如「在......之下」、「在......下方」、「下部」、「在......上方」、「上部」以及類似者的空間相對術語,以描述如圖中所示出的一個元件或特徵與另一(些)元件或特徵的關係。除圖中所描繪的定向外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞同樣可相應地進行解譯。
本公開還可包括其他特徵及製程。舉例來說,可包括測試結構以說明對三維(three-dimensional,3D)封裝體或三維積體電路(three-dimensional integrated circuit,3DIC)裝置進行驗證測試。所述測試結構可包括例如在重佈線層中或在基底上形成的測試 墊,以使得能夠對3D封裝體或3DIC進行測試、對探針和/或探針卡(probe card)進行使用及類似操作。可對中間結構以及最終結構執行驗證測試。另外,本文中所公開的結構及方法可結合包括對已知良好晶粒(known good die)進行中間驗證的測試方法來使用,以提高良率並降低成本。
在根據各種示例性實施例,提供一種半導體封裝體及其製造方法。在具體闡述所示實施例之前,將概括闡述本公開實施例的某些有利特徵和方面。可採用所述半導體封裝體用於改善製造製程期間的應力集中和/或分層問題。下面闡述的是一種具有以下結構的半導體封裝體,所述結構具有設置在基底上方處於偏移位置中(例如,從基底的中心軸移位)的半導體裝置。相應地,具有對應於所述偏移位置的凹陷的蓋被接合到安裝在基底上並圍繞半導體裝置的環形結構,此允許蓋在凹陷的位置處在變形能力(deformation ability)方面具有更大的靈活性,從而避免或減少應力集中和/或分層問題(例如,在蓋與環形結構之間)。除了散熱的目的之外,蓋、環形結構和被採用以將蓋和環形結構固定到基底上的黏合劑一起構成用於半導體裝置的電磁干擾遮蔽結構。根據一些實施例示出形成半導體封裝體的中間階段。對一些實施例的一些變化進行了論述。在各種視圖和說明性實施例中,相同的參考編號用於表示相同的組件。
圖1、圖3和圖5示出根據本公開一些實施例的製造半導體封裝體10的方法的示意性剖視圖。圖2、圖4和圖6示出分別在圖1、圖3和圖5中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖。圖7示出在圖6中繪示的半導體封裝體的 示意性剖視圖,其中圖1、圖3和圖5是沿著在圖2、圖4和圖6中繪示的中心線CL1截取的剖視圖,且圖7是沿著在圖6中繪示的中心線CL2截取的剖視圖。圖8示出根據本公開一些實施例的製造半導體封裝體的方法的流程圖。圖9到圖12和圖14是沿著中心線CL1示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。圖13示出在圖14中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖,其中圖14是沿著在圖13中繪示的中心線CL2截取的剖視圖。在一些實施例中,製造方法是晶圓級封裝製程的一部分。應注意,在本文中闡述的製程步驟涵蓋用於製作半導體封裝體的製造製程的一部分,所述半導體封裝體涉及例如半導體晶粒(或裝置/晶片)等半導體裝置。所述些實施例旨在提供進一步的解釋,但不用於限制本公開的範圍。
參照圖1和圖2,在一些實施例中,根據圖8的步驟S1000提供半導體晶粒100。在一些實施例中,半導體晶粒100包括半導體基底110、設置在半導體基底110上的內連結構120、設置在內連結構120上的鈍化層130、以及貫穿鈍化層130並設置在內連結構120上的多個導通孔140。如圖1所示,舉例來說,半導體基底110具有前側表面110a和與前側表面110a相對的後側表面110b,並且內連結構120位於半導體基底110的前側表面110a上,其中內連結構120夾置在半導體基底110與鈍化層130之間,並且夾置在半導體基底110與導通孔140之間。
在一些實施例中,半導體基底110是矽基底,所述矽基底包括形成在其中的主動裝置(例如電晶體和/或記憶體(例如N型金屬氧化物半導體(N-type metal-oxide semiconductor,NMOS) 和/或P型金屬氧化物半導體(P-type metal-oxide semiconductor,PMOS)裝置或類似物))和/或被動裝置(例如電阻器、電容器、電感器或類似物)。在一些實施例中,此種主動裝置及被動裝置在前段(front-end-of-line,FEOL)製程中形成。在替代實施例中,半導體基底110是塊狀矽基底,例如塊狀單晶矽基底、經摻雜的矽基底、未經摻雜的矽基底或絕緣體上矽(silicon-on-insulator,SOI)基底,其中經摻雜的矽基底的摻雜劑可為N型摻雜劑、P型摻雜劑或其組合。本公開不限於此。
半導體基底110可包含例如以下其他半導體材料:鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、和/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、和/或GaInAsP;或者其組合。也可使用其他基底,例如多層式(multi-layered)基底或梯度基底(gradient substrate)。舉例來說,半導體基底110具有有時被稱為頂側(top side)的有效表面(例如,前側表面110a)以及有時被稱為底側(bottom side)的非有效表面(例如,後側表面110b)。
在一些實施例中,內連結構120包括交替地堆疊的一個或多個層間介電層122與一個或多個圖案化導電層124。舉例來說,層間介電層122是氧化矽層、氮化矽層、氮氧化矽層或由其他合適的介電材料形成的介電層,且透過沉積或類似製程形成。舉例來說,圖案化導電層124是圖案化銅層或其他合適的圖案化金屬層,且透過電鍍或沉積形成。然而,本公開不限於此。作為另外一種選擇,圖案化導電層124可透過雙鑲嵌方法(dual-damascene method)形成。層間介電層122的數目及圖案化導電層124的數 目可小於或大於圖1中所繪示的數目,且可基於需要和/或設計佈局來指定;本公開並非特別限制於此。在一些實施例中,內連結構120在後段(back-end-of-line,BEOL)製程中形成。在說明通篇中,用語“銅”旨在包括實質上純的元素銅、包含不可避免的雜質的銅、以及包含少量元素(例如鉭、銦、錫、鋅、錳、鉻、鈦、鍺、鍶、鉑、鎂、鋁或鋯等)的銅合金。
在某些實施例中,如圖1中所示,圖案化導電層124夾置在層間介電層122之間,其中圖案化導電層124的所示最頂層的表面至少部分地被形成在層間介電層122的所示最頂層中的多個開口(未標記)暴露出、並電連接到半導體基底110中所包括的主動裝置和/或被動裝置,並且圖案化導電層124的所示最底層的表面至少部分地被形成在層間介電層122的所示最底層中的多個開口O1暴露出、並電連接到稍後形成的用於電連接的一個或多個裝置(例如,透過導通孔140)。開口O1的形狀和數量以及形成在層間介電層122的所示最頂層中的開口的形狀和數量在本公開中不受限制,並且可基於需求和/或設計佈局來指定。
在一些實施例中,如圖1所示,鈍化層130形成在內連結構120上,其中內連結構120的一些部分被鈍化層130覆蓋並與鈍化層130接觸,並且內連結構120的其餘部分被鈍化層130以可接近的方式露出。如圖1所示,鈍化層130具有表面130a,所述表面130a例如是實質上平面的。在某些實施例中,鈍化層130的表面130a是齊平的,並且可具有高的平面度(planarity)和平整度(flatness),此對於稍後形成的層/裝置(例如,導通孔140)來說是有益的。在一些實施例中,鈍化層130包括聚醯亞胺 (polyimide,PI)層、聚苯並噁唑(polybenzoxazole,PBO)層、二氧化矽系(非有機)層或其他合適的聚合物(或有機)層,並且透過沉積或類似製程形成。本公開不限於此。本公開不具體限制鈍化層130的厚度,只要鈍化層130的表面130a能夠保持其高的平面度和平整度即可。在本公開中,鈍化層130的表面130a可被稱為半導體晶粒100的前表面(front surface),並且半導體基底110的後側表面110b可被稱為半導體晶粒100的後側表面(backside surface)。
在一些實施例中,導通孔140形成在內連結構120上且形成在半導體基底110上方,並且導通孔140的側壁被鈍化層130包裹。在一些實施例中,如圖1所示,導通孔140各自貫穿鈍化層130,並延伸到形成在層間介電層122的所示最底層中的開口O1中,以物理接觸圖案化導電層124的由所示最底層的開口O1暴露出的表面。透過內連結構120,導通孔140電連接到半導體基底110中所包括的主動裝置和/或被動裝置。在一些實施例中,與內連結構120物理接觸的導通孔140遠離鈍化層130的表面130a延伸,如圖1所示。然而,本公開不限於此;作為另外一種選擇,與內連結構120物理接觸的導通孔140各自可包括與鈍化層130的表面130a實質上共面並齊平的表面。出於說明目的和簡潔起見,在圖1中僅示出兩個導通孔140,然而應注意,可包括多於兩個的導通孔140;本公開不限於此。
在一些實施例中,導通孔140透過微影、鍍覆、光阻剝離製程或任何其他合適的方法形成。鍍覆製程可包括電鍍(electroplating)、化學鍍(electroless plating)或類似製程。舉例 來說,導通孔140透過(但不限於)以下方式形成:形成覆蓋鈍化層130的罩幕圖案(未示出),所述罩幕圖案具有與圖案化導電層124的由所示最底層的開口O1暴露出的表面對應的多個開口(未示出);圖案化鈍化層130以在其中形成多個接觸開口(未示出),以暴露出圖案化導電層124的由所示最底層的開口O1暴露出的表面;形成填充在罩幕圖案中形成的開口、在鈍化層130中形成的接觸開口和開口O1的金屬材料,以透過電鍍或沉積形成多個導通孔140;且然後移除罩幕圖案。鈍化層130可透過例如乾法蝕刻製程、濕法蝕刻製程或其組合等蝕刻製程來圖案化。應注意,例如,形成在鈍化層130中的接觸開口各自在空間上與覆蓋在其上的用於形成導通孔140的相應一個開口O1連通。罩幕圖案可透過可接受的灰化製程和/或光阻剝離製程(例如使用氧電漿或類似物)移除。在一個實施例中,導通孔140的材料包括金屬材料,例如銅或銅合金或類似物。
在一些實施例中,沿著半導體基底110、內連結構120和鈍化層130的堆疊方向Z在半導體基底110的前側表面110a上的垂直投影中,導通孔140可獨立地為圓形、橢圓形、三角形、矩形或類似形狀。導通孔140的形狀在本公開中不受限制。導通孔140的數量和形狀可基於需求來指定和選擇,並且透過改變形成在鈍化層130中的接觸開口的數量和形狀來調整。
作為另外一種選擇,導通孔140可透過以下方式形成:形成覆蓋鈍化層130的第一罩幕圖案(未示出),所述第一罩幕圖案具有與圖案化導電層124的由所示最底層的開口O1暴露出的表面對應的多個開口(未示出);圖案化鈍化層130以在其中形成 多個接觸開口(未示出),以暴露出圖案化導電層124的由所示最底層的開口O1暴露出的表面;移除第一罩幕圖案;在鈍化層130上方共形地形成金屬晶種層;形成覆蓋金屬晶種層的第二罩幕圖案(未示出),所述第二罩幕圖案具有暴露出在鈍化層130中形成的接觸開口和開口O1的多個開口(未示出);透過電鍍或沉積形成填充在第二罩幕圖案中形成的開口、在鈍化層130中形成的接觸開口和開口O1的金屬材料;移除第二罩幕圖案;以及然後移除未被金屬材料覆蓋的金屬晶種層以形成多個導通孔140。在一些實施例中,金屬晶種層被稱為金屬層,其包括單層或複合層,所述複合層包括由不同材料形成的多個子層。在一些實施例中,金屬晶種層包含鈦、銅、鉬、鎢、氮化鈦、鈦鎢、其組合或類似物。舉例來說,金屬晶種層可包括鈦層和位於鈦層上方的銅層。可使用例如濺鍍(sputtering)、物理氣相沉積(physical vapor deposition,PVD)或類似製程來形成金屬晶種層。
在一些實施例中,對於半導體晶粒100來說,半導體基底110的側壁、內連結構120的側壁和鈍化層130的側壁在方向Z上實質上彼此對齊。舉例來說,導通孔140的所示最外表面遠離鈍化層130的表面130a突出(例如,不與鈍化層130的表面130a對齊),如圖1所示。作為另一選擇,導通孔140的所示最外表面可實質上與鈍化層130的表面130a齊平且共面(例如,與鈍化層130的表面130a對齊)。
如圖1和圖2所示,舉例來說,半導體晶粒100的側壁連接半導體晶粒100的表面130a和半導體晶粒100的後側表面110b。在一些實施例中,如果考慮半導體晶粒100在其俯視圖中 (例如,在X-Y平面上)的形狀為矩形形狀(例如正方形),那麼半導體晶粒100的側壁包括第一側S1、第二側S2、第三側S3和第四側S4,其中第一側S1沿著方向X與第三側S3相對,且第二側S2沿著方向Y與第四側S4相對。方向X和Y與方向Z不同,其中方向X與方向Y不同。舉例來說,方向X垂直於方向Y,且方向X和Y垂直於方向Z。如圖2所示,舉例來說,第一側S1的一端和第三側S3的一端透過第二側S2連接,且第一側S1的另一端和第三側S3的另一端透過第四側S4連接。
應理解,在一些實施例中,在本文中闡述的半導體晶粒100可被稱為半導體晶片或積體電路(IC)。在一些實施例中,半導體晶粒100是邏輯晶片,例如中央處理器(central processing unit,CPU)、圖形處理單元(graphics processing unit,GPU)、系統晶片(system-on-a-chip,SoC)、系統積體電路(system-on-integrated-circuit,SoIC)、微控制器或類似物。然而,本公開不限於此;作為另外一種選擇,半導體晶粒100可包括數位晶片、類比晶片或混合訊號晶片,例如應用專用積體電路(“ASIC”)晶片、感測器晶片、無線和射頻(radio frequency,RF)晶片、基帶(baseband,BB)晶片、記憶體晶片(例如,高頻寬記憶體(high bandwidth memory,HBM)晶粒)或電壓調節器晶片。在又一些替代實施例中,半導體晶粒100可為組合型的晶片或IC,例如同時包括RF晶片和數位晶片兩者的WiFi晶片。在一些實施例中,半導體晶粒100呈具有晶片尺寸封裝體的形式。
繼續圖1,在一些實施例中,根據圖8的步驟S2000,將半導體晶粒100放置在基底500上方並安裝在基底500上。舉例 來說,將半導體晶粒100拾取並放置在基底500上,且然後將半導體晶粒100接合到基底500。基底500可由例如矽、鍺、金剛石或類似物等半導體材料製成。在一些實施例中,也可使用化合物材料,例如矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、碳化矽鍺、磷化鎵砷、磷化鎵銦、其組合及類似物。在一些替代實施例中,基底500為SOI基底,其中SOI基底可包括半導體材料(例如磊晶矽、鍺、矽鍺、SOI、絕緣體上矽鍺(silicon germanium on insulator,SGOI)或其組合)層。在另一些替代實施例中,基底500是基於絕緣芯體(insulating core),例如玻璃纖維增強樹脂芯體(fiberglass reinforced resin core)。一種示例性芯體材料(core material)是玻璃纖維樹脂,例如阻燃劑級4(flame-retardant class 4,FR4)。芯體材料的替代物可包括雙馬來醯亞胺三嗪(bismaleimide triazine,BT)樹脂,或者作為另外一種選擇,以其他印刷電路板(printed circuit board,PCB)材料或膜來替代。在又一些替代實施例中,基底500為構成膜(build-up film),例如味之素構成膜(Ajinomoto build-up film,ABF)或其他合適的疊層。
在一個實施例中,基底500包括可用于產生半導體封裝體的結構及功能設計的主動裝置和/或被動裝置(未示出),例如電晶體、電容器、電阻器、其組合或類似物。主動裝置和/或被動裝置可使用任何合適的方法形成。然而,本公開並非僅限於此;在替代實施例中,基底500實質上不包含主動裝置和/或被動裝置。
在一些實施例中,基底500包括多個接合墊510、多個接合墊520、多個金屬化層530和內連金屬化層530的多個通孔(未示出),其中接合墊510、520電連接到金屬化層530和通孔。金 屬化層530與通孔一起形成為基底500提供佈線(routing)的功能電路系統(functional circuitry stystem)。嵌置在基底500中的金屬化層530及通孔可由交替的介電材料(例如低介電常數介電材料)層與導電材料(例如銅)層(具有內連導電材料層的通孔)形成,且可透過任何合適的製程(例如沉積、鑲嵌、雙鑲嵌或類似製程)形成。
接合墊510、520用於為基底500提供與外部元件的電連接。接合墊510和接合墊520可分別設置在基底500的相對兩側上。在一些實施例中,接合墊510位於基底500的所示頂表面500t上並分佈在基底500的所示頂表面500t上方,接合墊520位於基底500的所示底表面500b上並分佈在基底500的所示底表面500b上方。舉例來說,如圖1所示,所示頂表面500t沿著方向Z與所示底表面500b相對。在一些實施例中,接合墊510中的一些接合墊透過金屬化層530和通孔電連接到接合墊520。在一些實施例中,接合墊510中的一些接合墊透過金屬化層530和通孔電連接到接合墊510中的一些其他接合墊。在一些實施例中,接合墊520中的一些接合墊透過金屬化層530和通孔電連接到接合墊520中的一些其他接合墊。本公開不限於此。
在導通孔140從鈍化層130突出的實施例中,半導體晶粒100的導通孔140透過倒裝晶片接合(flip chip bonding)經由多個焊料區202分別連接到基底500的接合墊510,如圖1所示。在一些實施例中,透過接合墊510、導通孔140和夾置在所述兩者之間的焊料區202,半導體晶粒100機械連接且電連接到基底500。此外,基底500被視為電路結構(例如,其中嵌入有電路系統結構 的有機基底,例如印刷電路板(PCB))。焊料區202可為預焊料膏(pre-solder paste)。然而,本公開不限於此;作為另外一種選擇,焊料區202可為預焊料塊(pre-solder block)。在一些實施例中,焊料區202的材料可包括具有或不具有額外雜質(例如,Ni、Bi、Sb、Au或類似物)的無鉛焊料材料(例如,Sn-Ag系材料或Sn-Ag-Cu系材料)。在一些實施例中,底部填料(未示出)形成在基底500上,以填充半導體晶粒100與基底500之間的間隙,並且包裹焊料區202和導通孔140的側壁。舉例來說,底部填料可為任何可接受的材料,例如聚合物、環氧樹脂、模制底部填料或類似物。在一個實施例中,底部填料可透過底部填料分配、毛細管流動製程或任何其他合適的方法形成。
作為另外一種選擇,在導通孔140的表面實質上與鈍化層130的表面130a共面的實施例中,半導體晶粒100透過混合接合(涉及金屬對金屬接合和電介質對電介質接合)連接到基底500。本公開不限於此。
如圖1和圖2所示,舉例來說,基底500具有連接基底500的所示頂表面500t和基底500的所示底表面500b的側壁。在一些實施例中,如果考慮基底500在其俯視圖中(例如,在X-Y平面上)的形狀為矩形形狀,那麼基底500的側壁包括第一邊緣E1、第二邊緣E2、第三邊緣E3和第四邊緣E4,其中第一邊緣E1沿著方向X與第三邊緣E3相對,且第二邊緣E2沿著方向Y與第四邊緣E4相對。如圖2所示,舉例來說,第一邊緣E1的一端和第三邊緣E3的一端由第二邊緣E2連接,且第一邊緣E1的另一端和第三邊緣E3的另一端由第四邊緣E4連接。在一些實施例中, 中心線CL1是實質上與基底500的短軸平行的基底500的中心線,且中心線CL2是實質上與基底500的長軸平行的基底500的中心線,如圖2所示。換句話說,中心線CL1在方向X上延伸並與基底500的長側(例如,第一邊緣E1和第三邊緣E3)相交。另一方面,中心線CL2在方向Y上延伸並與基底500的短側(例如,第二邊緣E2和第四邊緣E4)相交。在本公開中,方向X可被稱為第二邊緣E2和第四邊緣E4的延伸方向,而方向Y可被稱為第一邊緣E1和第三邊緣E3的延伸方向。
在本公開中,半導體晶粒100在偏移位置中接合到基底500。舉例來說,半導體晶粒100從中心線CL2朝向基底500的第一邊緣E1移位,其中半導體晶粒100的第一側S1與第一邊緣E1相隔間隙G1,如圖1和圖2所示。如圖2所示,在一些實施例中,半導體晶粒100的第一側S1以間隙G1從基底500的第一邊緣E1偏移,其中間隙G1小於半導體晶粒100的第二側S2與基底500的第二邊緣E2之間的間隙G2。也就是說,中心線CL2不被視為半導體晶粒100在方向Y上的中心線,因為中心線CL2不穿過半導體晶粒100的第二側S2和/或第四側S4的長度的中間值。換句話說,半導體晶粒100沿著方向X在偏移位置(例如,以距基底500的邊緣(例如,第一邊緣E1)存在間隙G1的方式偏心設置)中接合到基底500。
另一方面,沿著方向Y,半導體晶粒100的各側(例如,第二側S2和第四側S4)分別與基底500的邊緣(例如,第二邊緣E2和第四邊緣E4)相隔相等的距離,例如間隙G3。也就是說,中心線CL1進一步被視為半導體晶粒100在方向X上的中心線, 因為中心線CL1穿過半導體晶粒100的第一側S1和/或第三側S3的長度的中間值。在一些實施例中,間隙G3大於間隙G2和間隙G1,且間隙G2大於間隙G1,如圖2所示。在替代實施例中,間隙G3小於間隙G2和間隙G1,而間隙G2大於間隙G1。在進一步的替代實施例中,間隙G3可實質上等於間隙G2或間隙G1,並且間隙G2大於間隙G1。本公開不限於此。
參照圖3和圖4,在一些實施例中,根據圖8的步驟S3000,提供環形結構600A,且然後將環形結構600A接合到基底500。舉例來說,環形結構600A的底表面600b透過黏合劑710黏合到基底500的所示頂表面500t,其中環形結構600A圍繞半導體晶粒100。在一些實施例中,在俯視圖(例如,X-Y平面)中,環形結構600A呈全(連續)框架環的形式,其具有面向半導體晶粒100的側壁的內側壁SW600i和與內側壁SW600i相對的外側壁SW600o,其中外側壁SW600o在方向Z上與基底500的側壁實質上對齊。舉例來說,如圖3所示,環形結構600A的內側壁SW600i和外側壁SW600o各自為實質上連續的垂直側壁。
在俯視圖中,環形結構600A可具有與基底500的輪廓對應的環形狀。在一些實施例中,環形結構600A在俯視圖中具有矩形環形狀,所述矩形環形狀具有兩個相對的長側和兩個相對的短側,其中中心線CL1與環形結構600A的長側相交,且中心線CL2與環形結構600A的短側相交。舉例來說,如圖3和圖4所示,環形結構600A的內側壁SW600i包括四個側S5到S8,其中(長)側S5在方向X上與(長)側S7相對,(短)側S6在方向Y上與(短)側S8相對。類似地,環形結構600A的外側壁SW600o包括 四個側S9到S12,其中,舉例來說,(長)側S9在方向X上與(長)側S11相對,(短)側S10在方向Y上與(短)側S12相對。
舉例來說,如圖3和圖4所示,環形結構600A的內側壁SW600i(例如,側S5)與半導體晶粒100的第一側S1相隔間隙W1,環形結構600A的內側壁SW600i(例如,側S7)與半導體晶粒100的第三側S3相隔間隙W2,環形結構600A的內側壁SW600i(例如,側S6)與半導體晶粒100的第二側S2相隔間隙W6,並且環形結構600A的內側壁SW600i(例如,側S8)與半導體晶粒100的第四側S4相隔間隙W7,其中間隙W2大於間隙W1,並且間隙W6實質上等於間隙W7。換句話說,對應於位於基底500上的半導體晶粒100的偏移位置,半導體晶粒100在相對於環形結構600A在方向X上存在間隙W1的情況下以偏心方式佈置)。在本公開中,間隙W1可被稱為半導體晶粒100相對于半導體封裝體的偏移位置。
環形結構600A在俯視圖中可具有實質上相同的厚度。舉例來說,如圖4的俯視圖所示,其中在中心線CL1的延伸方向(例如,X)上的側S5與側S9之間的厚度W3、在中心線CL1的延伸方向(例如,X)上的側S7與側S11之間的厚度W4、在中心線CL2的延伸方向(例如,Y)上的側S6與側S10之間的厚度W8、以及在中心線CL2的延伸方向(例如,Y)上的側S8與側S12之間的厚度W9實質上相同。然而,本公開不限於此;只要間隙W2大於間隙W1並且間隙W6與W7實質上相同,那麼厚度W3、W4、W8和W9可彼此不同或相等。舉例來說,厚度W3可不同於厚度W4。在一些實施例中,圖9的半導體封裝體10a與圖5的半 導體封裝體10類似;不同之處在於,環形結構600A被環形結構600A’替代,其中環形結構600A’在俯視圖中具有不均勻的厚度。在環形結構600A’中,厚度W4’可不同於厚度W3、W8和W9。舉例來說,如圖9所示,厚度W4’大於厚度W3,而厚度W3、W8和W9是相同的(未示出)。在替代實施例中,厚度W4小於厚度W3(未示出),而厚度W3、W8和W9相同。或者,厚度W3可大於、小於或實質上等於厚度W4,而厚度W4、W8和W9可相同。
在一些實施例中,環形結構600A的材料包括導電材料、導熱材料或導電導熱材料。在一些實施例中,環形結構600A的材料包括金屬或金屬合金,例如銅、鋁、其合金、其組合或類似物。在一些實施例中,黏合劑710包括導電黏合劑、導熱黏合劑或導電導熱黏合劑。黏合劑710還可包括填料。舉例來說,填料包括金屬填料或金屬合金填料。透過黏合劑710,環形結構600A電連接且熱連接到基底500。如圖3所示,在一些實施例中,在將環形結構600A安裝到基底500上之後,半導體晶粒100的所示頂表面(例如,110b)比環形結構600的頂表面600t低距離T1,其中頂表面600t在方向Z上與底表面600b相對。
參照圖5和圖6,在一些實施例中,根據圖8的步驟S4000,提供蓋800A,且然後將蓋800A接合到環形結構600A。舉例來說,透過黏合劑720將蓋800A的底表面800b黏合到環形結構600A的頂表面600t,其中蓋800A、黏合劑720、環形結構600A、黏合劑710和基底500一起限定包圍半導體晶粒100的凹陷R1。在本公開中,凹陷R1被稱為用於容納半導體晶粒100的容納空間。在一些實施例中,在俯視圖(例如,X-Y平面)中,蓋800A 呈實心塊(solid block)的形式,其側壁在方向Z上與基底500的側壁實質上對齊,如結合圖5和圖7在圖6中所示。也就是說,例如,蓋800A的側壁在方向Z上與環形結構600A的外側壁SW600o和基底500的側壁實質上對齊,如圖5到圖7所示。至此,製造成半導體封裝體10。
在一些實施例中,蓋800A包括凹陷R2,其中凹陷R2與凹陷R1在空間上連通。舉例來說,凹陷R2在蓋800A的底表面800b處具有開口(未標記),其中凹陷R2的側壁從開口沿著方向Z延伸到蓋800A中。在一些實施例中,如圖5所示,在沿著方向Z量測時,凹陷R2的厚度T5大於0,並且小於或實質上等於蓋800A的厚度T800。舉例來說,凹陷R2的厚度T5大於0,並且小於或實質上等於蓋800A的厚度T800的一半。換句話說,凹陷R2沒有貫穿蓋800A。
在一些實施例中,沿著方向Z在基底500上的垂直投影中,凹陷R2與偏移位置(例如,間隙W1)交疊,如圖5和圖6所示。在一些實施例中,凹陷R2從第一側S1朝向蓋800A的側壁SW800延伸,其中凹陷R2的側壁的一部分實質上與半導體晶粒100的第一側S1對齊,且凹陷R2的側壁的一部分實質上與環形結構600A的側S5對齊。也就是說,在沿著方向Z的垂直投影中,凹陷R2的定位位置鄰近半導體晶粒100的定位位置和環形結構600A的定位位置,並且在半導體晶粒100的定位位置與環形結構600A的定位位置之間。舉例來說,在圖6的俯視圖中,凹陷R2的長度L2小於蓋800A的長度L1,且寬度W5大於0。在一些實施例中,寬度W5實質上等於偏移位置(例如,間隙W1),如圖5 和圖6所示。然而,本公開不限於此;作為另外一種選擇,寬度W5可大於0並且小於偏移位置(例如,間隙W1)。或者作為另外一種選擇,寬度W5可大於偏移位置(例如,間隙W1)。換句話說,例如,凹陷R2位於半導體晶粒100的側壁(例如,第一側S1)與蓋800A的側壁SW800之間,以與間隙W1交疊,如圖5到圖7所示。由於存在此種凹陷R2,允許蓋800A在凹陷R2的位置處在變形能力方面具有更大的靈活性,從而避免、抑制或減少在黏合劑處的因為兩個組件之間(例如,在蓋800A與環形結構600A之間和/或環形結構600A與基底500之間)的CTE失配和/或模量失配導致的應力而引起的應力集中和/或分層問題。從實驗的角度來看,與沒有具有對應於偏移位置的凹陷的傳統蓋相比,黏合劑720處的應力減小了約13%到20%。
凹陷R2可透過(但不限於)銑削機械加工、雷射技術、蝕刻技術、其組合和/或類似技術形成在蓋800A中;本公開不限於此。在一些實施例中,如圖6的俯視圖所示,凹陷R2的形狀為矩形;然而,本公開不限於此。作為另外一種選擇,在俯視圖中,凹陷R2的形狀可為橢圓形、卵形、四邊形、八邊形或任何合適的多邊形。
蓋800A可被稱為半導體封裝體10的散熱元件。作為另外一種選擇,蓋800A、環形結構600A和位於所述兩者之間的黏合劑720一起可被稱為半導體封裝體10的散熱元件。由於此種散熱元件,改善了半導體封裝體10中的半導體晶粒100的散熱。此外,熱介面材料可塗布在半導體晶粒100上,以便於半導體封裝體10的散熱。如圖5和圖7的半導體封裝體10所示,熱介面材 料730位於半導體晶粒100的後側表面110b與蓋800A的底表面800b之間,以將半導體晶粒100熱耦合到蓋800A,此進一步有助於將熱量從半導體晶粒100散發到蓋800A。熱介面材料730可包括任何合適的導熱材料,例如具有可在約3W/(m.K)到約10W/(m.K)之間或大於10W/(m.K)的良好導熱率的聚合物,並且可透過液體分配形成在半導體晶粒100的後側表面110b上。在一些實施例中,熱介面材料730是薄膜型熱介面材料,例如石墨烯片、碳奈米管片或類似物,並且透過疊層或類似製程形成在半導體晶粒100的後側表面110b上。本公開不具體限制熱介面材料730的厚度,只要熱介面材料730的厚度足以將熱量從半導體晶粒100充分散發到蓋800A即可。
然而,本公開不限於此;作為另外一種選擇,可省略熱介面材料730。在一些實施例中,圖10的半導體封裝體10b與圖5的半導體封裝體10類似;不同之處在於,移除了熱介面材料730,其中蓋800A透過間隙(例如,空氣間隙(air gap))與半導體晶粒100(的後側表面110b)分開。
在一些實施例中,蓋800A的材料包括導電材料、導熱材料或導電導熱材料。在一些實施例中,蓋800A的材料包括金屬或金屬合金,例如銅、鋁、其合金、其組合或類似物。在一些實施例中,黏合劑720包括導電黏合劑、導熱黏合劑或導電導熱黏合劑。黏合劑720還可包括填料。舉例來說,填料包括金屬填料或金屬合金填料。黏合劑710的材料可與黏合劑720的材料相同。作為另外一種選擇,黏合劑710的材料可不同於黏合劑720的材料。此外,環形結構600A的材料可與蓋800A的材料相同。作為另外 一種選擇,環形結構600A的材料可不同于蓋800A的材料。透過黏合劑720,蓋800A電連接且熱連接到環形結構600A,並且進一步透過環形結構600A和黏合劑710電連接且熱連接到基底500。在一些實施例中,蓋800A、黏合劑720、環形結構600A和黏合劑710一起構成半導體晶粒100的電磁干擾(electromagnetic interference,EMI)遮蔽結構(其電連接到基底500)。由於存在此種EMI遮蔽結構,可抑制由位於半導體封裝體10外部的其他電子元件產生的電磁波引起的衝擊,從而提高半導體封裝體10的可靠性和效能。
如在圖5的半導體封裝體10中所示,在一些實施例中,在沿方向Z量測時,環形結構600A的厚度T600大於蓋800A的厚度T800。然而,本公開不限於此。舉例來說,圖11的半導體封裝體10c與圖5的半導體封裝體10類似;不同之處在於,在沿著方向Z量測時,環形結構600A的厚度T600實質上等於蓋800A的厚度T800。作為另外一種選擇,在沿著方向Z量測時,環形結構600A的厚度T600可小於蓋800A的厚度T800。
在替代實施例中,可採用額外的半導體裝置和/或額外的導電端子。舉例來說,圖12的半導體封裝體10d與圖5的半導體封裝體10類似;不同之處在于,半導體封裝體10d還包括半導體裝置310、320和多個導電端子400。如在圖12的半導體封裝體10d中所示,半導體裝置310沿著中心線CL1設置在基底500的所示頂表面500t上並鄰近半導體晶粒100,半導體裝置320設置在基底500的所示底表面500b上,並且導電端子400設置在基底500的所示底表面500b上並鄰近半導體裝置320。在一些實施例 中,導電端子400中的一些導電端子透過基底500電連接到半導體晶粒100,導電端子400中的一些導電端子電連接到半導體裝置310,且導電端子400中的一些導電端子電連接到半導體裝置320。
半導體裝置310、320可被稱為用於向半導體晶粒100提供額外功能或編程的表面裝置(surface device)。舉例來說,半導體裝置310、320包括表面安裝裝置(surface mount devices,SMD)或積體被動裝置(integrated passive device,IPD),其包括例如電阻器、電感器、電容器、跳線(jumper)、其組合或類似物等被動裝置,這些被動裝置被期望連接到半導體晶粒100並與半導體晶粒100結合利用。如圖12所示,舉例來說,半導體裝置310、320透過接合墊510、520、金屬化層530和通孔、焊料區202和導通孔140電連接到半導體晶粒100。半導體裝置310的數量和半導體裝置320的數量不限於所述實施例,並且可基於需求和設計佈局來選擇。
導電端子400可用於將基底500物理連接且電連接到其他裝置、封裝體、連接組件及類似物。在本公開中,導電端子400被稱為基底500的導電連接件(充當半導體封裝體10d的導電輸入/輸出端子),用於提供到外部元件的物理和/或電連接。舉例來說,導電端子400和半導體晶粒100分別位於基底500的兩個相對側上,其中導電端子400中的一些導電端子透過接合墊520、金屬化層530和通孔、接合墊510、焊料區202和導通孔140電連接到半導體晶粒100。導電端子400的數量不限於所述實施例,並且可基於需求和設計佈局來選擇。導電端子400可為微凸塊、金屬柱、化學鍍鎳鈀浸金(electroless nickel-electroless palladium- immersion gold,ENEPIG)形成的凸塊、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊(例如,其可具有但不限於約80μm的大小)、球柵陣列(BGA)凸塊或球(例如,其可具有但不限於約400μm的大小)、焊料球或類似物。本公開不限於此。當使用焊料時,焊料可包括共晶焊料或非共晶焊料。焊料可包含鉛或不含鉛,並且可包含Sn-Ag、Sn-Cu、Sn-Ag-Cu或類似物。
本公開不限於此。在一個實施例中,在基底500上僅形成半導體裝置310,其中半導體裝置310的數量可為一個或多於一個。在替代實施例中,在基底500上僅形成半導體裝置320,其中半導體裝置320的數量可為一個或多於一個。在又一替代實施例中,在基底500上僅形成導電端子400。
在替代實施例中,可採用額外的半導體晶粒。舉例來說,圖13和圖14的半導體封裝體10e與圖5的半導體封裝體10類似;不同之處在于,半導體封裝體10e還包括多個半導體晶粒900。如在圖13和圖14的半導體封裝體10e中所示,半導體晶粒900沿著中心線CL2設置在基底500的所示頂表面500t上並鄰近半導體晶粒100。舉例來說,半導體晶粒900透過基底500和焊料區202和焊料區204電連接到半導體晶粒100。如圖14所示,舉例來說,半導體晶粒900以對稱佈置位於半導體晶粒100的兩個相對側處。
在一些實施例中,半導體晶粒900透過焊料區204接合到基底500。舉例來說,半導體晶粒900被拾取並放置在基底500上,並且透過倒裝晶片接合被接合到基底500。焊料區204的形成 和材料與焊料區202的形成和材料類似或實質上相同,且因此在本文中不再予以贅述。在一些實施例中,底部填料(未示出)形成在基底500上,以填充半導體晶粒900與基底500之間的間隙,並且包裹焊料區204和導通孔920的側壁。舉例來說,底部填料可為任何可接受的材料,例如聚合物、環氧樹脂、模制底部填料或類似物。在一個實施例中,底部填料可透過底部填料分配、毛細管流動製程或任何其他合適的方法形成。
然而,本公開不限於此;作為另外一種選擇,類似於半導體晶粒100,半導體晶粒900可透過混合接合被接合到基底500。透過使用混合接合,可省略底部填料。
在一些實施例中,在本文中個別闡述的半導體晶粒900可被稱為具有多個導通孔920的半導體晶片或積體電路(IC),其中導通孔920充當半導體晶粒900的導電端子,用於電連接到外部元件。在一些實施例中,半導體晶粒900各自為記憶體晶片或裝置,例如動態隨機存取記憶體(dynamic random-access memory,DRAM)晶粒、靜態隨機存取記憶體(static random-access memory,SRAM)晶粒、混合記憶體立方體(hybrid memory cube,HMC)模組、高頻寬記憶體(high bandwidth memory,HBM)模組或類似物。在本公開中,半導體晶粒100的類型不同於半導體晶粒900的類型。如圖13所示,舉例來說,出於說明目的示出了四個半導體晶粒900;然而,半導體晶粒900的數量不限於本公開中繪示的數量,並且可基於需求和設計佈局來選擇和指定。
應理解,半導體封裝體10a到半導體封裝體10e也可採用對半導體封裝體10的修改。舉例來說,半導體封裝體10b、10c、 10d和/或10e可採用如在半導體封裝體10a中所示的對半導體封裝體10的修改。舉例來說,半導體封裝體10a、10c、10d和/或10e可採用如在半導體封裝體10b中所示的對半導體封裝體10的修改。舉例來說,半導體封裝體10a、10b、10d和/或10e可採用如在半導體封裝體10c中所示的對半導體封裝體10的修改。舉例來說,半導體封裝體10a、10b、10c和/或10e可採用如在半導體封裝體10d中所示的對半導體封裝體10的修改。舉例來說,半導體封裝體10a、10b、10c和/或10d可採用如在半導體封裝體10e中所示的對半導體封裝體10的修改。由於對半導體封裝體10的修改的細節在圖9到圖14中進行了闡述,因此為簡潔起見,對其不再予以贅述。
圖15示出根據本公開一些實施例的半導體封裝體20的示意性剖視圖。圖16示出在圖15中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖,其中圖15是沿在圖16中繪示的中心線CL1截取的剖視圖。圖17到圖20示出根據本公開一些替代實施例的半導體封裝體的沿中心線CL1的剖視圖。圖21示出根據本公開一些替代實施例的半導體封裝體中所包括的組件的相對位置的示意性平面圖。與上述組件類似或實質上相同的組件將使用相同的參考編號,並且在本文中對相同組件的某些細節或說明(例如,形成和材料)及其關係(例如,相對定位配置和電連接)將不再予以贅述。在一些實施例中,圖15到圖16的半導體封裝體20與圖5到圖7的半導體封裝體10類似;不同之處在于,半導體封裝體20包括環形結構600B和蓋800B來代替環形結構600A和蓋800A。
參照圖15和圖16,在一些實施例中,半導體封裝體20包括基底500、設置在基底500上並電連接到基底500的半導體晶粒100、環形結構600B和蓋800B。半導體封裝體20還包括將環形結構600B黏合到基底500的黏合劑710、以及將蓋800B黏合到環形結構600B的黏合劑720。舉例來說,透過黏合劑710,環形結構600B電連接且熱連接到基底500。透過黏合劑720,蓋800B電連接且熱連接到環形結構600B,其中蓋800B透過環形結構600B和黏合劑710、720電連接且熱連接到基底500。蓋800B可被稱為半導體封裝體20的散熱元件。作為另外一種選擇,蓋800B、環形結構600B和位於所述兩者之間的黏合劑720一起可被稱為半導體封裝體20的散熱元件。由於存在此種散熱元件,改善了半導體封裝體20中的半導體晶粒100的散熱。半導體晶粒100、基底500和黏合劑710、720中的每一者的形成和材料已在圖1到圖7中進行了闡述,且因此為簡單起見,在本文中不再對其予以贅述。
半導體封裝體20還包括將半導體晶粒100黏合到蓋800B的熱介面材料730。透過熱介面材料730,增強了半導體晶粒100與蓋800B之間的熱耦合,此進一步有助於將熱量從半導體晶粒100散發到蓋800B。熱介面材料730的形成和材料已在圖5到圖7中進行了闡述,且因此為簡單起見,在本文中對其不再予以贅述。然而,本公開不限於此。作為另外一種選擇,熱介面材料730可被省略,如圖17所示的半導體封裝體20a。
在一些實施例中,蓋800B、黏合劑720、環形結構600B和黏合劑710一起構成半導體晶粒100的EMI遮蔽結構(其電連接到基底500)。由於存在所述EMI遮蔽結構,可抑制由位於半導 體封裝體20外部的其他電子元件產生的電磁波引起的衝擊,從而提高半導體封裝體20的可靠性和效能。
在一些實施例中,在俯視圖(例如,X-Y平面)中,環形結構600B呈全(連續)框架環的形式,其具有面向半導體晶粒100的側壁的內側壁SW600i和與內側壁SW600i相對的外側壁SW600o,其中外側壁SW600o在方向Z上與基底500的側壁實質上對齊。在一些實施例中,環形結構600B在俯視圖中具有矩形環形狀,所述矩形環形狀具有兩個相對的長側和兩個相對的短側。在一些實施例中,環形結構600B的內側壁SW600i包括側S5’、側S6、側S7和側S8,且環形結構600B的外側壁SW600o包括側S9、側S10、側S11和側S12。在一些實施例中,環形結構600B類似於環形結構600A,其中環形結構600B的內側壁SW600i的側S6、側S7和側S8以及環形結構600B的外側壁SW600o的側S9、側S10、側S11和側S12各自為實質上連續的垂直表面;除了內側壁SW600i的側S5’為非垂直表面。換句話說,內側壁SW600i的側S5’可為非平面表面。舉例來說,如圖15所示,側S5’包括平面表面S5a和連接到平面表面S5a的平面表面S5b,其中平面表面S5a實質上平行於側S9,且平面表面S5b不平行於平面表面S5a。如圖15所示,在平面表面S5a的延伸平面(表示為虛線)與平面表面S5b之間存在角度θ。在一些實施例中,角度θ是銳角。在某些實施例中,角度θ大約在0°到90°的範圍內。舉例來說,平面表面S5b是傾斜表面,如圖15所示。在一些實施例中,環形結構600B的外側壁SW600o是實質上連續的垂直側壁。
如圖15和圖16所示,在一些實施例中,蓋800B包括凹 陷R2’,其中凹陷R2’與凹陷R1在空間上連通。舉例來說,凹陷R2’在蓋800B的底表面800b處具有開口(未標記),其中凹陷R2’的側壁沿著方向Z從開口延伸到蓋800B中。在一些實施例中,如圖15和圖16所示,在沿著方向Z量測時,凹陷R2’的厚度T5大於0,並且小於或實質上等於蓋800B的厚度T800。舉例來說,凹陷R2’的厚度T5大於0,並且小於或實質上等於蓋800B的厚度T800的一半。換句話說,凹陷R2’沒有貫穿蓋800B。
在一些實施例中,沿著方向Z在基底500上的垂直投影中,凹陷R2’與偏移位置(例如,間隙W1)交疊,如圖15和圖16所示。在一些實施例中,凹陷R2’從第一側S1朝向蓋800B的側壁SW800延伸,其中凹陷R2’的側壁的一部分實質上與半導體晶粒100的第一側S1對齊,且凹陷R2’與環形結構600B部分交疊。也就是說,在沿著方向Z的垂直投影中,凹陷R2’的定位位置鄰近半導體晶粒100的定位位置,且部分位於環形結構600B的定位位置內(例如,與環形結構600B的定位位置交疊)。舉例來說,在圖16的俯視圖中,凹陷R2’的長度L2小於蓋800B的長度L1,且寬度W5’大於0。在一些實施例中,寬度W5’大於偏移位置(例如,間隙W1),如圖15和圖16所示。利用環形結構600B的內側壁SW600i中所包括的此種非平面表面(例如,側S5’),形成在蓋800B中的凹陷R2’的寬度W5’大於形成在蓋800A中的凹陷R2的寬度W5。由於存在此種凹陷R2’,進一步允許蓋800B在凹陷R2’的位置處在變形能力方面具有更大的靈活性,從而避免、抑制或減少在黏合劑處的因為兩個組件之間(例如,在蓋800B與環形結構600B之間和/或環形結構600B與基底500之間)的CTE失配和/ 或模量失配導致的應力而引起的應力集中和/或分層問題。從實驗的角度來看,與沒有具有對應於偏移位置的凹陷的傳統蓋相比,黏合劑720處的應力減小了約13%到20%。
環形結構600B在俯視圖中可具有實質上相同的厚度。舉例來說,如圖16的俯視圖所示,其中厚度W3、W4、W8和W9實質上相同。然而,本公開不限於此;只要間隙W2大於間隙W1並且間隙W6和W7實質上相同,那麼厚度W3、W4、W8和W9可彼此不同或相等。舉例來說,厚度W3可不同於厚度W4。在一些實施例中,圖18的半導體封裝體20b與圖15的半導體封裝體20類似;不同之處在於,環形結構600B被環形結構600B’替代,其中環形結構600B’在俯視圖中具有不均勻的厚度。在環形結構600B’中,厚度W4’可不同於厚度W3、W8和W9。舉例來說,厚度W4’大於厚度W3,如圖18所示,而厚度W3、W8和W9相同(未示出)。在替代實施例中,厚度W4’小於厚度W3(未示出),而厚度W3、W8和W9相同。或者,厚度W3可大於、小於或實質上等於厚度W4’,而厚度W4’、W8和W9可相同。
環形結構600B的厚度T600可大於、小於或實質上等於蓋800B的厚度T800。舉例來說,在圖15的半導體封裝體20中,在沿著方向Z量測時,環形結構600B的厚度T600大於蓋800B的厚度T800。然而,本公開不限於此。舉例來說,圖19的半導體封裝體20c與圖15的半導體封裝體20類似;不同之處在於,在沿著方向Z量測時,環形結構600B的厚度T600實質上等於蓋800B的厚度T800。作為另外一種選擇,在沿著方向Z量測時,環形結構600B的厚度T600可小於蓋800B的厚度T800。
在替代實施例中,可採用額外的半導體裝置和/或額外的導電端子。舉例來說,圖20的半導體封裝體20d與圖15的半導體封裝體20類似;不同之處在于,半導體封裝體20d還包括半導體裝置310、320和多個導電端子400。如在圖20的半導體封裝體20d中所示,半導體裝置310沿著中心線CL1設置在基底500的所示頂表面500t上並鄰近半導體晶粒100,半導體裝置320設置在基底500的所示底表面500b上,並且導電端子400設置在基底500的所示底表面500b上並鄰近半導體裝置320。在一些實施例中,導電端子400中的一些導電端子透過基底500電連接到半導體晶粒100,導電端子400中的一些導電端子電連接到半導體裝置310,且導電端子400中的一些導電端子電連接到半導體裝置320。在一些實施例中,半導體裝置310透過基底500電連接到半導體晶粒100,並且半導體裝置320透過基底500電連接到半導體晶粒100。在一些實施例中,半導體裝置310透過基底500電連接到半導體裝置320。半導體裝置310、320和導電端子400中的每一者的細節、形成和材料已經在圖12中進行了闡述,且因此為簡單起見,在本文中對其不再予以贅述。
在替代實施例中,可採用額外的半導體晶粒。舉例來說,圖21的半導體封裝體20e與圖15的半導體封裝體20類似;不同之處在于,半導體封裝體20e還包括多個半導體晶粒900。如在圖21的半導體封裝體20e中所示,在一些實施例中,半導體晶粒900沿著中心線CL2設置在基底500的所示頂表面500t上並鄰近半導體晶粒100。舉例來說,半導體晶粒900透過基底500電連接到半導體晶粒100。如在圖21中所示,舉例來說,半導體晶粒900以 對稱佈置位於半導體晶粒100的兩個相對側處。半導體晶粒900的細節、形成和材料已在圖13和圖14中進行了闡述,且因此為簡單起見,在本文中對其不再予以贅述。
應理解,半導體封裝體20a到半導體封裝體20e也可採用對半導體封裝體20的修改。舉例來說,半導體封裝體20b、20c、20d和/或20e可採用如在半導體封裝體20a中所示的對半導體封裝體20的修改。舉例來說,半導體封裝體20a、20c、20d和/或20e可採用如在半導體封裝體20b中所示的對半導體封裝體20的修改。舉例來說,半導體封裝體20a、20b、20d和/或20e可採用如在半導體封裝體20c中所示的對半導體封裝體20的修改。舉例來說,半導體封裝體20a、20b、20c和/或20e可採用如在半導體封裝體20d中所示的對半導體封裝體20的修改。舉例來說,半導體封裝體20a、20b、20c和/或20d可採用如在半導體封裝體20e中所示的對半導體封裝體20的修改。由於對半導體封裝體20的修改的細節在圖19到圖21中進行了闡述,因此為簡潔起見,對其不再予以贅述。
圖22示出根據本公開一些實施例的半導體封裝體30的示意性剖視圖。圖23示出在圖22中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖,其中圖22是沿在圖23中繪示的中心線CL1截取的剖視圖。圖24示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。與上述組件類似或實質上相同的組件將使用相同的參考編號,並且在本文中對相同組件的某些細節或說明(例如,形成和材料)及其關係(例如,相對定位配置和電連接)將不再予以贅述。在一些實施例中,圖22到圖 23的半導體封裝體30與圖5到圖7的半導體封裝體10類似;不同之處在于,半導體封裝體30包括環形結構600C和蓋800C來代替環形結構600A和蓋800A。
如圖22和圖23所示,在一些實施例中,環形結構600C具有呈全(連續)框架環形式的俯視圖,其具有面向半導體晶粒100的側壁的內側壁SW600i和與內側壁SW600i相對的外側壁SW600o,其中外側壁SW600o從基底500的側壁偏移。舉例來說,環形結構600C的一個部分P1和蓋800C的一個部分P2以間隙G4從基底500的側壁(例如,第一邊緣E1)向外突出,如圖22和圖23所示。在本公開中,環形結構600C的部分P1可被稱為懸臂在基底500的第一邊緣E1上方的環形結構600C的懸垂(或突出)部分(overhang(or protrusion)portion),且蓋800C的部分P2可被稱為懸臂在基底500的第一邊緣E1上方的蓋800C的懸垂(或突出)部分。換句話說,例如,環形結構600C的外側壁SW600o(例如,側S9)以間隙G4從基底500的側壁(例如,第一邊緣E1)偏移。在一些實施例中,在俯視圖中,環形結構600C的外側壁SW600o實質上與蓋800C的側壁SW800對齊。由於存在懸垂(或突出)部分(例如,部分P1和部分P2),兩個組件之間(例如,環形結構600C與蓋800C之間)的黏合可隨著其之間的接觸面積增加而增強。
應理解,半導體封裝體30也可採用對半導體封裝體10的修改。由於對半導體封裝體10的修改的細節在圖9到圖14中進行了闡述,因此為簡潔起見,對其不再予以贅述。舉例來說,可省略熱介面材料730,參見圖24的半導體封裝體30a。舉例來說, 蓋800C與半導體晶粒100(的後側表面110b)以一定間隙分開,例如空氣間隙,如圖24所示。
圖25示出根據本公開一些實施例的半導體封裝體40的示意性剖視圖。圖26示出在圖25中繪示的半導體封裝體中所包括的組件的相對位置的示意性平面圖,其中圖25是沿在圖26中繪示的中心線CL1截取的剖視圖。圖27示出根據本公開一些替代實施例的半導體封裝體的示意性剖視圖。與上述組件類似或實質上相同的組件將使用相同的參考編號,並且在本文中對相同組件的某些細節或說明(例如,形成和材料)及其關係(例如,相對定位配置和電連接)將不再予以贅述。在一些實施例中,圖25到圖26的半導體封裝體40類似於圖15到圖16的半導體封裝體20;不同之處在于,半導體封裝體40包括環形結構600D和蓋800D來代替環形結構600B和蓋800C。
如圖25和圖26所示,在一些實施例中,環形結構600D具有呈全(連續)框架環形式的俯視圖,其具有面向半導體晶粒100的側壁的內側壁SW600i和與內側壁SW600i相對的外側壁SW600o,其中外側壁SW600o從基底500的側壁偏移。舉例來說,環形結構600D的一個部分P1和蓋800D的一個部分P2以間隙G4從基底500的側壁(例如,第一邊緣E1)向外突出,如圖25和圖26所示。在本公開中,環形結構600D的部分P1可被稱為懸臂在基底500的第一邊緣E1上方的環形結構600D的懸垂(或突出)部分,且蓋800D的部分P2可被稱為懸臂在基底500的第一邊緣E1上方的蓋800D的懸垂(或突出)部分。換句話說,例如,環形結構600D的外側壁SW600o(例如,側S9)以間隙G4從基 底500的側壁(例如,第一邊緣E1)偏移。在一些實施例中,在俯視圖中,環形結構600D的外側壁SW600o實質上與蓋800D的側壁SW800對齊。由於存在懸垂(或突出)部分(例如,部分P1和部分P2),兩個組件之間(例如,環形結構600D與蓋800D之間)的黏合可隨著其之間的接觸面積增加而增強。
應理解,半導體封裝體40也可採用對半導體封裝體20的修改。由於對半導體封裝體20的修改的細節在圖17到圖21中進行了闡述,因此為簡潔起見,對其不再予以贅述。舉例來說,可省略熱介面材料730,參見圖27的半導體封裝體40a。舉例來說,蓋800D與半導體晶粒100(的後側表面110b)以一定間隙分開,例如空氣間隙,如圖27所示。
圖28示出根據本公開一些實施例的半導體封裝體的示意性剖視圖。為便於理解,相同的組件用相同的參考編號指示,且在本文中不再對其予以贅述。參照圖28,在一些實施例中,提供包括第一元件C1和設置在第一元件C1上方的第二元件C2的元件組合件SC。第一元件C1可為或可包括電路結構,例如主機板、封裝基底、另一印刷電路板(PCB)、印刷配線板、中介層和/或能夠承載積體電路的其他載體。在一些實施例中,安裝在第一元件C1上的第二元件C2類似于半導體封裝體10、10a到10e、20、20a到20e、30、30a、40、40a中的一者及其上述修改。舉例來說,一個或多個半導體封裝體(例如,10、10a到10e、20、20a到20e、30、30a、40、40a、其修改)可透過多個端子CT電耦合到第一元件C1。端子CT可為如圖12和圖20所述的導電端子400。
在一些實施例中,底部填料層UF形成在第一元件C1與 第二元件C2的間隙之間,以至少在側向上覆蓋端子CT。作為另外一種選擇,省略底部填料層UF。舉例來說,底部填料層UF可為任何可接受的材料,例如聚合物、環氧樹脂、模制底部填料或類似物。在一個實施例中,底部填料層可透過底部填料分配、毛細管流動製程或任何其他合適的方法形成。由於存在底部填料層UF,因此增強了第一組分C1與第二組分C2之間的接合強度。
根據一些實施例,一種半導體封裝體包括基底、半導體晶粒、環形結構和蓋。所述半導體晶粒設置在所述基底上。所述環形結構設置在所述基底上並圍繞所述半導體晶粒,其中所述半導體晶粒的第一側與所述環形結構的內側壁相隔第一間隙,且所述半導體晶粒的第二側與所述環形結構的所述內側壁相隔第二間隙。所述第一側與所述第二側相對,並且所述第一間隙小於所述第二間隙。所述蓋設置在所述環形結構上並具有形成在其中的凹陷,且所述凹陷在所述環形結構與所述蓋的堆疊方向上與所述第一間隙交疊。
根據一些實施例,在所述的半導體封裝體中,所述凹陷的厚度小於所述蓋的厚度。根據一些實施例,在所述的半導體封裝體中,所述環形結構呈矩形環的形式,其中:所述第一間隙是在所述環形結構的短軸上測量的所述半導體晶粒的所述第一側與所述環形結構的所述內側壁之間的最短距離,並且所述第二間隙是在所述環形結構的所述短軸上測量的所述半導體晶粒的所述第二側與所述環形結構的所述內側壁之間的最短距離。根據一些實施例,在所述的半導體封裝體中,所述環形結構呈矩形環的形式,並且所述半導體封裝體還包括:一個或多於一個第一半導體裝置,設置在所 述基底上並電連接到所述半導體晶粒,其中所述一個或多於一個第一半導體裝置位於所述環形結構內並沿著所述環形結構的長軸鄰近所述半導體晶粒設置。根據一些實施例,在所述的半導體封裝體中,所述環形結構呈矩形環的形式,並且所述半導體封裝體還包括:多個第二半導體裝置,設置在所述基底上並電連接到所述半導體晶粒,其中:所述多個第二半導體裝置位於所述環形結構內,並且沿著所述環形結構的短軸鄰近所述半導體晶粒設置;所述多個第二半導體裝置位於所述基底的與所述環形結構相對的一側上;或者所述多個第二半導體裝置的第一組位於所述環形結構內,並沿著所述環形結構的短軸鄰近所述半導體晶粒設置,且所述多個第二半導體裝置的第二組位於所述基底的與所述環形結構相對的一側上。根據一些實施例,所述的半導體封裝體還包括:熱介面材料,位於所述蓋與所述半導體晶粒之間,其中所述蓋透過所述熱介面材料熱耦合並連接到所述半導體晶粒。根據一些實施例,在所述的半導體封裝體中,所述蓋透過氣隙與所述半導體晶粒間隔開。根據一些實施例,在所述的半導體封裝體中,所述環形結構包括與所述內側壁相對的外側壁,並且所述外側壁與所述蓋的側壁和所述基底的側壁實質上對齊。根據一些實施例,在所述的半導體封裝體中,所述環形結構包括與所述內側壁相對的外側壁,並且所述外側壁與所述蓋的側壁實質上對齊,並且從所述基底的側壁偏移。根據一些實施例,在所述的半導體封裝體中,所述環形結構的所述內側壁包括實質上垂直的側壁。根據一些實施例,在所述的半導體封裝體中,所述環形結構的所述內側壁的一部分還包括傾斜側壁,其中所述傾斜側壁在所述堆疊方向上位於所述實質上垂直的側壁與形 成在所述蓋中的所述凹陷之間。
根據一些實施例,一種半導體封裝體包括基底、半導體晶粒和電磁干擾遮蔽結構。所述半導體晶粒設置在所述基底上位於朝向所述基底的邊緣的偏移位置中。所述電磁干擾遮蔽結構設置在所述基底上並電連接到所述基底,其中所述半導體晶粒位於由所述基底和所述電磁干擾遮蔽結構限定的空間中,並且形成在所述電磁干擾遮蔽結構中的凹陷對應於所述偏移位置。
根據一些實施例,在所述的半導體封裝體中,所述電磁干擾遮蔽結構包括:加強環,設置在所述基底上並圍繞所述半導體晶粒;第一導電黏合劑,位於所述加強環與所述基底之間並將所述加強環黏合到所述基底;蓋,設置在所述加強環上並在其中形成有所述凹陷,所述凹陷對應於所述偏移位置;及第二導電黏合劑,位於所述蓋與所述加強環之間並將所述蓋黏合到所述加強環。根據一些實施例,所述的半導體封裝體還包括:基礎基底,透過多個導電端子接合到所述基底,其中所述基底位於所述半導體晶粒與所述基礎基底之間並電連接到所述半導體晶粒和所述基礎基底。根據一些實施例,在所述的半導體封裝體中,沿著所述電磁干擾遮蔽結構和所述基底的堆疊方向在所述基底上的垂直投影中,所述凹陷的定位位置鄰近所述加強環的定位位置,並且被所述加強環的所述定位位置包圍。根據一些實施例,在所述的半導體封裝體中,沿著所述電磁干擾遮蔽結構和所述基底的堆疊方向在所述基底上的垂直投影中,所述凹陷的定位位置與所述加強環的定位位置交疊。
根據一些實施例,一種製造半導體封裝體的方法包括以下步驟:提供半導體晶粒;將所述半導體晶粒安裝到基底;將環形 結構黏合在所述基底上以圍繞所述半導體晶粒,所述半導體晶粒的第一側與所述環形結構的內側壁相隔第一間隙,且所述半導體晶粒的第二側與所述環形結構的所述內側壁相隔第二間隙,其中所述第一側與所述第二側相對,並且所述第一間隙小於所述第二間隙;以及將蓋黏合在所述環形結構上,以與所述環形結構和所述基底形成容納空間,所述半導體晶粒位於所述容納空間中,並且所述蓋包括形成在其中的凹陷,其中所述凹陷在空間上與所述容納空間連通,並且在所述環形結構和所述蓋的堆疊方向上與所述第一間隙交疊。
根據一些實施例,在所述的方法中,在將所述環形結構黏合到所述基底上之前,還包括以下至少一者:將多個第一半導體裝置安裝在所述基底上,並且在所述半導體晶粒的所述第一側的延伸方向上鄰近所述半導體晶粒的兩個相對側;將至少一個第二半導體裝置安裝在所述基底上,並且在垂直於所述半導體晶粒的所述第一側的延伸方向的方向上鄰近所述半導體晶粒;及將至少一個第三半導體裝置安裝在所述基底的與設置有所述半導體晶粒的一側相對的一側上根據一些實施例,在所述的方法中,將所述環形結構黏合在所述基底上包括透過第一黏合劑將所述環形結構黏合在所述基底上,所述第一黏合劑由導電材料製成,將所述蓋黏合在所述環形結構上包括透過第二黏合劑將所述蓋黏合在所述環形結構上,所述第二黏合劑由導電材料製成,且其中所述第一黏合劑、所述環形結構、所述第二黏合劑和所述蓋構成電磁干擾遮蔽結構。根據一些實施例,所述的方法還包括:透過多個導電端子將所述基底接合到基礎基底,所述基底位於所述基礎基底與所述半導體晶 粒之間並電連接到所述基礎基底和所述半導體晶粒。
前文概述若干實施例的特徵,使得所屬領域中具通常知識者可更佳地理解本揭露的態樣。所屬領域中具通常知識者應瞭解,其可容易地使用本揭露作為設計或修改用於進行本文中所引入的實施例的相同目的及/或實現相同優點的其他製程及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域中具通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
10:半導體封裝體
100:半導體晶粒
110:半導體基底
110a:前側表面
110b:後側表面
120:內連結構
122:層間介電層
124:圖案化導電層
130:鈍化層
130a:表面
140:導通孔
202:焊料區
500:基底
500b、600b、800b:底表面
500t、600t:頂表面
510、520:接合墊
530:金屬化層
600A:環形結構
710、720:黏合劑
730:熱介面材料
800A:蓋
E1:第一邊緣
E3:第三邊緣
W1、W2:間隙
O1:開口
R1、R2:凹陷
S1:第一側
S3:第三側
S5、S7、S9、S11:側
SW800:側壁
T5、T600、T800、W3、W4:厚度
W5:寬度
X、Y、Z:方向

Claims (10)

  1. 一種半導體封裝體,包括:基底;半導體晶粒,設置在所述基底上;環形結構,設置在所述基底上並圍繞所述半導體晶粒,其中所述半導體晶粒的第一側與所述環形結構的內側壁相隔第一間隙,且所述半導體晶粒的第二側與所述環形結構的所述內側壁相隔第二間隙,其中所述第一側與所述第二側相對,並且所述第一間隙小於所述第二間隙;及蓋,設置在所述環形結構上並具有形成在其中的凹陷,所述凹陷在所述環形結構與所述蓋的堆疊方向上與所述第一間隙交疊,所述環型結構在所述堆疊方向上與所述蓋交疊,其中所述凹陷的一側與所述半導體晶粒的所述第一側實質上彼此對齊。
  2. 如請求項1所述的半導體封裝體,其中所述凹陷的厚度小於所述蓋的厚度。
  3. 如請求項1所述的半導體封裝體,其中所述環形結構呈矩形環的形式,其中:所述第一間隙是在所述環形結構的短軸上測量的所述半導體晶粒的所述第一側與所述環形結構的所述內側壁之間的最短距離,並且所述第二間隙是在所述環形結構的所述短軸上測量的所述半導體晶粒的所述第二側與所述環形結構的所述內側壁之間的最短距離。
  4. 如請求項1所述的半導體封裝體,其中所述環形結 構的所述內側壁包括實質上垂直的側壁。
  5. 如請求項4所述的半導體封裝體,其中所述環形結構的所述內側壁的一部分還包括傾斜側壁,其中所述傾斜側壁在所述堆疊方向上位於所述實質上垂直的側壁與形成在所述蓋中的所述凹陷之間。
  6. 一種半導體封裝體,包括:基底;半導體晶粒,設置在所述基底上位於朝向所述基底的邊緣的偏移位置中;及電磁干擾遮蔽結構,設置在所述基底上並電連接到所述基底,其中所述半導體晶粒位於由所述基底和所述電磁干擾遮蔽結構限定的空間中,並且形成在所述電磁干擾遮蔽結構中的凹陷對應於所述偏移位置,其中所述電磁干擾遮蔽結構包括加強環以及設置在所述加強環上的蓋,且所述加強環在所述電磁干擾遮蔽結構與所述基底的堆疊方向上與所述蓋交疊,其中所述凹陷的一側與所述半導體晶粒的一側實質上彼此對齊。
  7. 如請求項6所述的半導體封裝體,其中所述電磁干擾遮蔽結構包括:所述加強環,設置在所述基底上並圍繞所述半導體晶粒;第一導電黏合劑,位於所述加強環與所述基底之間並將所述加強環黏合到所述基底;所述蓋,在其中形成有所述凹陷,所述凹陷對應於所述偏移位置;及第二導電黏合劑,位於所述蓋與所述加強環之間並將所述蓋 黏合到所述加強環。
  8. 如請求項6所述的半導體封裝體,還包括:基礎基底,透過多個導電端子接合到所述基底,其中所述基底位於所述半導體晶粒與所述基礎基底之間並電連接到所述半導體晶粒和所述基礎基底。
  9. 一種製造半導體封裝體的方法,包括:提供半導體晶粒;將所述半導體晶粒安裝到基底;將環形結構黏合在所述基底上以圍繞所述半導體晶粒,所述半導體晶粒的第一側與所述環形結構的內側壁相隔第一間隙,且所述半導體晶粒的第二側與所述環形結構的所述內側壁相隔第二間隙,其中所述第一側與所述第二側相對,並且所述第一間隙小於所述第二間隙;及將蓋黏合在所述環形結構上,以與所述環形結構和所述基底形成容納空間,所述半導體晶粒位於所述容納空間中,並且所述蓋包括形成在其中的凹陷,其中所述凹陷在空間上與所述容納空間連通,並且在所述環形結構和所述蓋的堆疊方向上與所述第一間隙交疊,所述環型結構在所述堆疊方向上與所述蓋交疊,其中所述凹陷的一側與所述半導體晶粒的所述第一側實質上彼此對齊。
  10. 如請求項9所述的方法,其中將所述環形結構黏合在所述基底上包括透過第一黏合劑將所述環形結構黏合在所述基底上,所述第一黏合劑由導電材料製成,將所述蓋黏合在所述環形結構上包括透過第二黏合劑將所述蓋黏合在所述環形結構上,所述第二黏合劑由導電材料製成,且 其中所述第一黏合劑、所述環形結構、所述第二黏合劑和所述蓋構成電磁幹擾遮蔽結構。
TW110117008A 2020-11-06 2021-05-11 半導體封裝體及其製造方法 TWI806048B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063110376P 2020-11-06 2020-11-06
US63/110,376 2020-11-06
US17/203,732 US11637072B2 (en) 2020-11-06 2021-03-16 Semiconductor package and method of manufacturing the same
US17/203,732 2021-03-16

Publications (2)

Publication Number Publication Date
TW202220125A TW202220125A (zh) 2022-05-16
TWI806048B true TWI806048B (zh) 2023-06-21

Family

ID=79232737

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110117008A TWI806048B (zh) 2020-11-06 2021-05-11 半導體封裝體及其製造方法

Country Status (3)

Country Link
US (2) US11637072B2 (zh)
CN (1) CN113921474A (zh)
TW (1) TWI806048B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11527457B2 (en) * 2021-02-26 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with buffer layer embedded in lid layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201330218A (zh) * 2011-11-14 2013-07-16 Micron Technology Inc 具有多個熱路徑之堆疊半導體晶粒組件及其相關系統和方法
US20150001701A1 (en) * 2013-06-27 2015-01-01 International Business Machines Corporation Multichip module with stiffing frame and associated covers
US20180061783A1 (en) * 2015-12-31 2018-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lid Structure for a Semiconductor Device Package and Method for Forming the Same
TW201931531A (zh) * 2018-01-08 2019-08-01 聯發科技股份有限公司 半導體封裝
TW202040770A (zh) * 2019-04-15 2020-11-01 台灣積體電路製造股份有限公司 封裝結構及其形成方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW578284B (en) * 2002-12-24 2004-03-01 Advanced Semiconductor Eng Heat separator for chip package and the bonding method thereof
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9269694B2 (en) * 2013-12-11 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with thermal management features for reduced thermal crosstalk and methods of forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201330218A (zh) * 2011-11-14 2013-07-16 Micron Technology Inc 具有多個熱路徑之堆疊半導體晶粒組件及其相關系統和方法
US20150001701A1 (en) * 2013-06-27 2015-01-01 International Business Machines Corporation Multichip module with stiffing frame and associated covers
US20180061783A1 (en) * 2015-12-31 2018-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lid Structure for a Semiconductor Device Package and Method for Forming the Same
TW201931531A (zh) * 2018-01-08 2019-08-01 聯發科技股份有限公司 半導體封裝
TW202040770A (zh) * 2019-04-15 2020-11-01 台灣積體電路製造股份有限公司 封裝結構及其形成方法

Also Published As

Publication number Publication date
CN113921474A (zh) 2022-01-11
US20220148979A1 (en) 2022-05-12
US20230230935A1 (en) 2023-07-20
US11637072B2 (en) 2023-04-25
TW202220125A (zh) 2022-05-16

Similar Documents

Publication Publication Date Title
US11908835B2 (en) Semiconductor structure and manufacturing method thereof
US9502335B2 (en) Package structure and method for fabricating the same
US8791562B2 (en) Stack package and semiconductor package including the same
US20210272929A1 (en) Package structure and manufacturing method thereof
US20160049359A1 (en) Interposer with conductive post and fabrication method thereof
TWI727523B (zh) 封裝結構及其製造方法
US20220310577A1 (en) Semiconductor package
US11869819B2 (en) Integrated circuit component and package structure having the same
TW202147538A (zh) 封裝結構及其製作方法
US11329024B2 (en) Semiconductor package
US20230099787A1 (en) Semiconductor package and method of fabricating the same
KR20230023083A (ko) 반도체 패키지 및 그 제조 방법
US20230230935A1 (en) Semiconductor package and method of manufacturing the same
US20220165635A1 (en) Semiconductor package and method of manufacturing semiconductor package
US11552054B2 (en) Package structure and method of manufacturing the same
US20240071866A1 (en) Semiconductor package
US20230326881A1 (en) Semiconductor package with riveting structure between two rings and method for forming the same
US20230352411A1 (en) Semiconductor package and electronic device including the same
US20220319944A1 (en) Semiconductor package and method of manufacturing semiconductor package
US11183483B2 (en) Multichip module and electronic device
KR20230063426A (ko) 반도체 패키지 및 그 제조방법
US20240120280A1 (en) Semiconductor package
US20230154910A1 (en) Semiconductor chip, semiconductor package, and method of manufacturing the same
US20240063070A1 (en) Method of manufacturing semiconductor package
US20220392843A1 (en) Semiconductor package