TWI803256B - 半導體元件結構的製備方法 - Google Patents

半導體元件結構的製備方法 Download PDF

Info

Publication number
TWI803256B
TWI803256B TW111110898A TW111110898A TWI803256B TW I803256 B TWI803256 B TW I803256B TW 111110898 A TW111110898 A TW 111110898A TW 111110898 A TW111110898 A TW 111110898A TW I803256 B TWI803256 B TW I803256B
Authority
TW
Taiwan
Prior art keywords
layer
photoresist layer
patterned photoresist
forming
pattern
Prior art date
Application number
TW111110898A
Other languages
English (en)
Other versions
TW202326859A (zh
Inventor
馬士元
葉永全
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Application granted granted Critical
Publication of TWI803256B publication Critical patent/TWI803256B/zh
Publication of TW202326859A publication Critical patent/TW202326859A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/42Alignment or registration features, e.g. alignment marks on the mask substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Dicing (AREA)
  • Thin Film Transistor (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本揭露提供一種半導體元件結構的製備方法。該製備方法包括:提供一基底;在該基底上形成一光阻層;對該光阻層進行圖案化處理以形成一圖案化光阻層;在該圖案化光阻層上形成一間距調整層以定義一遮罩圖案;以及判斷該遮罩圖案是否符合一半導體製程規格;當確定該遮罩不符合該半導體製程規格時,執行一重工操作以移除該間距調整層。

Description

半導體元件結構的製備方法
本申請案主張美國第17/564,532號專利申請案之優先權(即優先權日為「2021年12月29日」),其內容以全文引用之方式併入本文中。
本揭露關於一種半導體元件的製備方法,特別是關於一種形成間距調整層的遮罩圖案形成方法。
隨著半導體技術的發展,在微影操作中減少光阻圖案和底層圖案的線寬或間距變得越來越重要。負型顯影(NTD)或正型顯影(PTD)製程可以用來實現縮小元件尺寸。然而,NTD和PTD製程可能還有與焦點深度(DOF)、線寬粗糙度(LWR)或浮渣有關的缺點。這些問題會降低微影的效能,並可能導致產量下降甚至元件失效。因此,儘管現有的NTD和PTD製程總體上足以滿足其預期的目的,但它們在各個方面都還不完全令人滿意。
上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。
本揭露的一個方面提供一種半導體元件結構的製備方法。該製備方法包括:提供一基底;在該基底上形成一光阻層;對該光阻層進行圖案化處理以形成一圖案化光阻層;在該圖案化光阻層上形成一間距調整層以定義一遮罩圖案;以及判斷該遮罩圖案是否符合一半導體製程規格;當確定該遮罩不符合該半導體製程規格時,執行一重工操作以移除該間距調整層。
本揭露的另一個方面提供另一種半導體元件結構的製備方法。該製備方法包括:提供一基底,包括一目標層;在該基底上形成一光阻層;對該光阻層進行圖案化處理,以形成具有一第一間距的一圖案化光阻層;在該圖案化光阻層上形成一間距調整層,以定義一遮罩圖案,其中該遮罩圖案具有小於該第一間距的一第二間距;以及藉由該遮罩圖案對該目標層進行圖案化處理。
本揭露的另一個方面提供另一種半導體元件結構的製備方法。該製備方法包括提供一基底;在該基底上形成一光阻層;將該光阻層的一第一部分曝露於輻射,而該光阻層的一第二部分則被覆蓋因此未曝露於輻射中;將該光阻層施加一負型顯影劑,以移除該光阻層的該第二部分,以形成該圖案化光阻層。在該圖案化光阻層上形成一間距調整材料,其中該間距調整材料與該圖案化光阻層反應,在該間距調整材料和該圖案化光阻層的一界面上形成一間距調整層。
本揭露的實施例揭露一種半導體元件結構的製備方法。在一些實施例中,在負光阻層上形成間距調整層,協助定義具有相對小間距的遮罩圖案。此外,如果遮罩圖案未能滿足半導體製程的規格,可以進行重工操作,以移除間距調整層和/或圖案化光阻層,這可以提高半導體元件結構的產量。
上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。
現在用具體的語言來描述附圖中說明的本揭露的實施例,或實例。應理解的是,在此不旨在限制本揭露的範圍。對所描述的實施例的任何改變或修改,以及對本文所描述的原理的任何進一步應用,都應被認為是與本揭露內容有關的技術領域的普通技術人員通常會做的。參考數字可以在整個實施例中重複,但這並不旨在一個實施例的特徵適用於另一個實施例,即使它們共用相同的參考數字。
應理解的是,儘管術語第一、第二、第三等可用於描述各種元素、元件、區域、層或部分。可用於描述各種元素、部件、區域、層或部分,但這些元素、部件、區域、層或部分不受這些術語的限制。相反,這些術語只是用來區分一個元素、元件、區域、層或部分與另一個區域、層或部分。因此,下面討論的第一個元素、元件、區域、層或部分可以被稱為第二個元素、元件、區域、層或部分而不偏離本發明概念的教導。
本文使用的術語僅用於描述特定的實施例,並不旨在局限於本發明的概念。正如本文所使用的,單數形式的"一"、"一個"和"該"旨在包括複數形式,除非上下文明確指出。應進一步理解,術語”包括”和”包含”在本說明書中使用時,指出了所述特徵、整數、步驟、操作、元素或元件的存在,但不排除存在或增加一個或複數個其他特徵、整數、步驟、操作、元素、元件或其組。
圖1、圖1A、圖1B、圖1C和圖1D是示意圖,例示本揭露一些實施例之半導體元件結構的製備方法100。
參照圖1,製備方法100從操作110開始,其中提供一基底。一目標層可在基底上或在基底上方形成。目標層可以是要被圖案化的一層。在一些實施例中,目標層可用於形成一隔離結構、一閘極結構、一源極/汲極特徵、一導電通孔等。
製備方法100繼續操作120,其中可以在目標層上形成一光阻層。在一些實施例中,光阻層可以包括一負型光阻(或負光阻)。負型光阻曝露在光線下的部分變得不溶於顯影劑溶液(例如,有機溶液),而未曝露在光線下的部分則可溶於其中。
製備方法100繼續進行操作130,其中光阻層可以被曝光。在一些實施例中,光阻層曝露在一電磁波形式的輻射中。例如,電磁波可以包括準分子鐳射,如波長為193奈米(nm)的ArF準分子鐳射。在一些實施例中,光阻層中的一光酸(photoacid)濃度在光阻層曝露於輻射後可以得到增強。
製備方法100繼續操作140,其中光阻層被顯影,因此形成一圖案化光阻層。在一些實施例中,光阻層可以在一顯影劑(例如有機溶劑)中顯影。顯影劑可以溶解或以其他方式移除光阻層的未曝光部分。
製備方法100繼續操作150,其中可以在圖案化光阻層上形成一間距調整材料。在一些實施例中,間距調整材料可以包括一酸反應材料,如一酸催化的可交連材料。可以利用間距調整材料來與圖案化光阻層中的光酸進行反應。
製備方法100繼續操作160,其中可以在圖案化光阻層上形成一間距調整層,以定義一遮罩圖案。間距調整材料可以與間距調整層進行反應,以便間距調整層可以在圖案化光阻層和間距調整材料之間的一界面上形成。在一些實施例中,遮罩圖案可以包括圖案化調整層和間距調整層。遮罩圖案可用於定義目標層的圖案。
製備方法100繼續操作170,其中可以判斷遮罩圖案是否符合一半導體製程規格或符合一特定的半導體製備規格。在一些實施例中,可以利用檢測設備(或量測設備)來獲得光學圖像,這些圖像可以用來計算或產生與疊置(OVL)誤差、關鍵尺寸(CD)等有關的檢測資料。檢測設備可以將一檢測資料訊號發送到一控制器,控制器可以比較檢測資料和一目標檢測資料,因此來確定遮罩圖案是否符合半導體製程規格。
接下來,基於對操作170的判斷,執行操作180或操作190。在一些實施例中,當遮罩圖案未能滿足半導體製程規格時,可以執行一重工操作,如操作180所示。在一些實施例中,可以執行重工操作以移除間距調整層或同時移除間距調整層和圖案化光阻層。
在一些實施例中,當遮罩圖案符合半導體製程規格時,可以對目標層進行圖案化處理,如操作190所示。在一些實施例中,目標層的圖案可以藉由利用遮罩圖案來定義。在一些實施例中,在目標層的圖案化處理之後,可以移除遮罩圖案。
參照圖1A,操作180可以包括操作182。在一些實施例中,操作182可以包括移除間距調整層,並且圖案化光阻層可以保留在目標層上。在執行操作182之後,製備方法100可以繼續進行操作150,其中在圖案化光阻層上形成一間距調整材料。
在一些實施例中,操作180更可以包括操作184。在一些實施例中,圖案化光阻層可以在移除間距調整層之後被移除。在執行操作184之後,製備方法100可以繼續進行操作120,其中可以在目標層上形成一光阻層。在一些實施例中,操作182和184可以在同一設備腔體(chamber)或在相同製造地點進行。在一些實施例中,間距調整層和圖案化光阻層可以藉由能移除含碳材料(例如聚合物等)的相同蝕刻劑來移除。
在一些實施例中,可以執行重工操作,直到遮罩圖案符合半導體製程規格。在一個比較的例示中,在一圖案化負光阻層上共形地形成一介電質層,例如氧化層,以定義一遮罩圖案。如果上述遮罩圖案不能滿足半導體製程規格要求,將很難在不損壞介電層之下的層(例如目標層)的情況下移除介電層。此外,在一個比較的例示中,在一正型的光阻上形成一酸催化的可交連材料,以定義一遮罩圖案。由於正型光阻與負型光阻相比,產生的光酸較少,所以遮罩圖案的間距可能比負型光阻形成的要大。此外,與正型光阻相比,酸反應材料不僅可以與負型光阻的側表面,而且更可以與上表面發生反應。因此,在隨後的目標層的圖案製作中,蝕刻的選擇性可以更為提高。
在一些實施例中,操作170可以包括操作172、174,或其兩者,如圖1B所示。
操作172可以包括判斷一疊置標記的疊置誤差是否小於一預定目標。在一些實施例中,疊置誤差是疊置標記(包括前層(pre-layer)圖案和當層(current layer)圖案)的一錯位程度的指示。前層(或下層)可以位在與當層(或上層)不同的水平層面上。在一些實施例中,當層中的疊置標記圖案可以包括光阻層和間距調整層。在一些實施例中,當層中的疊置標記圖案可以位在與遮罩圖案相同的水平層面上。疊置誤差可以包括X方向的偏差(ΔX),Y方向的偏差(ΔY),或兩者的組合。
在一些實施例中,可利用一疊置測量設備來測量疊置標記的疊置誤差。疊置測量設備可以向控制器發送疊置誤差的訊號,控制器可以比較疊置誤差和目標疊置誤差,因此判斷疊置標記的疊置誤差是否小於一預定目標。
接下來,根據操作172的判斷,執行操作174或操作180。在一些實施例中,當疊置標記的疊置誤差大於一預定目標時,可以確定遮罩圖案的位置有比較大的偏移,因此遮罩圖案未能滿足半導體製程規格。在此情況下,可以執行操作180以移除間距調整層、圖案化光阻層或其兩者。
在一些實施例中,當疊置標記的疊置誤差小於預定目標時,可以執行判斷遮罩圖案的間距是否小於一預定目標的判斷,如操作174所示。遮罩圖案的間距可以指示圖案化目標層的線寬。遮罩圖案的間距可以由關鍵尺寸量測設備來測量,例如關鍵尺寸掃描電子顯微鏡(Critical Dimension Scanning Electron Microscope,CD-SEM)。關鍵尺寸量測設備可以向控制器發送遮罩圖案的一間距訊號,控制器可以比較遮罩圖案的間距和一目標間距,因此判斷遮罩圖案的間距是否小於預定目標。
接下來,根據操作174的判斷,執行操作180或操作190。在一些實施例中,當遮罩圖案的間距大於預定目標時,可以確定遮罩圖案未能符合半導體製程規格。在此情況下,可以執行操作180來移除間距調整層、圖案化光阻層或其兩者。
在一些實施例中,當疊置標記的疊置誤差小於預定目標時,可以確定遮罩圖案能符合半導體製程的規格。在此情況下,可以執行操作190來對目標層進行圖案化處理。
儘管圖1B說明了操作170包括操作172和174,但操作172或174可以根據半導體製程的要求而省略。在一些實施例中,操作172和174的順序可以互換。
在一些實施例中,製備方法100更包括操作140和150之間的操作142,如圖1C所示。操作142可以包括判斷操作140和150之間的一佇列時間是否超過一預定目標。接下來,基於操作142的判斷,執行操作144或操作150。在一些實施例中,當佇列時間超過預定目標時,可以執行一重工操作,如操作144所示。在一些實施例中,操作144可以包括移除圖案化光阻層。在一些實施例中,製備方法100在執行操作144後繼續進行操作120。在一些實施例中,可以根據半導體製程的要求來預先確定一目標佇列時間。
在一些實施例中,當佇列時間小於一預定目標時,製備方法100繼續進行操作150。由於光酸可能隨著時間的推移而減少,如果在形成圖案化光阻層之後,佇列時間過長,剩餘的光酸不能被利用來形成足夠厚度的間距調整層。因此,間距調整層不能滿足半導體製程的要求。因此,當在佇列時間超過預定目標的情況下移除圖案化光阻層時,可以藉由省略後續製程來改善成本。
在一些實施例中,製備方法100還可以包括操作140和150之間的操作146,如圖1D所示。在一些實施例中,操作146可以包括確定一預定佇列時間來控制間距調整層的厚度。如所述,圖案化光阻層中的光酸量隨著時間的推移而減少,間距調整層的厚度可以藉由控制操作140和150之間的佇列時間來調整。當一預定佇列時間被確定後,間距調整層的厚度可以相應地被確定。因此,遮罩圖案的間距可以被調整。在一些實施例中,可以利用控制器來指示晶圓或半導體元件結構在一預定時間進入製程設備。
製備方法100僅是一例示,並不旨在將本揭露內容限制在申請專利範圍中明確提到的範圍之外。可以在製備方法100的每個操作之前、期間或之後提供額外的操作,並且所述的一些操作可以被替換、消除或移動,用於該製備方法的其他實施例。在一些實施例中,製備方法100還可以包括圖1、圖1A、圖1B、圖1C和圖1D中未描繪的操作。在一些實施例中,製備方法100可以包括圖1、圖1A、圖1B、圖1C和圖1D中描繪的一個或多個操作。
圖2A、圖2B、圖2C、圖2D、圖2E、圖2F、圖2G、圖3、圖4A和圖4B例示本揭露一些實施例之半導體元件的各個製備階段。
參照圖2A,可以提供半導體元件結構200。半導體元件結構200可以包括區域200A和區域200B。在一些實施例中,區域200A可以用來定義一個區域,該區域上形成電子元件。在一些實施例中,區域200B可以用來定義一個區域,該區域上形成疊置標記。
在一些實施例中,電子元件可以包括主動元件和/或被動元件。主動元件可以包括一記憶體晶片(例如,動態隨機存取記憶體(DRAM)晶片、靜態隨機存取記憶體(SRAM)晶片等)、一電源管理晶片(例如,電源管理積體電路(PMIC)晶片)、一邏輯晶片(例如,系統晶片(SoC)、中央處理單元(CPU)、圖形處理單元(GPU)、應用處理器(AP)、微控制器等)、一射頻(RF)晶片、一感測器晶片、一微機電系統(MEMS)晶片、一訊號處理晶片(例如,數位訊號處理(DSP)晶片)、一前端晶片(例如類比前端(AFE)晶片)或其他主動元件。被動元件可包括電容器、電阻器、電感器、熔絲或其他被動元件。
半導體元件結構200可包括基底202。基底202可以是一半導體基底,例如塊狀(bulk)半導體基底、絕緣體上的半導體(SOI)基底,或類似基底。基底202可以包括一元素(elementary)半導體,包括單晶形式、多晶形式或非晶形式的矽或鍺;一化合物(compound)半導體材料,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和銻化銦中的至少一種;一合金半導體材料,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和GaInAsP中的至少一種;任何其他合適的材料;或其組合。在一些實施例中,合金半導體基底可以是具有梯度Ge特徵的SiGe合金,其中Si和Ge的組成從梯度SiGe特徵的一個位置的比例變為另一個位置的比例。在另一個實施例中,SiGe合金是在矽基底上方形成。在一些實施例中,SiGe合金可以被另一種與SiGe合金接觸的材料機械地拉緊。在一些實施例中,基底202可以具有一多層結構,或者基底202可以包括一多層化合物半導體結構。
可以在半導體元件結構200的區域200A上形成特徵212。在半導體元件結構200的區域200B上可以形成圖案214。特徵212和圖案214可以由中間結構210覆蓋。
在一些實施例中,特徵212可以包括一隔離結構,例如,淺溝槽隔離(STI)、場氧化(FOX)、區域矽氧化(LOCOS)特徵,和/或其他合適的隔離元件。隔離結構可以包括一介電材料,如氧化矽、氮化矽、氮氧化矽(silicon oxy-nitride,SiON)、摻氟矽酸鹽(FSG)、低k介電材料、其組合和/或其他合適的材料。
在一些實施例中,特徵212可以包括一閘極結構。閘極結構可以包括一閘極介電層和一閘極電極層。
在一些實施例中,閘極介電層可以包括氧化矽(SiOx)、氮化矽(SixNy)、氮氧化矽(SiON)或其組合。在一些實施例中,閘極介電層可以包括一介電材料,如一高k介電材料。高k介電材料的介電常數(k值)可以大於4。高k介電材料可以包括氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化釔(Y2O3)、氧化鋁(Al2O3)、氧化鈦(TiO2)或其他適用材料。其他合適的材料也在本揭露內容的考量範圍之內。
在一些實施例中,閘極電極層可以包括一多晶矽層。在一些實施例中,閘極電極層的製作技術是一導電材料,如鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)或其他適用材料。在一些實施例中,閘極電極層可以包括一功函數(work function)層。功函數層的製作技術是一金屬材料,金屬材料可以包括N-功函數的金屬或P-功函數的金屬。N-功函數金屬包括鎢(W)、銅(Cu)、鈦(Ti)、銀(Ag)、鋁(Al)、鈦鋁合金(TiAl)、氮化鈦鋁(TiAlN)、碳化鉭(TaC)、氮化鉭碳(TaCN)、氮化鉭矽(TaSiN)、錳(Mn)、鋯(Zr)或其組合。P-功函數的金屬包括氮化鈦(TiN)、氮化鎢(WN)、氮化鉭(TaN)、釕(Ru)或其組合。其他合適的材料也在本揭露的考量範圍之內。閘極電極層可以藉由低壓化學氣相沉積(LPCVD)和電漿增強CVD(PECVD)形成。
在一些實施例中,特徵212可以包括一導電通孔,導電通孔可以設置在一導電線上,如零金屬層(M0層)或第一金屬層(M1層)。在本實施例中,特徵212可以包括一阻障層和由阻障層包圍的導電層。阻障層可以包括金屬氮化物或其他合適的材料。導電層可以包括金屬,如W、Ta、Ti、Ni、Co、Hf、Ru、Zr、Zn、Fe、Sn、Al、Cu、Ag、Mo、Cr、合金或其他合適的材料。
圖案214可以形成在半導體元件結構200的區域200B上。圖案214可以作為一疊置標記的前層圖案。圖案214的材料可以與特徵212的材料相同。圖案214可以位在與特徵212的水平層面相同的位置上。圖案214可以藉由合適的沉積製程和蝕刻製程形成。該沉積製程可以包括,例如,化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、低壓化學氣相沉積(LPCVD),和濺鍍。該蝕刻製程可以包括,例如,濕式蝕刻或乾式蝕刻。
中間結構210可以設置在基底202上或上方。中間結構210可以包括一個或多個製作技術是絕緣材料的中間層,如氧化矽或氮化矽。在一些實施例中,中間結構210可以包括一導電層,如金屬層或合金層。在一些實施例中,一個或多個中間層可以藉由合適的成膜方法形成,如化學氣相沉積(CVD)、原子層沉積(ALD)或物理氣相沉積(PVD)。在中間層形成後,可以進行一熱操作,如快速熱退火。在其他的實施例中,進行一平坦化操作,如化學機械研磨(CMP)操作。在其他實施例中,可以進行一移除操作,如蝕刻製程。蝕刻製程可以包括,例如,乾蝕刻製程或濕蝕刻製程。應當理解,在上述製程之前、期間和之後可以提供額外的操作,而且對於本製備方法的其他實施例,可以替換或取消上述的一些操作。操作/製程的順序可以互換。
目標層220可以形成在中間結構210上。在一些實施例中,目標層220可以包括一導電材料或一半導體材料,如金屬或金屬合金。在一些實施例中,金屬包括鈦(Ti)、鋁(Al)、鎢(W)、鉭(Ta)、銅(Cu)、鈷(Co)、釕(Ru)、其他合適的金屬,或其組合。在一些實施例中,金屬合金包括金屬氮化物、金屬硫化物、金屬硒化物、金屬氧化物、金屬矽化物、其他合適的金屬合金,或其組合。在這樣的實施例中,金屬合金可以用公式MXa表示,其中M是一種金屬,X選自氮(N)、硫(S)、硒化物(Se)、氧(O)和矽(Si)組成的組。在一些實施例中,a約為0.4至約2.5。例如,在一些實施例中,目標層220可以包括氮化鈦(TiN),氮化鎢(WN2),或氮化鉭(TaN)。在一些實施例中,目標層220可以包括一介電材料,如氧化矽(SiO2)、氮化矽(SiN)、金屬氧化物或金屬氮化物。在這樣的實施例中,目標層220的材料可以用公式MXb表示,其中M是一種金屬(例如,Al、鉿(Hf)或鑭(La))或Si,X是N、O和/或碳(C)。在一些實施例中,b為約0.4至約2.5。例如,在一些實施例中,目標層220可以包括SiO2、SiN、氮氧化矽(SiON)、碳氮化矽(SiCN)、碳化矽(SiC)、氧化鋁(Al2O3)、氧化鉿(HfO2)、或氧化鑭(La2O3)。在一些實施例中,介電材料具有約1至約40的介電常數(k),這樣的介電材料可以是低k介電材料或高k介電材料,取決於半導體製備的要求。在一些實施例中,目標層220可以包括要被圖案化以用於後續製程的一硬遮罩層。在一些實施例中,目標層220可以包括用於形成一閘極結構(例如,閘極介電質和/或閘極電極)、一源極/汲極特徵,和/或一接觸特徵(例如,多層互連(MLI)的導電或介電質特徵)的層。
參照圖2B,可以在目標層220上形成材料層230。在材料層230上可以形成光阻層240。在一些實施例中,材料層230可以包括一抗反射塗層(ARC)層。材料層230可以包括一無氮ARC(NFARC)層,該層包括例如SiO2、碳氧化矽(SiOC)、電漿增強化學氣相沉積氧化矽(PECVD-SiO2)、其他合適的材料,或其組合。
在一些實施例中,光阻層240可以是一負型光阻。在一些實施例中,光阻層240可以包括一產酸成分(如光酸化產生體(PAG)、熱酸化產生體(TAG)成分、易酸基(ALG)成分、淬滅劑(quencher)成分、光分解鹼(PDB)成分、發色劑(chromophore)成分、交連劑(cross-linker)成分、界面活性劑成分和/或其他合適的成分。當光阻層240曝露在輻射時,光阻層240可以產生酸,且酸的功能是做為一催化劑以引起化學反應來減少(或增加)光阻層的曝露部分的溶解度。在本實施例中,由PAG成分產生的酸催化聚合物的交連,改變光阻層240曝光部分的特性(例如,極性和/或溶解性)。例如,當光阻層240用達到規定的曝光劑量閾值的輻射曝光時,光阻層240的曝光部分在顯影劑中的溶解度會降低。在一些實施例中,光阻層240可以包括聚(羥基苯乙烯)(PHS)、甲基丙烯酸酯或PHS/甲基丙烯酸酯混合物。
參照圖2C,在光阻層240上執行一曝光製程。在一些實施例中,利用遮罩310來定義光阻層240的一曝光區域,以形成圖案化光阻層240'。在一些實施例中,光阻層240曝露在波長小於約250奈米的圖案化輻射中,例如來自ArF雷射的193奈米輻射或其他輻射。曝光製程可以在空氣、液體(浸入式微影)或真空(例如,電子束微影)中進行。
參照圖2D,執行一顯影製程。因此,未曝露於輻射的光阻層240的部分在一顯影劑中溶解,而圖案化光阻層240'留在材料層230上。區域200A中的圖案化光阻層240'可以做為遮罩圖案的一部分,它可以用來定義目標層220的圖案。在一些實施例中,圖案化光阻層240'可以具有間距P1。區域200B中的圖案化光阻層240'可以做為疊置標記20的當層圖案244'。
顯影劑可以包括,酮類、酯類、醚類、碳氫化合物以及其混合物。合適的酮類溶劑包括,例如,丙酮、2-己酮、5-甲基-2-己酮、2-庚酮、4-庚酮、1-辛酮、2-辛酮、1-壬酮、2-壬酮、二異丁基酮、環己酮、甲基環己酮、苯丙酮、甲基乙基酮和甲基異丁基酮。適合的酯類溶劑包括,例如,乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸異丙酯、乙酸戊酯、丙二醇單甲醚乙酸酯、乙二醇單乙醚乙酸酯、二乙二醇單丁醚乙酸酯。二乙二醇單乙醚醋酸酯、3-乙氧基丙酸酯、3-甲氧基丁基醋酸酯、3-甲基-3-甲氧基丁基醋酸酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯和乳酸丙酯。合適的醚類溶劑包括,例如,二惡烷、四氫呋喃和乙二醇醚類溶劑,例如,乙二醇單甲醚、丙二醇單甲醚、乙二醇單乙醚、丙二醇單乙醚、二乙二醇單甲醚、三乙二醇單乙醚和甲氧基丁醇。合適的醯胺溶劑包括,例如,N-甲基-2-吡咯烷酮、N,N-二甲基乙醯胺和N,N-二甲基甲醯胺。合適的碳氫化合物溶劑包括,例如,芳香族碳氫化合物溶劑,如甲苯、茴香醚和二甲苯。此外,還可以使用這些溶劑的混合物,或所列溶劑中的一種或多種與上述溶劑以外的溶劑混合,或與水混合。
在一些實施例中,在顯影製程之後執行,例如,一沖洗製程,以移除半導體元件結構200上的任何殘留物和/或顆粒。在一些實施例中,執行一顯影後烘烤(PDB)製程,例如,以確保圖案化光阻層240'的結構穩定性。
參照圖2E,在材料層230上形成間距調整材料250,以覆蓋圖案化光阻層240'和圖案244'。間距調整材料250可以包括溶解在有機溶劑中的單體(monomer),並可以包括一個或多個額外的可選成分。在一些實施例中,間距調整材料250可以包括一酸反應材料,如酸催化的可交連材料。然後通常對間距調整材料250進行軟烘烤,以移除間距調整材料250中的溶劑,並使間距調整材料250與圖案化光阻層240'發生反應。
參照圖2F,在圖案化光阻層240'和間距調整材料250之間的界面250s處形成間距調整層260,因此形成遮罩圖案242'。在一些實施例中,間距調整層260可以覆蓋或接觸圖案化光阻層240'的表面240s1(或上表面)。在一些實施例中,間距調整層260可以覆蓋或接觸圖案化光阻層240'的表面240s2(或側表面)。在一些實施例中,間距調整層260可以覆蓋或接觸圖案244'的表面244s1(或上表面)。在一些實施例中,間距調整層260可以覆蓋或接觸圖案244'的表面244s2(或側表面)。在一些實施例中,間距調整層260的厚度可以藉由確定形成圖案化光阻層240'和形成間距調整材料250之間的一時間間隔來控制。
參照圖2G,不與圖案化光阻層240'反應的間距調整材料250可以被移除。在一些實施例中,包括圖案化光阻層240'和間距調整層260的遮罩圖案242'可以具有比間距P1小的間距P2。在隨後的製程中,間距調整層260可以協助減少目標層220的相鄰線之間的間距。在一些實施例中,圖案244'可以包括圖案化光阻層240'和間距調整層260'。在一些實施例中,間距調整層260的厚度可以被控制,以便可以根據半導體製程的要求來調整間距P2。
參照圖3,可以執行重工操作320。當遮罩圖案242'的間距P2或疊置標記20的疊置誤差未能滿足半導體製程規格時,可以執行重工操作320以移除遮罩圖案242'和圖案244'。在一些實施例中,重工操作320可以包括可移除含碳材料的一蝕刻製程。在一些實施例中,可以執行氧氣電漿灰化製程以移除圖案化光阻層240'和間距調整層260。在一些實施例中,材料層230可以藉由重工操作320移除。
參照圖4A,當遮罩圖案242'的間距P2、疊置標記20的疊置誤差或其兩者都符合半導體製程規格時,目標層220可以被圖案化以形成特徵220'。特徵220'的圖案可以由遮罩圖案242'定義。
參照圖4B,遮罩圖案242'和圖案244'可以被移除。由於間距調整層260可以減少遮罩圖案242'的間距,因此特徵220'可以有一個相對較小的間距。
圖5是俯視圖,例示本揭露一些實施例的半導體元件結構200。
在一些實施例中,疊置標記20可以位在半導體元件結構200的切割道(scribe line)40上。在一些實施例中,疊置標記20可以位在區域200A內,在該區域上形成多個電子部件30。在此實施例中,區域200B可以由區域200A包圍。
圖6是俯視圖,例示本揭露一些實施例之疊置標記20。
疊置標記20可以包括基底202上方的圖案214和圖案244'。圖案214可以是一前層的圖案。圖案244'可以是一當層的圖案。前層(或下層)可以位在與當層(或上層)不同的水平層位置上。每個圖案214(或圖案244')可以位在四個正交目的地區域之一,其中兩個用於測量X方向的疊置誤差,兩個用於測量Y方向的疊置誤差。
在使用一疊置標記(如疊置標記20)測量一疊置誤差時,沿疊置標記20的X方向的直線來測量一X方向的偏差。Y方向的偏差是沿著疊置標記20的Y方向的直線來進一步測量。單個疊置標記,包括圖案214和圖案244',可以用來測量基底上兩個層之間的一個X方向和一個Y方向的偏差。因此,可以根據X方向和Y方向的偏差來判斷當層和前層是否精確對齊。疊置誤差可以包括X方向的偏差(ΔX),Y方向的偏差(ΔY),或其兩者的組合。
圖7是塊狀圖,例示本揭露一些實施例之半導體製備系統400。
半導體製備系統400可以包括複數個製造設備410、420-1,...,和420-N、曝光設備430、製造設備440-1,...,和440-N、檢測設備450-1,...,和450-N、重工設備460以及製造設備470。
製造設備410、420-1,...,和420-N、曝光設備430、製造設備440-1,...,和440-N、檢測設備450-1,...,和450-N、重工設備460以及製造設備470可以透過網路480與控制器490訊號連接。
可以利用製造設備410在一前層中形成圖案,例如圖2A中所示的特徵212和圖案214。在一些實施例中,可以利用製造設備410來形成一隔離結構、一閘極結構、一導電通孔或其他層。
可以利用製造設備420-1,...,和420-N來形成圖2B所示的中間結構(例如,中間結構 210)、材料層(例如,材料層230)和光阻層(例如,光阻層240)。製造設備420-1,...,和420-N中的每一個都可以用來執行一沉積製程、蝕刻製程、化學機械研磨製程、光阻塗層製程、對準製程或其他製程。
曝光設備430可被用來定義當層中光阻層(例如光阻層240')的曝光區域,如圖2C所示。
可以利用製造設備440-1,...,和440-N的一部分來顯影光阻層,因此在當層中形成一圖案化光阻層(例如,區域200A中的圖案化光阻層240')和圖案(例如,區域200B中的圖案化光阻層240'),如圖2D中所示。可以利用製造設備440-1,...,和440-N的一部分來形成間距調整材料(例如,間距調整材料250),因此在圖案化光阻層和當層圖案上形成一間距調整層(例如,間距調整層260),如圖2E、圖2F和圖2G中所示。每個製造設備440-1,...,和440-N可以被利用來執行一顯影製程、塗層製程、沖洗製程、烘烤製程或其他製程。在一些實施例中,在曝光設備430對晶圓401執行曝光製程後,可以確定一預定佇列時間。控制器490可以發送一訊號以允許晶圓401在一預定時間進入製造設備440-1,...,和440-N。
可以利用檢測設備450-1,...,和450-N的一部分來獲得前層和當層圖案的光學圖像,並基於上述前層和當層圖案的光學圖像來產生一疊置標記(例如,疊置標記20)的一疊置誤差。可以利用檢測設備450-1,...,和450-N的一部分來測量遮罩圖案(例如,遮罩圖案242')的間距。
重工設備460可以被利用來執行一重工操作(例如重工操作320),以移除圖案化光阻層、間距調整層或其兩者,如圖3所示。在一些實施例中,可以利用重工設備460來執行一蝕刻製程,例如氧電漿灰化製程。
製造設備470可以被利用來藉由遮罩圖案以定義一目標層(例如,目標層220)的圖案,如圖4A和圖4B所示。製造設備470可被利用來執行蝕刻製程或其他製程。
網路480可以是網際網路或應用網路通訊協定的內部網路,如傳輸控制協定(TCP)。透過網路480,製造設備410、420-1,...,和420-N、曝光設備430、製造設備440-1,...,和440-N、檢測設備450-1,...,和450-N、重工設備460以及製造設備470中的每一個都可以從控制器490下載或上傳有關晶圓或製造設備的在製品(WIP)資訊。
控制器490可以包括一處理器,例如一中央處理單元(CPU),以根據檢測設備450-1,...,和450-N產生一校正疊置誤差。
雖然圖中7沒有顯示在製造設備410之前的任何其他製造設備,但該例示性實施例並不旨在進行限制。在其他例示性實施例中,各種製造設備可以安排在製造設備410之前,並可根據設計要求用於執行各種製程。
在該例示性的實施例中,晶圓401被轉移到製造設備410,以開始一連串不同的製程。晶片401可以藉由各種階段的製程來形成至少一個材料層。該例示性實施例並不旨在限制晶圓401的製程。在其他例示性實施例中,在晶圓401被轉移到製造設備410之前,晶圓401可以包括各種層,或者產品的開始和完成之間的任何階段。在該例示性實施例中,晶圓401可以由製造設備410、420-1,...,和420-N、曝光設備430、製造設備440-1,...,和440-N、檢測設備450-1,...,和450-N以及重工設備460(或製造設備470)按照順序進行製程。
圖1、圖1A至圖1D、圖2A至圖2G和圖7中說明的製程可以在控制器490,或者藉由控制設施中製造設備的每個部分或一部分而組成晶圓製造的計算系統來實施。圖8是塊狀圖,例示本揭露在各個方面之半導體製備系統500的硬體。系統500包括一個或多個硬體的處理器501和一非暫時性的電腦可讀儲存媒介503,儲存媒介503被編碼(即儲存)程式碼(即一組可執行指令)。非暫時性的電腦可讀儲存媒介503還可以編碼有指令以對接製造設備來生產半導體元件。處理器501透過匯流排505與電腦可讀儲存媒介503電連接。處理器501還藉由匯流排505與輸入及輸出(I/O)介面507電連接。網路介面509也透過匯流排505與處理器501電連接。網路介面與一網路相連,因此,處理器501和電腦可讀儲存媒介503能夠透過網路480與外部元件連接。處理器501經配置以執行編碼在非暫時性的電腦可讀儲存媒介503中的電腦程式碼,以使系統500可用於執行圖1、圖1A至圖1D、圖2A至圖2G和圖7中所示方法的部分或全部操作。
在一些例示性的實施例中,處理器501可以是但不限於一中央處理單元(CPU)、一多處理器、一分散式處理系統、一特定應用積體電路(ASIC)和/或一合適的處理單元。各種電路或單元都在本揭露的考量範圍內。
在一些例示性的實施例中,電腦可讀儲存媒介503可以是,但不限於電子、磁性、光學、電磁、紅外和/或半導體系統(或裝置或設備)。例如,電腦可讀儲存媒介503包括一半導體或固態記憶體、一磁帶、一抽取式電腦磁碟、一隨機存取記憶體(RAM)、一唯讀記憶體(ROM)、一硬碟和/或一光碟。在一個或多個使用光碟的例示性實施例中,電腦可讀儲存媒介503還包括光碟-唯讀記憶體(CD-ROM)、光碟-讀/寫(CD-R/W)和/或數位視訊光碟(DVD)。
在一些例示性實施例中,儲存媒介503儲存經配置以使系統500執行圖1、圖1A至圖1D、圖2A至圖2G和圖7中所示方法的電腦程式碼。在一個或多個例示性實施例中,儲存媒介503還儲存執行圖1、圖1A至圖1D、圖2A至圖2G和圖7中所示方法所需的資訊,以及在執行方法期間產生的資訊和/或執行圖1、圖1A至圖1D、圖2A至圖2G和圖7中所示方法的操作的一組可執行指令。在一些例示性的實施例中,可以提供使用者介面510,例如,一圖形化使用者介面(GUI),供使用者在系統500上操作。
在一些例示性的實施例中,儲存媒介503儲存用於與外部機器對接的指令。該指令使處理器501能夠產生可由外部機器讀取的指令,以便在分析過程中有效地實施圖1、圖1A至圖1D、圖2A至圖2G和圖7中所示的方法。
系統500包括輸入及輸出(I/O)介面507。I/O介面507與外部電路相連接。在一些例示性的實施例中,I/O介面507可以包括,但不限於,鍵盤、鍵板、滑鼠、軌跡球、觸控板、觸控式螢幕和/或游標方向鍵,用於將資訊和命令傳達給處理器501。
在一些例示性的實施例中,I/O介面507可以包括一顯示器,如一陰極射線管(CRT)、液晶顯示器(LCD)、揚聲器等。例如,顯示器顯示資訊。
系統500還可以包括與處理器501連接的網路介面509。網路介面509允許系統500與網路480通訊,其中一個或多個其他電腦系統與網路480連接。例如,系統500可以透過連接到網路480的網路介面509與製造設備410、420-1,…,和420-N、曝光設備430、製造設備440-1,...,和440-N、檢測設備450-1,...,和450-N、重工設備460以及製造設備470連接。
本揭露的一個方面提供一種半導體元件結構的製備方法。該製備方法包括:提供一基底;在該基底上形成一光阻層;對該光阻層進行圖案化處理以形成一圖案化光阻層;在該圖案化光阻層上形成一間距調整層以定義一遮罩圖案;以及判斷該遮罩圖案是否符合一半導體製程規格;當確定該遮罩不符合該半導體製程規格時,執行一重工操作以移除該間距調整層。
本揭露的另一個方面提供另一種半導體元件結構的製備方法。該製備方法包括:提供一基底,包括一目標層;在該基底上形成一光阻層;對該光阻層進行圖案化處理,以形成具有一第一間距的一圖案化光阻層;在該圖案化光阻層上形成一間距調整層,以定義一遮罩圖案,其中該遮罩圖案具有小於該第一間距的一第二間距;以及藉由該遮罩圖案對該目標層進行圖案化處理。
本揭露的另一個方面提供另一種半導體元件結構的製備方法。該製備方法包括提供一基底;在該基底上形成一光阻層;將該光阻層的一第一部分曝露於輻射,而該光阻層的一第二部分則被覆蓋因此未曝露於輻射中;將該光阻層施加一負型顯影劑,以移除該光阻層的該第二部分,以形成該圖案化光阻層。在該圖案化光阻層上形成一間距調整材料,其中該間距調整材料與該圖案化光阻層反應,在該間距調整材料和該圖案化光阻層的一界面上形成一間距調整層。
本揭露的實施例揭露一種半導體元件結構的製備方法。在一些實施例中,在負光阻層上形成間距調整層,協助定義具有相對小間距的遮罩圖案。此外,如果遮罩圖案未能滿足半導體製程的規格,可以進行重工操作,以移除間距調整層和/或圖案化光阻層,這可以提高半導體元件結構的產量。
雖然已詳述本揭露及其優點,然而應理解可以進行其他變化、取代與替代而不脫離揭露專利範圍所界定之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。
再者,本揭露案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解以根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包括於本揭露案之揭露專利範圍內。
20:疊置標記 30:電子部件 40:切割道 100:製備方法 110:操作 120:操作 130:操作 140:操作 142:操作 144:操作 146:操作 150:操作 160:操作 170:操作 172:操作 174:操作 180:操作 182:操作 184:操作 190:操作 200:半導體元件結構 200A:區域 200B:區域 202:基底 210:中間結構 212:特徵 214:圖案 220:目標層 220':特徵 230:材料層 240:光阻層 240s1:表面(上表面) 240s2:表面(側表面) 240':圖案化光阻層 242':遮罩圖案 244':圖案 244s1:表面(上表面) 244s2:表面(側表面) 250:間距調整材料 250s:界面 260:間距調整層 310:遮罩 320:重工操作 400:半導體製備系統 401:晶圓 410:製備設備 420-1,...,和420-N:製備設備 430:曝光設備 440-1,...,和440-N:製備設備 450-1,...,和450-N:檢測設備 460:重工設備 470:製備設備 480:網路 490:控制器 500:半導體製備系統 501:處理器 503:電腦可讀儲存媒介 505:匯流排 507:輸入及輸出(I/O)介面 509:網路介面 510:使用者介面 P1:間距 P2:間距 X:方向 Y:方向 Z:方向
參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。 圖1是示意圖,例示本揭露一些實施例之半導體元件結構的製備方法。 圖1A是示意圖,例示本揭露一些實施例之半導體元件結構的製備方法。 圖1B是示意圖,例示本揭露一些實施例之半導體元件結構的製備方法。 圖1C是示意圖,例示本揭露一些實施例之半導體元件結構的製備方法。 圖1D是示意圖,例示本揭露一些實施例之半導體元件結構的製備方法。 圖2A、圖2B、圖2C、圖2D、圖2E、圖2F和圖2G是例示本揭露一些實施例之半導體元件的各個製備階段。 圖3是例示本揭露一些實施例之半導體元件的各個製備階段之一。 圖4A和圖4B是例示本揭露一些實施例之半導體元件的各個階段。 圖5是俯視圖,例示本揭露一些實施例之半導體元件結構。 圖6是俯視圖,例示本揭露一些實施例之疊置標記。 圖7是塊狀圖,例示本揭露一些實施例之半導體製備系統。 圖8是塊狀圖,例示本揭露在各個方面之半導體製備系統的硬體。
20:疊置標記
200:半導體元件結構
200A:區域
200B:區域
202:基底
210:中間結構
212:特徵
214:圖案
220:目標層
230:材料層
240':圖案化光阻層
242':遮罩圖案
244':圖案
260:間距調整層
P1:間距
P2:間距

Claims (18)

  1. 一種半導體元件結構的製備方法,包括:提供一基底;在該基底上形成一光阻層;對該光阻層進行圖案化處理,以形成一圖案化光阻層;在該圖案化光阻層上形成一間距調整層,以定義一遮罩圖案;判斷該遮罩圖案是否符合一半導體製程規格,包括判斷一疊置標記的一疊置誤差是否超過一預定第一目標;當確定該遮罩不符合該半導體製程規格時,執行一重工操作以移除該間距調整層;形成一下層圖案;以及形成一上層圖案以定義該疊置標記,其中該上層圖案位在與該遮罩圖案相同的水平層面上,而該疊置誤差是由該下層圖案和該上層圖案的一錯位來確定。
  2. 如請求項1所述的製備方法,其中該重工操作更包括:移除該圖案化光阻層。
  3. 如請求項1所述的製備方法,其中該上層圖案包括該光阻層和該間距調整層。
  4. 如請求項1所述的製備方法,其中判斷該遮罩圖案是否符合該半導體 製程規格包括:判斷該遮罩圖案的一間距是否小於一預定第二目標。
  5. 如請求項1所述的製備方法,其中形成該間距調整層包括:在該圖案化光阻層和該基底上形成一間距調整材料,其中該間距調整材料與該圖案化光阻層反應,形成該間距調整層;以及移除該間距調整材料。
  6. 如請求項5所述的製備方法,其中該間距調整材料包括一種酸反應材料。
  7. 如請求項1所述的製備方法,更包括:當形成該圖案化光阻層後的一佇列時間超過一預定第三目標時,在形成該間距調整層之前移除該圖案化光阻層。
  8. 如請求項1所述的製備方法,其中對該光阻層進行圖案化處理包括:將該光阻層的一第一部分曝露於輻射,而該光阻層的一第二部分則被覆蓋因此未曝露於輻射中;以及對該光阻層施加一負型顯影劑,以移除該光阻層的該第二部分,以形成該圖案化光阻層。
  9. 如請求項1所述的製備方法,其中該間距調整層覆蓋該圖案化光阻層的一上表面和一側表面。
  10. 一種半導體元件結構的製備方法,包括:提供一基底,包括一目標層;在該基底上形成一光阻層;對該光阻層進行圖案化處理,形成具有一第一間距的一圖案化光阻層;在該圖案化光阻層上形成一間距調整層,以定義一遮罩圖案,其中該遮罩圖案具有小於該第一間距的一第二間距;以及藉由該遮罩圖案對該目標層進行圖案化處理。
  11. 如請求項10所述的製備方法,更包括:確定在形成該圖案化光阻層後的一預定佇列時間,以確定該遮罩圖案的該第二間距。
  12. 如請求項10所述的製備方法,其中形成該間距調整層包括:在該圖案化光阻層上形成一間距調整材料,其中該間距調整材料與該圖案化光阻層發生反應,形成該間距調整層;以及移除該間距調整材料;其中該間距調整材料包括一種酸性反應材料。
  13. 如請求項10所述的製備方法,更包括:當形成該圖案化光阻層後的一佇列時間超過一預定第一目標時,在形成該間距調整層之前移除該圖案化光阻層。
  14. 如請求項10所述的製備方法,更包括:當該第二間距小於一預定第二目標時,移除該間距調整層;以及當該第二間距小於該預定第二目標時,移除該圖案化光阻層。
  15. 如請求項10所述的製備方法,更包括:在該基底上形成一疊置標記;判斷該疊置標記的一疊置誤差是否小於一預定第三目標。當該疊置標記的該疊置誤差超過該預定第三目標時,移除該圖案化光阻層;形成一下層圖案;以及形成一上層圖案以定義該疊置標記,其中該上層圖案位在與該遮罩圖案相同的水平層面上,其中該上層圖案包括一光阻層和一間距調整層;當該疊置標記的該疊置誤差超過該預定第三目標時,移除該上層圖案。
  16. 一種半導體元件結構的製備方法,包括:提供一基底;在該基底上形成一光阻層;將該光阻層的一第一部分曝露於輻射,而該光阻層的一第二部分則被覆蓋因此不曝露於輻射中;對該光阻層施加一負型顯影劑,以移除該光阻層的該第二部分,以形成該圖案化光阻層;以及 在該圖案化光阻層上形成一間距調整材料,其中該間距調整材料與該圖案化光阻層發生反應,在該間距調整材料和該圖案化光阻層的一界面上形成一間距調整層。
  17. 如請求項16所述的製備方法,更包括:移除該間距調整材料,以定義包括該間距調整層和該圖案化光阻層組成的一遮罩圖案;判斷該遮罩圖案是否符合一半導體製程規格;以及當確定該遮罩不符合該半導體製程規格時,進行一重工操作。
  18. 如請求項17所述的製備方法,其中判斷該遮罩圖案是否符合該半導體製程規格包括:判斷一疊置標記的一疊置誤差是否超過一預定第一目標。
TW111110898A 2021-12-29 2022-03-23 半導體元件結構的製備方法 TWI803256B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/564,532 US20230205074A1 (en) 2021-12-29 2021-12-29 Method of manufacturing semiconductor device structure
US17/564,532 2021-12-29

Publications (2)

Publication Number Publication Date
TWI803256B true TWI803256B (zh) 2023-05-21
TW202326859A TW202326859A (zh) 2023-07-01

Family

ID=86897607

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111110898A TWI803256B (zh) 2021-12-29 2022-03-23 半導體元件結構的製備方法

Country Status (3)

Country Link
US (1) US20230205074A1 (zh)
CN (1) CN116414007A (zh)
TW (1) TWI803256B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100273107A1 (en) * 2009-04-27 2010-10-28 Tokyo Electron Limited Dual tone development with a photo-activated acid enhancement component in lithographic applications
US20160377982A1 (en) * 2015-06-24 2016-12-29 Tokyo Electron Limited Methods of Forming a Mask for Substrate Patterning

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100273107A1 (en) * 2009-04-27 2010-10-28 Tokyo Electron Limited Dual tone development with a photo-activated acid enhancement component in lithographic applications
US20160377982A1 (en) * 2015-06-24 2016-12-29 Tokyo Electron Limited Methods of Forming a Mask for Substrate Patterning

Also Published As

Publication number Publication date
TW202326859A (zh) 2023-07-01
CN116414007A (zh) 2023-07-11
US20230205074A1 (en) 2023-06-29

Similar Documents

Publication Publication Date Title
US9373552B2 (en) Method of calibrating or exposing a lithography tool
US8992792B2 (en) Method of fabricating an ultra low-k dielectric self-aligned via
Krysak et al. Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193nm lithography
KR101134327B1 (ko) 트렌치 에칭에 대한 라인 에지 러프니스 감소
EP2515169A1 (en) Photomask blank, and process for production of photomask
US20110076832A1 (en) Dual etch method of defining active area in semiconductor device
US20200152468A1 (en) Methods of Reducing Pattern Roughness in Semiconductor Fabrication
TWI803256B (zh) 半導體元件結構的製備方法
JP3984116B2 (ja) フォトマスクの製造方法
US11796924B2 (en) Method for overlay error correction and method for manufacturing a semiconductor device structure with overlay marks
US12002765B2 (en) Marks for overlay measurement and overlay error correction
US20230078946A1 (en) Hybrid Development of EUV Resists
JP3913145B2 (ja) パターン形成方法
Meng et al. Transistor gate line roughness formation and reduction in sub-30-nm gate patterning using multilayer hard mask structure
TWI803262B (zh) 疊置測量及疊置誤差的校正標記
US8389402B2 (en) Method for via formation in a semiconductor device
US20230282592A1 (en) Semiconductor device structure including overlay mark structure
TWI817418B (zh) 疊置誤差的測量標記
US20240047368A1 (en) Semiconductor device including mark structure for measuring overlay error and method for manufacturing the same
TWI803337B (zh) 臨界尺寸的測量方法
Thiam et al. Exploring resist options for EUV layers of IMEC N5 CMOS vehicle
CN116705764A (zh) 具有叠置标记结构的半导体元件结构
CN113745150A (zh) 半导体结构及其形成方法
CN110941148A (zh) 半导体装置的制造方法
KR20080060387A (ko) 반도체 소자의 미세패턴 형성방법