TWI796277B - 設計積體電路的方法及積體電路設計系統 - Google Patents

設計積體電路的方法及積體電路設計系統 Download PDF

Info

Publication number
TWI796277B
TWI796277B TW111136976A TW111136976A TWI796277B TW I796277 B TWI796277 B TW I796277B TW 111136976 A TW111136976 A TW 111136976A TW 111136976 A TW111136976 A TW 111136976A TW I796277 B TWI796277 B TW I796277B
Authority
TW
Taiwan
Prior art keywords
floor plan
units
tap
integrated circuit
functional
Prior art date
Application number
TW111136976A
Other languages
English (en)
Other versions
TW202308102A (zh
Inventor
賴柏嘉
陳國基
陳文豪
林文傑
蘇郁迪
賴比爾 伊斯蘭
英書溢
斯帝芬 魯蘇
李冠德
大衛 蘇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202308102A publication Critical patent/TW202308102A/zh
Application granted granted Critical
Publication of TWI796277B publication Critical patent/TWI796277B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/50Testing of electric apparatus, lines, cables or components for short-circuits, continuity, leakage current or incorrect line connections
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/02Fault tolerance, e.g. for transient fault suppression
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

提供一種設計積體電路的方法,包含以下步驟:提供單元庫,單元庫定義多個功能單元及多個分接單元,其中功能單元中的每一者配置以進行預定功能;接收積體電路設計;基於積體電路設計自單元庫選擇功能單元中的多個經選擇功能單元;將經選擇功能單元佈置在平面規畫中,其中平面規劃包含多個列;以及將分接單元佈置在平面規畫中,其中平面規畫包含多個列中僅具有分接單元中的多個的至少一列。

Description

設計積體電路的方法及積體電路設計系統
本揭露的一實施例是有關於一種設計積體電路的方法及積體電路設計系統,特別是關於在平面規劃中決定閂鎖位置的設計積體電路的方法及積體電路設計系統。
積體電路一般包含數千個具有複雜相互關聯的組件。這些電路通常利用已知為電子設計自動化(electronic design automation,EDA)的高度自動化程序來設計。電子設計自動化始於以硬體描述語言(hardware description language,HDL)提供之功能規格,續經電路設計之規格,電路設計之規格包含稱為單元之基本電路組件的規格、這些單元之實體配置、以及互連這些單元的線路。這些單元利用特殊之積體電路技術執行邏輯或其他電子功能。
電子設計自動化可分為一連串的階段,例如合成(synthesis)、布局(placement)、繞線(routing)等等。每個步驟可包含從單元庫選取數個單元。一般而言,利用許多單元組合之非常大量的不同電路設計可符合電路之功能規格。閂鎖效應(Latchup)為一種短路類型,其有時會因積體電路中之相鄰接面所形成之寄生雙載子電晶體(parasitic bipolar transistors),而發生在積體電路中。電子設計自動化工具可包含積體電路設計中的分接單元(tap cell),其可提供電晶體之基底偏壓(body bias),以防止閂鎖效應。
本揭露的一實施例包含提供一種設計積體電路的方法,包含以下步驟:提供單元庫,單元庫定義多個功能單元及多個分接單元,其中功能單元中的每一者配置以進行預定功能;接收積體電路設計;基於積體電路設計自單元庫選擇功能單元中的多個經選擇功能單元;將經選擇功能單元佈置在平面規畫中,其中平面規劃包含多個列;以及將分接單元佈置在平面規畫中,其中平面規畫包含多個列中僅具有分接單元中的多個的至少一列。
本揭露的一實施例包含一種設計積體電路的方法包含接收積體電路設計;決定積體電路設計之平面規畫;平面規畫包含一配置之複數個功能單元,其中每個功能單元配置以進行一預定功能。平面規畫更包含複數個分接單元。決定在平面規畫中可能閂鎖位置,以及根據所決定之可能閂鎖位置,修改此配置之功能單元或分接單元之至少一個。此方法之至少一步驟係利用一處理器進行。
本揭露的一實施例包含一種積體電路設計系統包含處理器以及此處理器可存取之電腦可讀媒體。電腦可讀媒體儲存定義配置以執行預定功能之複數個功能單元與複數個分接單元之單元庫,以及當處理器執行數個指令時,這些指令實施一種設計積體電路之方法。此方法包含接收積體電路設計,以及根據積體電路設計,從單元庫選取功能單元中的複數個。將這些功能單元中之這複數個安排於平面規畫中,以及將這些分接單元中之複數個安排於平面規畫之數個預設位置中。決定平面規畫中數個可能閂鎖位置。
以下的揭露提供了許多不同實施方式或實施例,以實施所提供之標的之不同特徵。以下所描述之構件與安排的特定實施例係用以簡化本揭露的一實施例。當然這些僅為實施例,並非用以作為限制。舉例而言,於描述中,第一特徵形成於第二特徵之上方或之上,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,亦可能包含額外特徵可能形成在第一特徵與第二特徵之間的實施方式,如此第一特徵與第二特徵可能不會直接接觸。此外,本揭露的一實施例可能會在各實施例中重複參考數字及/或文字。這樣的重複係基於簡化與清楚之目的,以其本身而言並非用以指定所討論之各實施方式及/或配置之間的關係。
另外,在此可能會使用空間相對用語,例如「在下(beneath)」、「下方(below)」、「較低(lower)」、「上方(above)」、「較高(upper)」與類似用語,以方便說明如圖式所繪示之一構件或一特徵與另一(另一些)構件或特徵之間的關係。除了在圖中所繪示之方位外,這些空間相對用詞意欲含括元件在使用或操作中的不同方位。設備可能以不同方式定位(旋轉90度或在其他方位上),因此可利用同樣的方式來解釋在此所使用之空間相對描述符號。
電子設計自動化工具與方法促進半導體基材上之微電子積體電路的設計、畫分、與設置。此程序一般包含將電路之行為描述轉變成功能性描述,接著利用標準單元庫將功能性描述分解成數個邏輯功能並映射(mapped)成數個單元。一經映射,進行合成以將結構設計轉變成實體布局(physical layout)、建立時脈樹(clock tree)以同步數個結構構件、以及於布局後優化設計。
圖1係繪示圖示出依照在此所揭露之一些實施方式之一種處理系統100之實施例的方塊圖。處理系統100可用以依照在此所討論之數個程序來執行電子設計自動化系統。處理系統100包含處理單元110,例如桌上型電腦、工作站、膝上型電腦、為特殊應用訂做之專用單元、智慧型手機或平板電腦等等。處理系統100可配備有顯示器114與一或多個輸入/輸出裝置112,例如滑鼠、鍵盤、觸控螢幕、列印機等等。處理單元110亦包含與匯流排130連接之中央處理單元(CPU)120、記憶體122、大量資料儲存裝置124、視訊配接器(video adapter)126、以及輸入/輸出介面128。
匯流排130可為數個任意型式之匯流排架構中的一或多個,這些匯流排架構包含記憶體匯流排或記憶體控制器、周邊匯流排、或視頻匯流排。中央處理單元120可包含任何型式之電子資料處理器,記憶體122可包含任何型式之系統記憶體,例如靜態隨機存取記憶體(SRAM)、動態隨機存取記憶體(DRAM)、或唯讀記憶體(ROM)。
大量資料儲存裝置124可包含任何型式之儲存裝置,儲存裝置配置以儲存資料、程式、與其他資訊,且使資料、程式、與其他資訊可透過匯流排130存取。大量資料儲存裝置124可包含例如一或多個硬碟驅動機、磁碟驅動機、光磁碟驅動機、快閃式記憶體、或其類似裝置。
在此所使用之術語電腦可讀取媒體可包含電腦儲存媒體,例如以上所提之系統記憶體與儲存裝置。電腦儲存媒體可包含以任何方式或技術執行儲存資訊之揮發性與非揮發性、可卸除與不可卸除媒體,這些資訊為例如電腦可讀取指令、資料結構、或程式模組。記憶體122與大量資料儲存裝置124為電腦儲存媒體的例子(例如記憶體儲存器)。大量儲存裝置可進一步儲存標準單元庫,在此將於下進一步討論。
電腦儲存媒體可包含隨機存取記憶體、唯讀記憶體、電子可抹除唯讀記憶體(EEPROM)、快閃式記憶體或其他記憶體技術、唯讀光碟(CD-ROM)、多樣化數位光碟(DVD)或其他光儲存器、卡式磁帶盒、磁帶、磁碟儲存器或其他磁性儲存裝置、或任何其他可用以儲存資訊且可被處理裝置100存取之製造物品(article of manufacture)。任何這樣的電腦儲存媒體可為處理裝置100的一部分。電腦儲存媒體不包含載波、或其他經傳播或經調變的資料訊號。
通信媒體可利用電腦可讀指令、資料結構、程式模組、或其他在經調變之資料訊號中的資料,例如載波、或其他傳送機制來體現,且包含任何資訊傳送媒體。用詞「經調變之資料訊號」可描述具有一或多個特徵組的訊號、或訊號被以編碼此訊號中之資訊的方式改變。舉例而非限制,通信媒體可包含有線媒體與無線媒體,有線媒體例如為有線網路或直接有線連接,無線媒體例如為聲波、射頻(RF)、紅外線、或其他無線媒體。
視訊配接器126與輸入/輸出介面128提供將外部輸入與輸出裝置耦合至處理單元110的介面。如圖1所示,輸入與輸出裝置的例子包含耦合於視訊配接器126的顯示器114,以及耦合於輸入/輸出介面128的輸入/輸出裝置112,例如滑鼠、鍵盤、印表機、及類似裝置。其他裝置可耦合於處理單元110,且可利用額外或少量的介面卡。舉例而言,可使用串列介面卡(未繪示)來提供印表機串列介面。處理單元110亦可包含網路介面140,此網路介面140可為連接區域網路(LAN)或廣域網路(WAN)116的有線連結、及/或無線連結。
處理系統100的實施方式可包含其他構件。舉例而言,處理系統100可包含電源、電纜、主機板、卸除式儲存媒體、機殼、與類似裝置。雖然未繪示,但這些其他構件視為處理系統100的一部分。
在一些實施例中,利用中央處理單元120執行軟體碼,以分析使用者設計,藉以產生實體積體電路布局。中央處理單元120可透過匯流排130而從記憶體122、大量資料儲存裝置124、或類似裝置,或者間接經由網路介面140來存取軟體碼。此外,在一些實施例中,實體積體電路布局係基於功能積體電路設計而產生,功能積體電路設計可依照軟體碼所執行之數個方法與程序而透過輸入/輸出介面128來存取及/或儲存在記憶體122或大量資料儲存裝置124。
標準單元可包含一個完整元件,例如電晶體、二極體、電容器、電阻器、或電感器,或者可包含安排以達成某個特定功能之數個元件的群組,例如反向器(inverter)、正反器(flip-flop)、記憶單元、或放大器(amplifier)等等。除了使功能設計更易概念化之外,標準單元的使用可縮短積體電路中布局特徵之設計規則檢查(DRC)的確認時間,因在整個布局中重複的標準單元可在設計規則檢查中單次檢查,而不是每個實例逐個檢查。根據所接收之功能電路描述,系統100配置以從單元庫選取標準單元。
圖2大體而言係繪示一種例示積體電路設計與製造程序200,其可利用處理系統100來執行以從使用者提供之行為/功能設計產生實體布局。使用者設計202根據適用於整體設計之輸入的許多訊號或刺激,明確說明所需之電路性能或功能,且可以適當的程式語言編寫。使用者可透過輸入/輸出介面128而將設計202上傳至處理單元110(參見圖1)。替代地,可將設計202上傳及/或儲存在記憶體122或大量資料儲存裝置124上,或者遠端使用者可透過網路介面140來上傳設計202。
對設計進行合成204,其中藉由將設計與標準單元匹配的方式,將設計202所需求之性能及/或功能轉換成功能對等邏輯閘級(logic gate-level)之電路描述,藉以形成一或多個單元庫208。單元庫208包含數個預設計構件或數個功能單元的列表,每個功能單元可執行預設功能。將單元儲存在單元庫208中來作為資訊,資訊包含內部電路構件、對這些電路構件的許多連接、包含每個單元沿著單元之設計電軌(power rail)之單元高度的預設計實體布局圖案、摻質植入、井等等。此外,所儲存的單元亦可包含單元的形狀、外部連結的終端位置、延遲特性、功率消耗等等。合成204產生功能對等邏輯閘級之電路描述,例如閘級網表(gate-level netlist)206。單元庫208可儲存於例如包含在大量資料儲存裝置124中的一或多個資料庫中。根據閘級網表206,可產生用以製造積體電路212之微影光罩210。
單元庫208可進一步包含數個分接單元。分接單元防止不合需求之積體電路的閂鎖效應,積體電路的閂鎖效應可能導因於形成在積體電路中的寄生雙載子電晶體。透過分接單元,n型井區耦合於VDD電軌,p型井區或p型基材耦合於VSS電軌(一般為電性接地)。
在一些實施例中,決定設計「平面規畫」,其包含排成複數列的標準單元。圖3A至圖3C係繪示一種例示平面規畫300的數個迭代(iteration)。平面規畫300提供積體電路之布局,積體電路例如將依照圖2所示之方法製造。如此,平面規畫300圖示出基材302上數列之功能單元310與分接單元312的配置。
在一些整合設計程序中,可具體指定預設之最大分接單元至分接單元(tap-to-tap)的距離,分接單元因此而分布於平面規畫中。可利用例如數個測試晶片來決定此最大分接單元至分接單元的距離,這些測試晶片具有數個反向器陣列,其提供了最糟的閂鎖情況例子以決定單元的配置,藉以避免閂鎖效應。然而,取決於欲製造之積體電路元件的真實功能設計,這樣最大分接單元至分接單元的距離並未決定甚或評估特殊設計之整個實際單元分布的可能閂鎖耐受度。此可能會導致提供太多分接單元,對較低分接單元密度的設計而言造成面積浪費,且更可能例如在高性能產品中造成時序降解(timing degradation)。在這樣的高性能設計中,較高的分接單元密度可能會與最佳單元配置有所衝突,而使關鍵布線路徑更長。此外,有些區域可能分接單元供應不足,而導致閂鎖。
依照本揭露之一些態樣,判斷平面規畫之標準布局與繞線(P&R)區塊中的閂鎖耐受性。此外,提供對付關鍵閂鎖區域的解決方式。
請參照圖3A,在一些實施例中,分接單元312最初設於數列304中的預設位置。在圖3A所示的實施例中,分接單元312最初設成在平面規畫300之任一邊垂直延伸成行,以及在平面規畫300之中央區域中的一直行。值得注意的是,平面規畫300更包含數個位置306,這些位置306上最初並沒有設置功能單元310或分接單元312。
圖4係繪示在功能單元310設置之前之另一初始平面規畫400。在圖4之實施例中,平面規畫400之某些列僅包含分接單元312。圖4所示之平面規畫400中的基材302定義出一外圍,此外圍具有第一或上邊界402與相對於第一邊界402的第二或下邊界404。在圖4所示之平面規畫400中,直接鄰接上邊界402之第一列304a以及直接鄰接下邊界404的第二列304b僅包含分接單元312。此外,水平延伸通過平面規畫400之中央的另一列304c僅包含分接單元312。加入這樣的分接單元專用列大幅降低積體電路元件中閂鎖的可能性,而不會花費平面規畫400中大量的面積。圖示的實施例顯示三個分接單元水平列,然而在其他實施方式中可提供更多或更少列的分接單元。一般而言,分接單元供給成從平面規畫由上而下的數個直行。由於圖4之實施例中所示的列304僅包含分接單元,因此相較於習知分接單元的實施,此平面規畫提供更多的分接單元。此外,使這些分接單元列304與上邊界402及下邊界404等距有助於更進一步降低閂鎖的可能性。
現請參照圖5,其繪示設計積體電路之一種例示方法220的又一些態樣。例如利用圖1所示之中央處理單元120與系統100進行方法220的數個步驟。在操作222中,針對每個儲存在單元庫232中的標準單元形成標準單元模型。這些單元模型可進一步儲存在單元庫232中。
圖6與圖7係分別概略地繪示如圖5之操作222中所示之標準功能單元310與分接單元312之單元模型形成程序的數個態樣。如圖6所示,可分別利用分布電阻器614與616來建立功能單元310的P型井612與N型井610的模型。為了特性化單元310之直流與交流電流,提供電流源620以將電流注入每個井610與612中。在一些實施中,電流注入單元310的中間。圖7係繪示分接單元312之模型,其中利用分布電阻器614與616來建立連續P型井612與N型井610的模型。為了建立拾波電阻(pickup resistance)的模型,將電阻器622與624連接於單元電阻器614與616的中間,且介於各別之電壓源VDD與VSS之間。圖6與圖7所示之例示模型提供簡單且確切的模型,來特性化單元310與分接單元312之直流與交流電流。在其他實施例中,有需要時,可將額外的構件,例如電容器與電感器,加入模型,以特性化單元的另一些態樣。
圖8與圖9係繪示單元建模程序之額外態樣。圖8與圖9均顯示平面規畫300的一部分,平面規畫300包含功能標準單元310與分接單元312、以及電壓源VDD與VSS。標準單元310與分接單元312均如結合圖6與圖7的描述般建立模型。在圖8與圖9中,例示單元的模型代表340與341顯示於平面規畫300下,且以電阻器616模擬P型井。功能單元310的模型包含電流源620,電流源620從單元310之中間注入電流至代表P型井612的電阻器616,以模擬相稱之功能單元310的行為。這些模型更包含填充單元(filler cell)311,填充單元311單純填入平面規畫中的開放空間(open space),而不會將電流注入井。根據標準單元之建模態樣以及其他因素,例如漏電流與電阻(分接單元312與功能單元之間的距離),可決定橫跨平面規畫之各個P型井與N型井的電壓降。為簡明之故,僅P型井繪示在圖8與圖9的模型中。在其他實施例中,這些模型可進一步包含N型井。
圖10顯示出另一建模實施例,其建立多種高度之單元的模型。較高的單元將電流注入多個P型井或N型井段。因此,單一高度的單元310a僅將電流注入單一井。雙倍高度的單元310b為對稱的。為了建模,假設電流平均分散至多個井段。三倍高度的單元310c為非對稱的。因此,在建立單元模型時,對每個井段個別特性化。
通常,若橫跨P型井或N型井的電壓降在特定地點超過某個預設值,在此地點的閂鎖風險會增加。在一些實施例中,超過0.5伏特之局部井電壓很可能造成閂鎖。
請回頭參照圖5,在操作224,系統100接收功能電路設計。在操作226,根據此功能設計產生初始平面規畫,例如圖3A所示之平面規畫300。在操作228中,利用功能單元310與分接單元312的模型,將可能的閂鎖位置識別為已標明。可例如根據所決定之電壓降來識別閂鎖位置。在操作230中,根據所決定之閂鎖位置來修改平面規畫300。舉例而言,修改平面規畫可包含移動功能單元310及/或分接單元312、加入額外的分接單元312、使用不同類型的分接單元312等等,來解決已識別之閂鎖問題。
圖3B繪示一個實施例,其中已利用在一些開放區域306加入額外的分接單元310a的方式來修改平面規畫300,藉以解決所決定之可能閂鎖區域。在圖3C中,已將額外的更小分接單元310b加至更小的開放區域306。以下將對所增加之分接單元之位置與尺寸的判斷進一步討論。
圖11至圖14係繪示應用在許多實施方式中之不同分接單元310的實施例。在特定實施方式中,預設分接單元310包含二個P型井接觸802以及二個N型井接觸800,如圖11所示。如上所提到的,應用分接單元310以防止不合需求之積體電路的閂鎖效應,積體電路的閂鎖效應可能導因於形成在積體電路中的寄生雙載子電晶體。因此,分接單元310提供P型井接觸802來將P型井或P型基材耦合至VSS電軌。利用N型井接觸來將N型井區或N型基材耦合至VDD電軌。提供額外的P型井及/或N型井接觸可能會增加單元的尺寸,但這些額外的接觸降低電阻且提供了額外的電流路徑以降低閂鎖。
為了降低對閂鎖係關鍵之平面規畫位置中的接觸電阻,可使用具有額外接觸之較大的分接單元,例如圖12所示之分接單元310,其具有四個P型井接觸802與四個N型井接觸800。圖12所示之較大分接單元310亦可例如配置成如圖13所示的2+6型態(二個N型井/六個P型井接觸),或者在與圖11所示之樣式相同的單元區域之占位面積(footprint)內配置成如圖14所示的6+2型態。此如預期般,不是對P型井就是N型井接觸提供較低的接觸電阻,以降低閂鎖。因此,圖11之2+2分接單元310可應用於占位面積的非關鍵閂鎖區域,而較大之分接單元安排,像是例如4+4、2+6、6+2等等,可應用於閂鎖關鍵區域。
圖15係繪示一種例示之整合設計程序240的又一些態樣。如圖2與圖5所示,接收功能設計。在操作242中根據所接收之設計讀取區塊擺置檔案,在操作244中從單元庫獲取相稱功能單元310的單元資料,在操作246中讀取技術檔案。對功能單元310與分接單元312的態樣建模,包含建立單元之電流源與電阻的網路,其如操作248所示利用例如圖6至圖9所示之模型來對多個井與分接接觸建模。
接著,根據操作248中所發展之模型資訊檢查平面規畫,在操作250中,根據模型與其他如上結合圖6至圖9所描述之因素來決定橫跨井區的電壓降。若橫跨井區之電壓降超過預設值,在一些實施例中例如0.5伏特,識別可能之閂鎖位置。在此方法中,可決定在平面規畫中可能之閂鎖位置。在操作252中,根據所決定之閂鎖位置,確認供插入額外分接單元的可用位置。如圖3A至圖3C所示,可供額外分接單元312擺放的位置可能包含平面規畫300中的開放空間306,其先前並沒有功能單元310與分接單元312位於其上。在一些實施例中,接著重複操作250之電壓降計算,以繼續確認與縮減閂鎖位置。
更進一步地,在一些實施例中,如操作254所示,可根據閂鎖位置的決定來移動功能單元310,以產生供插入額外分接單元的額外空間。可在操作254之後重複閂鎖位置確認操作250,以進一步減少閂鎖熱點。因此,圖15所示程序提供解決與移除可能閂鎖熱點的反覆程序。
圖16係繪示所揭露之設計程序之又一些態樣的流程圖,其圖示出分接單元插置程序260。程序260始於方塊262,其中例如根據圖15所示之程序來評估現行之平面規畫。如操作264所示,若沒有識別出閂鎖位置,則平面規畫無需改變,且採用現行的平面規畫。
若識別出閂鎖位置,修改平面規畫300。在操作266中,以不同分接單元配置交換現行平面規畫300的一或多個分接單元312。舉例而言,標準2+2分接單元,例如圖11所示之分接單元,可以較大之分接單元,例如圖12至圖14之分接單元來取代。在一些實施中,所例示之方法為反覆的程序,藉以找到數個因素,例如閂鎖風險、漏電流、平面規畫尺寸等等之間的最佳平衡。舉例而言,在上述之操作266中,可加入許多額外的分接單元及/或較大的分接單元。此可大幅降低閂鎖風險,而額外的及/或較大的分接單元可能導致其他因素,例如漏電流或平面規畫尺寸不可接受的增加。因此,在操作266之後,若確認無閂鎖區域,於操作268中根據在圖15之建模操作248期間決定之有關功能單元310的資訊,來決定平面規畫300之所有漏電流。在一些實施例中,進行額外的計算,例如決定平面規畫之整個布局尺寸。
根據操作268中所決定之漏電流與布局尺寸,在操作270中可利用例如減少漏電流與布局尺寸的方式,調整分接單元至分接單元的距離,以使平面規畫面積得到較佳使用。此外,若在操作266中確認閂鎖位置,可執行操作270以改變分接單元至分接單元的位置,因而解決確認之閂鎖熱點。
若在操作270後沒有閂鎖區域被確認,於操作272中再度計算平面規畫300之全部漏電流與平面規畫的整個布局尺寸,以如上述般最佳化平面規畫布局。在操作274中,若於操作270後有確認閂鎖區域或熱點,分析鄰近已確認之閂鎖位置的區域,以確認接近閂鎖區域的開放空間306。接著,將分接單元312插入這些區域,以解決閂鎖位置。
若於操作274後沒有閂鎖區域被確認,在操作276中,再次計算平面規畫300之全部漏電流與平面規畫的整個布局尺寸,以最佳化元件布局。此外,比較操作268、272、與276之全部漏電流與布局尺寸的計算結果,以在仍舊可充分降低閂鎖風險下,選擇具有最小布局面積與最小漏電流之平面規畫安排。
在操作278中,若於操作274後有確認閂鎖熱點,可重新安置閂鎖區域中的功能單元,以騰出空間來插設額外的分接單元。於操作278後,可重複程序260,以確認可避免閂鎖問題的最佳平面規畫布局。
依照此揭露之又一些態樣,將閂鎖位置顯示在使用者介面中,以協助解決閂鎖問題。可透過圖1所示之系統100的顯示器114來顯示使用者介面。圖17與圖18係繪示例示使用者介面820與821,其顯示出平面規畫300之部分列304之井電壓822。圖17所示之使用者介面820繪示二維顯示,圖18所示之使用者介面821提供三維顯示。在區域824中,井電壓超過0.5伏特,確認是閂鎖關鍵區域。因此,可利用在此所揭露之多個例示程序來解決此區域,以消除閂鎖關鍵區域。
因此,許多揭露的實施方式提供判斷布局與繞線區塊之閂鎖風險並提供電路設計者整個設計之閂鎖耐受性的圖形表示。此外,提供降低設計之關鍵區域的閂鎖風險的程序、以及最小化此設計之非關鍵區域中之分接單元費用的選擇。所揭示之實施例亦例示出適合的解決方式,這些解決方式係訂製以匹配閂鎖風險的量與最小化分接單元之費用。
本揭露的一實施例包含提供一種設計積體電路的方法,包含以下步驟:提供單元庫,單元庫定義多個功能單元及多個分接單元,其中功能單元中的每一者配置以進行預定功能;接收積體電路設計;基於積體電路設計自單元庫選擇功能單元中的多個經選擇功能單元;將經選擇功能單元佈置在平面規畫中,其中平面規劃包含多個列;以及將分接單元佈置在平面規畫中,其中平面規畫包含多個列中僅具有分接單元中的多個的至少一列。
依據一實施例,方法更包含決定在平面規畫中多個可能閂鎖位置;以及根據所決定之可能閂鎖位置,修改功能單元或分接單元之至少一個的配置。
依據一實施例,修改功能單元或分接單元之至少一個的配置包含增加多個額外分接單元在該平面規畫中。
依照另一揭露之實施方式,一種設計積體電路之方法包含接收積體電路設計。決定積體電路設計之平面規畫。平面規畫包含一配置之複數個功能單元,其中每個功能單元配置以進行一預定功能。平面規畫更包含複數個分接單元。決定在平面規畫中可能閂鎖位置,以及根據所決定之可能閂鎖位置,修改此配置之功能單元或分接單元之至少一個。
依據一實施例,修改上述配置包含將分接單元中所選取之複數個移動到平面規畫中之複數個不同位置。
依據一實施例,修改上述配置包含增加複數個額外之分接單元至平面規畫中。
依據一實施例,修改上述配置包含將功能單元中所選取之複數個移動到平面規畫中之複數個不同位置。
依據一實施例,上述方法更包含將分接單元中之複數個放在平面規畫中之複數個預設位置,以及根據所決定之可能閂鎖位置,重新安置放在上述預設位置中之分接單元。
依據一實施例,上述平面規畫包含一外圍,此外圍具有第一邊界與相對於第一邊界之第二邊界。上述平面規畫包含功能單元與分接單元所排成之複數列,這些列包含直接鄰接第一邊界之第一列、以及直接鄰接第二邊界之第二列。將分接單元中之這複數個放在平面規畫中之預設位置包含僅放置分接單元於第一列與第二列中。
依據一實施例,上述方法更包含對這些功能單元之交流電流與直流電流建模。
依據一實施例,上述對這些功能單元之交流電流與直流電流建模包含計算功能單元這些之漏電流。
依據一實施例,上述方法更包含決定平面規畫之布局尺寸,以及根據所決定之布局尺寸,修改上述配置之功能單元或分接單元之至少一者。
依照又一揭露之實施方式,一種積體電路設計系統包含處理器以及此處理器可存取之電腦可讀媒體。電腦可讀媒體儲存定義配置以執行預定功能之複數個功能單元與複數個分接單元之單元庫,以及當處理器執行數個指令時,這些指令實施一種設計積體電路之方法。此方法包含接收積體電路設計,以及根據積體電路設計,從單元庫選取功能單元中的複數個。將這些功能單元中之這複數個安排於平面規畫中,以及將這些分接單元中之複數個安排於平面規畫之數個預設位置中。決定平面規畫中數個可能閂鎖位置。
依據一實施例,上述系統更包含顯示器,其中實施上述方法更包含將可能閂鎖位置顯示於顯示器上。
依據一實施例,實施上述方法更包含根據所決定之可能閂鎖位置修改一配置之功能單元或分接單元中的至少一個。
依據一實施例,上述系統更包含根據所決定之可能閂鎖位置,增加複數個額外的分接單元於平面規畫中。
依據一實施例,上述電腦可讀媒體更儲存每個功能單元之交流與直流電流資訊。
上述已概述數個實施方式的特徵,因此熟習此技藝者可更了解本揭露的一實施例之態樣。熟悉此技藝者應了解到,其可輕易地利用本揭露的一實施例做為基礎,來設計或潤飾其他製程與結構,以實現與在此所介紹之實施方式相同之目的及/或達到相同的優點。熟悉此技藝者也應了解到,這類對等架構並未脫離本揭露的一實施例之精神和範圍,且熟悉此技藝者可在不脫離本揭露的一實施例之精神和範圍下,在此進行各種之更動、取代與修改。
100:系統 110:處理單元 112:輸入/輸出裝置 114:顯示器 116:網路(區域網路/廣域網路) 120:中央處理單元 122:記憶體 124:大量資料儲存裝置 126:視訊配接器(視訊介面) 128:輸入/輸出介面 130:匯流排 140:網路介面 200:積體電路設計與製造程序 202:設計 204:合成 206:閘級網表 208:單元庫(功能與分接單元庫) 210:光罩 212:積體電路(積體電路製造) 220:方法 222:操作 224:操作 226:操作 228:操作 230:操作 232:單元庫(庫) 240:整合設計程序 242:操作 244:操作 246:操作 248:操作 250:操作 252:操作 254:操作 260:程序 262:方塊 264:操作 266:操作 268:操作 270:操作 272:操作 274:操作 276:操作 278:操作 300:平面規畫 302:基材 304:列 304a:第一列 304b:第二列 304c:列 306:位置/開放區域/開放空間 310:單元 310a:單元 310b:單元 310c:單元 311:填充單元 312:分接單元 340:模型代表 341:模型代表 400:平面規畫 402:第一或上邊界 404:第二或下邊界 610:井 612:井 614:電阻器 616:電阻器 620:電流源 622:電阻器 624:電阻器 800:接觸 802:接觸 820:使用者介面 821:使用者介面 822:井電壓 824:區域 VDD:電壓源 VSS:電壓源
從以下結合所附圖式所做的詳細描述,可對本揭露之態樣有更佳的了解。需注意的是,根據業界的標準實務,各特徵並未依比例繪示。事實上,為了使討論更為清楚,各特徵的尺寸都可任意地增加或縮減。 [圖1]係繪示圖示出依照一些實施方式之一種處理系統之實施例的方塊圖。 [圖2]係繪示圖示出依照一些實施方式之一種積體電路設計方法之實施例的數個態樣的程序流程圖。 [圖3A]、[圖3B]、與[圖3C]係繪示圖示出依照一些實施方式之一種積體電路平面規畫(floor plan)之實施例的數個態樣。 [圖4]係繪示依照一些實施方式之一種積體電路平面規畫之另一實施例的數個態樣。 [圖5]係繪示圖示出依照一些實施方式之一種積體電路設計方法之實施例的數個態樣的程序流程圖。 [圖6]係繪示圖示出依照一些實施方式之一種標準單元模型之實施例的示意圖。 [圖7]係繪示圖示出依照一些實施方式之一種標準單元模型之另一實施例的示意圖。 [圖8]係繪示依照一些實施方式之一種單元建模程序的實施例。 [圖9]係繪示依照一些實施方式之一種單元建模程序的另一實施例。 [圖10]係繪示依照一些實施方式之一種分接單元建模程序之另一實施例的數個態樣。 [圖11]至[圖14]係繪示依照一些實施方式之數個分接單元架構的數個實施例。 [圖15]係繪示圖示出依照一些實施方式之一種積體電路設計方法之實施例的數個態樣的程序流程圖。 [圖16]係繪示圖示出依照一些實施方式之一種積體電路設計方法之另一實施例的數個態樣的程序流程圖。 [圖17]係繪示依照一些實施方式之一種二維使用者介面螢幕的實施例。 [圖18]係繪示依照一些實施方式之一種三維使用者介面螢幕的實施例。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
220:方法 222,224,226,228,230:操作 232:單元庫

Claims (10)

  1. 一種設計積體電路的方法,包含: 提供一單元庫,該單元庫定義複數個功能單元及複數個分接單元,其中該些功能單元中的每一者配置以進行一預定功能; 接收一積體電路設計; 基於該積體電路設計自該單元庫選擇該些功能單元中的複數個經選擇功能單元; 將該些經選擇功能單元佈置在一平面規畫中,其中該平面規劃包含複數個列;以及 將該些分接單元佈置在該平面規畫中, 其中該平面規畫包含該些列中僅具有該些分接單元中的複數個的至少一列。
  2. 如請求項1所述的方法,更包含: 決定在該平面規畫中複數個可能閂鎖位置;以及 根據所決定之該些可能閂鎖位置,修改該些功能單元或該些分接單元之至少一個的配置。
  3. 如請求項2所述的方法,其中修改該些功能單元或該些分接單元之至少一個的配置包含: 增加複數個額外分接單元在該平面規畫中。
  4. 一種設計積體電路的方法,包含: 接收一積體電路設計; 決定該積體電路設計之一平面規畫,其中該平面規畫包含一配置之複數個功能單元與複數個分接單元,該些功能單元配置以進行一預定功能; 決定在該平面規畫中複數個可能閂鎖位置;以及 根據所決定之該些可能閂鎖位置,修改該配置之該些功能單元或該些分接單元之至少一個; 其中該方法之至少一步驟係利用一處理器進行。
  5. 如請求項4所述的方法,其中修改該配置包含將該些分接單元中所選取之複數個移動到該平面規畫中之複數個不同位置。
  6. 如請求項4所述的方法,其中修改該配置包含增加複數個額外之分接單元至該平面規畫中。
  7. 如請求項4所述的方法,其中修改該配置包含將該些功能單元中所選取之複數個移動到該平面規畫中之複數個不同位置。
  8. 如請求項4所述的方法,更包含: 將該些分接單元中之複數個放在該平面規畫中之複數個預設位置;以及 根據所決定之該些可能閂鎖位置,重新安置放在該些預設位置中之該些分接單元。
  9. 如請求項4所述的方法,更包含: 決定該平面規畫之一布局尺寸;以及 根據所決定之該布局尺寸,修改該配置之該些功能單元或該些分接單元之至少一者。
  10. 一種積體電路設計系統,包含: 一處理器; 該處理器可存取之複數個電腦可讀媒體,該些電腦可讀媒體儲存定義複數個功能單元與複數個分接單元之一單元庫,該些功能單元配置以執行一預定功能,該些電腦可讀媒體儲存複數個指令,當該處理器執行該些指令時,該些指令實施一方法,該方法包含: 接收一積體電路設計; 根據該積體電路設計,從該單元庫選取該些功能單元中的複數個; 將該些功能單元中之該複數個安排於一平面規畫中; 將該些分接單元中之複數個安排於該平面規畫之複數個預設位置中;以及 決定該平面規畫中複數個可能閂鎖位置。
TW111136976A 2018-07-16 2019-07-12 設計積體電路的方法及積體電路設計系統 TWI796277B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862698645P 2018-07-16 2018-07-16
US62/698,645 2018-07-16
US16/263,841 2019-01-31
US16/263,841 US10872190B2 (en) 2018-07-16 2019-01-31 Method and system for latch-up prevention

Publications (2)

Publication Number Publication Date
TW202308102A TW202308102A (zh) 2023-02-16
TWI796277B true TWI796277B (zh) 2023-03-11

Family

ID=69138351

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108124762A TWI782217B (zh) 2018-07-16 2019-07-12 積體電路
TW111136976A TWI796277B (zh) 2018-07-16 2019-07-12 設計積體電路的方法及積體電路設計系統

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108124762A TWI782217B (zh) 2018-07-16 2019-07-12 積體電路

Country Status (5)

Country Link
US (3) US10872190B2 (zh)
KR (2) KR102322064B1 (zh)
CN (1) CN110797337B (zh)
DE (1) DE102019116997B4 (zh)
TW (2) TWI782217B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017127276A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
US10872190B2 (en) 2018-07-16 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for latch-up prevention
DE102020130144A1 (de) 2019-12-30 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Headerlayoutdesign, umfassend eine rückseitenstromschiene
US11398257B2 (en) * 2019-12-30 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Header layout design including backside power rail
US20220037365A1 (en) * 2020-07-28 2022-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device, method, and system
US11416666B1 (en) * 2021-03-04 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for forming the same
US20230092184A1 (en) * 2021-09-23 2023-03-23 Advanced Micro Devices, Inc. Standard cell design architecture for reduced voltage droop utilizing reduced contacted gate poly pitch and dual height cells
US20240038760A1 (en) * 2022-08-01 2024-02-01 Qualcomm Incorporated Integrated circuit cell with dual row, back-to-back, transistor body ties

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020105049A1 (en) * 2001-02-07 2002-08-08 Barney Clive Alva Integrated circuit having tap cells and a method for positioning tap cells in an integrated circuit
TW200511062A (en) * 2003-09-04 2005-03-16 Taiwan Semiconductor Mfg Co Ltd Standard cell back bias architecture

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368933B1 (en) * 1999-12-15 2002-04-09 Intel Corporation Tap connections for circuits with leakage suppression capability
US6848089B2 (en) * 2002-07-31 2005-01-25 International Business Machines Corporation Method and apparatus for detecting devices that can latchup
TWI262411B (en) * 2004-05-07 2006-09-21 Dorado Design Automation Inc Integrated circuit design system
US7474011B2 (en) * 2006-09-25 2009-01-06 Integrated Device Technologies, Inc. Method for improved single event latch up resistance in an integrated circuit
WO2009110615A1 (ja) * 2008-03-07 2009-09-11 日本電気株式会社 半導体集積回路の設計装置、半導体集積回路の設計方法、並びに半導体集積回路を設計するコンピュータ・プログラム
JP5705053B2 (ja) * 2011-07-26 2015-04-22 ルネサスエレクトロニクス株式会社 半導体装置
US8482070B1 (en) * 2012-08-01 2013-07-09 Stmicroelectronics (Crolles 2) Silicon-on-insulator CMOS integrated circuit with multiple threshold voltages and a method for designing the same
JP2014149738A (ja) * 2013-02-01 2014-08-21 Fujitsu Ltd 回路解析装置、回路解析方法およびプログラム
US9262575B2 (en) * 2014-02-10 2016-02-16 International Business Machines Corporation Circuit-level abstraction of multigate devices using two-dimensional technology computer aided design
US9723758B2 (en) * 2015-02-03 2017-08-01 Dell Products, L.P. Airflow channeling structure for densely packed storage enclosures
US9679915B2 (en) * 2015-05-15 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with well and substrate contacts
JP2016146504A (ja) 2016-04-06 2016-08-12 ルネサスエレクトロニクス株式会社 半導体装置および半導体チップ
US10872190B2 (en) 2018-07-16 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for latch-up prevention

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020105049A1 (en) * 2001-02-07 2002-08-08 Barney Clive Alva Integrated circuit having tap cells and a method for positioning tap cells in an integrated circuit
TW200511062A (en) * 2003-09-04 2005-03-16 Taiwan Semiconductor Mfg Co Ltd Standard cell back bias architecture

Also Published As

Publication number Publication date
KR102322064B1 (ko) 2021-11-08
DE102019116997B4 (de) 2024-03-21
TWI782217B (zh) 2022-11-01
US10872190B2 (en) 2020-12-22
TW202030856A (zh) 2020-08-16
DE102019116997A1 (de) 2020-01-16
US20210117605A1 (en) 2021-04-22
TW202308102A (zh) 2023-02-16
CN110797337B (zh) 2022-05-13
US20200019666A1 (en) 2020-01-16
US11615227B2 (en) 2023-03-28
US20230237237A1 (en) 2023-07-27
KR102459550B1 (ko) 2022-10-26
KR20200008511A (ko) 2020-01-28
CN110797337A (zh) 2020-02-14
KR20210135950A (ko) 2021-11-16

Similar Documents

Publication Publication Date Title
TWI796277B (zh) 設計積體電路的方法及積體電路設計系統
US7600208B1 (en) Automatic placement of decoupling capacitors
US8132142B2 (en) Various methods and apparatuses to route multiple power rails to a cell
US11637547B2 (en) Flip-flop cell
US11030383B2 (en) Integrated device and method of forming the same
US20190147133A1 (en) Variant cell height integrated circuit design
TWI749670B (zh) 電子架構設計佈局建置系統、電子架構設計佈局建置方法及非暫態電腦可讀取媒體
US9904752B2 (en) Methods for distributing power in layout of IC
US11227093B2 (en) Method and system of forming semiconductor device
US9293450B2 (en) Synthesis of complex cells
Madhavan et al. Physical Design and Implementation of Lakshya-Sub-system of Built in Self Test System
TWI738336B (zh) 邊界單元的布局方法及裝置以及積體電路
US20230315968A1 (en) Boundary cell
US20230267261A1 (en) Design system, design method and method of manufacture of semiconductor device
CN113779923A (zh) 具有最佳化胞元布置的装置布局
TW202324178A (zh) 分析積體電路的方法、電腦系統及非暫態電腦可讀媒體
CN114530446A (zh) 半导体结构及提供单元阵列的方法