TWI749670B - 電子架構設計佈局建置系統、電子架構設計佈局建置方法及非暫態電腦可讀取媒體 - Google Patents

電子架構設計佈局建置系統、電子架構設計佈局建置方法及非暫態電腦可讀取媒體 Download PDF

Info

Publication number
TWI749670B
TWI749670B TW109125746A TW109125746A TWI749670B TW I749670 B TWI749670 B TW I749670B TW 109125746 A TW109125746 A TW 109125746A TW 109125746 A TW109125746 A TW 109125746A TW I749670 B TWI749670 B TW I749670B
Authority
TW
Taiwan
Prior art keywords
analog
analog circuit
layout
oxide semiconductor
metal oxide
Prior art date
Application number
TW109125746A
Other languages
English (en)
Other versions
TW202109337A (zh
Inventor
呂宗庭
張志強
謝仲朋
楊忠傑
彭永州
陳永順
陳泰邑
鄭乃禎
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202109337A publication Critical patent/TW202109337A/zh
Application granted granted Critical
Publication of TWI749670B publication Critical patent/TWI749670B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/373Design optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

電子設計流程會根據示意圖為類比電路系統產生電子架構設計佈局。電子設計流程將示意圖中的多個類比電路分配給各種類比電路類別。電子設計流程將與這些類比電路類別相對應的各種類比標準單元放置到分配給多個類比電路的多個類比佈局位置中。這些類比標準單元具有均勻的單元高度,其使得這些類比標準單元可以容易地連接或合併到多個數位標準單元,從而減小了電子架構設計佈局的面積。與非均勻的多個類比標準單元相比,這些類比標準單元之間的高度均勻性還提供了更可靠的產量。

Description

電子架構設計佈局建置系統、電子架構設計佈局建置方法及 非暫態電腦可讀取媒體
本揭露有關於一種電子架構設計佈局建置系統、電子架構設計佈局建置方法及非暫態電腦可讀取媒體。
技術和製程的進步允許設計者和製造商向消費者提供更多的電子裝置。可製造性設計(design for manufacturability,DFM)代表了設計易於製造的電子裝置的一般工程實踐。可製造性設計描述了設計電子裝置的過程,以促進製造過程,以更容易、更快且更便宜地製造電子裝置,並同時保持功能、品質和適銷性的所需標準。將電子裝置的類比電路系統(analog circuitry)和數位電路系統(digital circuitry)結合到單個半導體基板上變得越來越普遍。然而,用於類比電路系統的類比佈局通常是不均勻的,這可能導致密度梯度效應(density gradient effect,DGE)。這些類比佈局通常在數位佈局的低密度區域旁邊具有高密度區域(也稱為高梯度區域)。在部分情況下,這些高密度區域會在製造過程中在電子裝置內引起製造缺陷(作為示例,例如,短路或斷路)。為了避免這些高密度區域,類比電路系統的設計人員經常增加在單個半導體基板上專用於類比電路系統的實際區域,以減小這些類比佈局的密度。然而,這種增加的實際區域通常會造成以更大功率運作類比佈局的較大裝置,並且會增加電子裝置內的佈線距離。
為了解決上述問題,本揭露提供一種電子架構設計佈局建置系統,用於建置電子裝置的類比電路系統的電子架構設計佈局,此系統包含:記憶體和處理器。記憶體儲存複數個類比標準單元。處理器用以執行佈局和佈線應用,當由處理器執行佈局和佈線應用時,處理器用以:將類比電路系統的複數個類比電路中的一類比電路邏輯地分配給這些類比電路類別中的一類比電路類別,每個這些類比電路類別均與一通用配置和/或一通用佈置相關聯,從電子架構設計佈局將實際區域劃分為複數個類比佈局位置,從這些類比佈局位置中將此類比電路分配給一類比佈局位置,將此類比佈局位置指定為與此類比電路類別相關聯,並且從這些類比標準單元中將與此類比電路類別相關聯的一類比標準單元放置到此類比佈局位置。
本揭露的另一態樣係提供一種電子架構設計佈局建置方法,用於建置電子裝置的類比電路系統的電子架構設計佈局,此方法包含:透過處理器執行佈局和佈線應用,將類比電路系統的複數個類比電路中的一類比電路邏輯地分配給這些類比電路類別中的一類比電路類別,每個這些類比電路類別與一通用配置和/或一通用佈置相關聯;透過處理器從複數個類比標準單元中檢索與此類比電路類別相關聯的一類比標準單元;透過處理器將此類比標準單元放置到複數個類比佈局位置中分配給此類比電路系統的一類比佈局位置。
本揭露的另一態樣係提供一種其上具有電腦程式碼的非暫態電腦可讀取媒體,當非暫態電腦可讀取媒體由處理器執行時會使處理器執行複數個操作,這些操作包含:將電子裝置的複數個類比電路中的一類比電路邏輯地分配給複數個類比電路類別中的一類比電路類別,每個這些類比電路類別均與一通用配置和/或一通用佈置相關聯;從複數個類比標準單元中檢索與此類比電路類別相關聯的一類比標準單元;將此類比標準單元放置在複數個類比佈局位置中分配給此類比電路系統的一類比佈局位置中;從複數個數位標準單元中檢索一數位標準單元,此數位標準單元與此電子裝置的複數個數位電路中的一數位電路相關聯;將此數位標準單元放置到複數個數位佈局位置中的一數位佈局位置;以及連接此類比標準單元和此數位標準單元以形成電子裝置的一電子架構設計佈局。
為使本揭露之上述和其他目的、特徵、優點與實施例能更明顯易懂,所附符號之說明如下:
100:電子設計平台
102:合成應用
104:佈局和佈線應用
106:模擬應用
108:驗證應用
110:類比標準單元庫
150:示意圖
152.1~152.n:類比電路
154:實際區域
156.1~156.n:類比佈局位置
158.1~158.k:類比標準單元
160.1~160.m:數位佈局位置
300:類比標準單元
302.1,302.2:主動擴散區域
304.1~304.6:多晶矽區域
306.1~306.8:金屬區域
308:標準單元邊界
320,340:類比標準單元
402,404,406,408,410,412,414,416:類比電路
450:示意圖
454:實際區域
456.1~456.8:類比佈局位置
458.1~458.3:類比標準單元
500:操作控制流程
502,504,506,508,510:操作
600:電腦系統
602:使用者輸入/輸出介面
603:使用者輸入/輸出裝置
604:處理器
606:通訊基礎架構
608:主記憶體
610:輔助儲存裝置或記憶體
612:硬碟驅動器
614:可攜式儲存驅動器
618,622:可攜式儲存單元
620:介面
624:通訊或網路介面
626:通訊路徑
628:遠端裝置
C1~Ck:類比電路類別
QA:P型金屬氧化物半導體電晶體
QB:N型金屬氧化物半導體電晶體
Q1~Q24:電晶體
VDD:電源
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本公開的各方面。應理解,根據行業中的標準實踐,特徵未按比例繪製。實際上,為了清楚地討論,可以任意地增加或減小特徵的尺寸。
第1A圖至第1F圖繪示根據本公開示例性實施例的電子設計平台及其操作的方塊圖;第2A圖至第2J圖繪示根據本公開示例性實施方式的示例性通用配置和/或通用佈置;第3A圖至第3C圖以圖形方式繪示根據本公開示例性實施例之可以在類比標準單元庫內實現的示例性類比標準單元;第4A圖至第4D圖以圖形方式繪示根據本公開示例性實施例的佈局和佈線應用(placing and routing application)的示例性操作;第5圖繪示根據本公開示例性實施例之示例性電子設計平台的示例性佈局和佈線應用的示例性操作的流程圖;以及第6圖繪示根據本公開示例性實施例之用於實現示例性設計平台的示例性電腦系統的方塊圖。
以下公開提供了用於實現所提供之主題的不同特 徵的許多不同的實施例或示例。以下描述元件和配置的特定示例以簡化本公開。當然,這些僅僅是示例,而無意於進行限制。例如,在下面的描述中,在第二特徵上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可包括可以在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在示例中重複參考數字和/或文字。此重複本身並不指示所討論的實施例和/或配置之間的關係。
概述
電子設計流程從示意圖中生成用於類比電路系統的電子架構設計佈局。電子設計流程將示意圖的多個類比電路分配給各種類比電路類別。電子設計流程將與這些類比電路類別相對應的各種類比標準單元放置到分配給多個類比電路的多個類比佈局位置中。這些類比標準單元具有均勻的單元高度,此均勻的單元高度使得這些類比標準單元可以容易地連接到或合併到多個數位標準單元,從而減小了電子架構設計佈局的面積。與非均勻的類比標準單元相比,這些類比標準單元之間的高度均勻性還提供了更可靠的產量。
示例性電子設計平台
第1A圖至第1F圖繪示根據本公開的示例性實施 例的電子設計平台及其操作的方塊圖。如第1A圖所示,電子設計平台100代表包括一個或多個電子設計軟體應用程式的一種電子設計流程,當其由一個或多個電腦裝置、處理器、控制器或在不脫離本公開的精神和範圍的情況下對於相關領域的技術人員來說是顯而易見的其他裝置執行時,可以為電子裝置的類比和/或數位電路系統設計、模擬、分析和/或驗證一個或多個高階軟體級別描述(high-level software level descriptions)。在示例性實施例中,一個或多個高階軟體級別描述的實現可以使用高階軟體語言(例如,圖形設計應用程式(例如,C、System C、C++、LabVIEW和/或MATLAB))、泛用型系統設計語言(general purpose system design language)(例如,SysML、SMDL和/或SSDL或在不脫離本公開的精神和範圍的情況下對於相關領域的技術人員來說是顯而易見之任何合適的高階軟體或泛用型系統設計語言),或高階軟體格式(high-level software format)(例如,通用功率格式(common power format,CPF)、統一功率格式(unified power formant,UPF)或在不脫離本公開的精神和範圍的情況下對於相關領域的技術人員來說是顯而易見的任何其他合適的高階軟體格式)。在第1A圖所示的示例性實施例中,電子設計平台100包括合成應用(synthesis application)102、佈局和佈線應用(placing and routing application)104、模擬應用(simulation application)106、驗 證應用(verification application)108以及類比標準單元庫(libraries of analog standard cells)110。
此外,本公開的實施例可以以硬體、韌體、軟體或其任何組合來實現。本公開的實施例還可以被實現為儲存在電腦可讀取媒體上的指令,其可以由一個或多個處理器讀取和執行。電腦可讀取媒體可以包括以機器(例如,電腦裝置)可讀取的形式儲存或傳輸信息的任何機制。例如,電腦可讀取媒體可以包括非暫態電腦可讀取媒體(例如,唯讀記憶體(read only memory,ROM);隨機存取記憶體(random access memory,RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體裝置;等等)。作為另一示例,電腦可讀取媒體可以包括暫態電腦可讀取媒體(例如,以電、光、聲或其他形式傳播的信號(例如,載波(carrier wave)、紅外信號、數位信號等))。此外,韌體、軟體、程式、指令可以在本文中被描述為執行部分步驟。然而,應當理解,這樣的描述僅僅是為了方便,並且這樣的步驟實際上是由執行韌體、軟體、程式、指令等的電腦裝置、處理器、控制器或其他裝置引起的。在示例性實施例中,合成應用102、佈局和佈線應用104、模擬應用106和驗證應用108代表一個或多個電子設計軟體應用程式,當它們由一個或多個電腦裝置、處理器、控制器或在不脫離本公開的精神和範圍的情況下對於相關領域的技術人員而言是顯而易見的其他裝置執行時,將一個或多 個電腦裝置、處理器、控制器或其他裝置從泛用型電子裝置中配置為專用電子裝置,以執行如下將進一步詳細描述之一個或多個這些應用程式中。
合成應用102將電子裝置的一個或多個特徵、參數或屬性轉換為一個或多個邏輯運算、一個或多個算術運算、一個或多個控制運算和/或在不脫離本公開的精神和範圍下對於相關領域的技術人員來說是顯而易見之任何其他合適的運算,再轉換為根據電子裝置的類比電路系統和/或數位電路系統的一個或多個高階軟體級別描述。合成應用102可以利用模擬演算法來模擬一個或多個邏輯運算、一個或多個算術運算、一個或多個控制運算和/或其他合適的一個或多個運算,以驗證根據電子設計規範中概述之電子裝置的一個或多個特徵、參數或屬性執行的一個或多個邏輯運算、一個或多個算術運算、一個或多個控制運算和/或其他合適的運算。
佈局和佈線應用104將一個或多個高階軟體級別描述轉換成電子裝置的電子架構設計佈局。在第1A圖的示例性實施例中,佈局和佈線應用104將一個或多個高階軟體級別描述轉換成圖表或示意圖。通常,示意圖包括多個類比電路和/或多個數位電路,這些類比電路和/或數位電路彼此電耦合以形成電子裝置的類比電路系統和/或數位電路系統之圖形的或視覺的表示。接著,第1B圖至第1E圖中描述了佈局和佈線應用104的運算,其將示意圖的類比電路系統轉換為用於形成電子裝置的類比電路系統 的電子架構設計佈局。佈局和佈線應用104可以在不脫離本公開的精神和範圍的情況下根據相關領域的技術人員顯而易見之任何合適的已知轉換技術來將示意圖的數位電路系統轉換為用於形成電子裝置的數位電路的電子架構設計佈局。
如第1B圖所示,示意圖150包括彼此電耦合以形成用於電子裝置的類比電路系統的類比電路152.1至152.n。可以基於這些類比電路之間的通用配置和/或通用佈置來表徵類比電路152.1至152.n。這些通用配置和/或通用佈置可包括類比電路之間的通用輸入/輸出(I/O)關係(作為示例,例如,通用閘極連接、通用汲極連接、通用源極連接、體連接(bulk connection)、單一P型金屬氧化物半導體(P-type metal-oxide-semiconductor,PMOS)、單一N型金屬氧化物半導體(N-type metal-oxide-semiconductor,NMOS)、電源連接、接地連接和/或其任何組合)。替代地或附加地,這些通用配置和/或通用佈置可以包括通用拓撲(common topologies)(作為示例,例如,通用閘極放大器、通用汲極放大器、通用源極放大器、差動放大器(differential amplifier)、電流鏡(current mirror)和/或它們的任何組合)。
在第1B圖所示的示例性實施例中,佈局和佈線應用104將類比電路152.1至152.n邏輯地分配給類比電 路類別C1至Ck。在此示例性實施例中,類比電路類別C1至Ck中的每個類比電路類別與不同的通用配置和/或不同的通用佈置相關聯。在此示例性實施例中,佈局和佈線應用104將每個類比電路152.1至152.n邏輯地分配給類比電路類別C1至Ck中相應的類比電路類別,其中此相應的類比電路類別與此類比電路具有相同的通用配置和/或通用佈置。在部分情況下,可以將一個或多個類比電路類別C1至Ck分配給類比電路152.1至152.n中多個類比電路。在示例性實施例中,佈局和佈線應用104的使用者分析示意圖。在此示例性實施例中,佈局和佈線應用104此後從周邊裝置(作為示例,例如,鍵盤、滑鼠和/或觸控筆)接收輸入,以將類比電路152.1至152.n邏輯地分配給如上所述之類比電路類別C1至Ck。
如第1C圖所示,佈局和佈線應用104從電子架構設計佈局將實際區域154劃分成類比佈局位置156.1至156.n,以形成用於類比電路152.1至152.n的類比標準單元之佈局的平面圖。在示例性實施例中,類比佈局位置156.1至156.n可以表徵為具有均勻的單元高度。例如,類比佈局位置156.1到156.n可以表徵為一個單元高度,以容納用於形成多個P型金屬氧化物半導體(P-type metal-oxide-semiconductor,PMOS)電晶體的第一水平主動擴散區域和用於形成多個N型金屬氧化物半導體(N-type metal-oxide-semiconductor,NMOS)電晶體的第二水平主動擴散區域。在一些情況下, 可以將第一水平主動擴散區域和第二水平主動擴散區域分列為多個主動擴散區域,以分別形成多個P型金屬氧化物半導體電晶體和多個N型金屬氧化物半導體電晶體。在第1C圖所示的示例性實施例中,將類比佈局位置156.1至156.n配置為一系列的行和一系列的列,以形成類比佈局位置的矩陣。然而,在不背離本公開的精神和範圍的情況下,對相關領域的技術人員是顯而易見之類比佈局位置156.1至156.n的其他配置也是可能的。此後,佈局和佈線應用104將類比電路152.1至152.n分配給類比佈局位置156.1至156.n中對應的類比佈局位置。在示例性實施例中,佈局和佈線應用104使用如第1B圖所示的示意圖150作為指示圖,將類比電路152.1至152.n分配給它們在實際區域154中相應的類比佈局位置,以使得在示意圖150中,在類比電路152.1至152.n中彼此相鄰的類比電路也在實際區域154中彼此相似地相鄰。
如第1D圖所示,佈局和佈線應用104將類比佈局位置156.1至156.n指定為與類比電路類別C1至Ck中其對應的類比電路類別相關聯。在第1D圖所示的示例性實施例中,將分配給類比電路類別C1的類比電路152.1分配給類比佈局位置156.1,並且將分配給類比電路類別Ck的類比電路152.n分配給類比佈局位置156.n。在此示例性實施例中,佈局和佈線應用104將類比佈局位置156.1指定為類比電路類別C1,並將類比佈局位置156.n指定為類比電路類別Ck。
如第1E圖所示,佈局和佈線應用104從類比標準單元庫110(如第1A圖所示)中檢索類比標準單元158.1至158.k。在第1E圖所示的示例性實施例中,類比標準單元158.1至158.k中的每個類比標準單元與類比電路類別C1至Ck中的一個類別相關。此後,佈局和佈線應用104將類比標準單元158.1至158.k放置到在類比佈局位置156.1至156.n中對應於它們的類比電路類別C1至Ck的類比佈局位置。在第1E圖所示的示例性實施例中,佈局和佈線應用104檢索與類比電路類別C1相關聯的類比標準單元158.1,並將類比標準單元158.1放置在類似地分配給類比電路類別C1的類比佈局位置156.1中。類似地,佈局和佈線應用104檢索與類比電路類別Ck相關聯的類比標準單元158.k,並將類比標準單元158.k放置到類似地分配給類比電路類別Ck的類比佈局位置156.n中。一旦佈局和佈線應用104放置類比標準單元158.1至158.k,佈局和佈線應用104就根據示意圖150連接類比標準單元158.1至158.k,以形成電子裝置的類比電路系統的電子架構設計佈局。
如第1F圖所示,示意圖150可以進一步包括彼此電耦合以形成電子裝置的數位電路系統的數位電路。在第1F圖所示的示例性實施例中,佈局和佈線應用104進一步從電子架構設計佈局將實際區域154劃分成數位佈局位置160.1至160.m(如第1F圖中的灰色陰影所示),以形成用於電子裝置的數位電路系統之用於數位電路系統 的數位標準單元的平面圖。在第1F圖所示的示例性實施例中,將數位佈局位置160.1至160.m配置為一系列的行和一系列的列,以形成數位佈局位置的矩陣。然而,在不脫離本公開的精神和範圍的情況下,對相關領域的技術人員是顯而易見之數位佈局位置160.1至160.m的其他配置也是可能的。佈局和佈線應用104根據示意圖150將數位標準單元放置到數位佈局位置160.1至160.m中。佈局和佈線應用104根據示意圖150連接這些數位標準單元以形成用於電子裝置的數位電路系統的電子架構設計佈局。此後,佈局和佈線應用104根據示意圖150連接電子裝置的類比電路系統的電子架構設計佈局和電子裝置的數位電路系統的電子架構設計佈局,以形成電子裝置的電子架構設計佈局。
如第1F圖所示,類比佈局位置156.1至156.n內的類比標準單元158.1至158.k與數位佈局位置160.1至160.m內的數位標準單元兼容。在示例性實施例中,類比標準單元158.1至158.k和數位標準單元具有一個標準單元的高度。與電子裝置的其他電子架構設計佈局相比,類比標準單元和數位標準單元之間的高度均勻性可以為電子裝置提供更緊湊的電子架構設計佈局。在部分情況下,這將允許電子裝置的類比電路系統的電子架構設計佈局和電子裝置的數位電路系統的電子架構設計佈局共享一個通用邊界,而不是使電子裝置的類比電路系統的電子架構設計佈局和電子裝置的數位電路系統的電子架構設 計佈局具有單獨的邊界。此外,這種更緊湊的電子架構設計佈局減少了電子裝置的電子架構設計佈局內具有高密度區域的數量,並且減少了此電子架構設計佈局內的密度梯度效應。
返回參考第1A圖,模擬應用106模擬電子裝置的電子架構設計佈局,以複製電子裝置的類比電路系統和/或數位電路系統之電子架構設計的一個或多個特徵、參數或屬性。在示例性實施例中,模擬應用106可以提供靜態時序分析(static timing analysis,STA)、壓降分析(也稱為IREM分析)、時脈域交叉驗證(clock domain crossing verification(也稱為CDC檢查)、形式驗證(formal verification)(也稱為模型檢查(model checking)、等效檢查(equivalence checking))或在不背離本公開的精神和範圍的情況下對於相關領域的技術人員而言是顯而易見之任何其他合適的分析。在另一個示例性實施例中,模擬應用106可以執行交流(alternating current,AC)分析(例如,線性小信號頻域分析(linear small-signal frequency domain analysis))和/或直流(direct current,DC)分析(例如,非線性靜態點計算或在掃描電壓、電流和/或參數以執行靜態時序分析、IREM分析或其他合適的分析時計算出的一系列非線性操作點)。
驗證應用108驗證由模擬應用106複製之電子裝置的類比電路系統和/或數位電路系統的電子架構設計佈 局的一個或多個特徵、參數或屬性以滿足電子設計規範。驗證應用108還可以執行物理驗證(也稱為設計規則檢查(design rule check,DRC)),以檢查用於電子裝置的類比電路系統和/或數位電路系統的電子架構設計佈局是否滿足一個或多個由製造電子裝置的半導體代工廠和/或半導體技術節點定義建議的參數(也稱為設計規則)。
類比標準單元庫110包括具有不同的通用配置和/或不同的通用佈置之一個或多個類比標準單元庫(例如,上述第1E圖中的類比標準單元158.1至158.k)。在第1A圖所示的示例性實施例中,根據幾何形狀、幾何形狀的位置和/或幾何形狀之間的互連來定義這些類比標準單元。在示例性實施例中,類比標準單元158.1至158.k具有統一的單元高度(例如,一個標準單元的高度)。在此示例性實施例中,一個標準單元的高度包括用於形成P型金屬氧化物半導體電晶體的第一水平主動擴散區域和用於形成n型金屬氧化物半導體電晶體的第二水平主動擴散區域。與用於電子裝置的其他電子架構設計佈局相比,類比標準單元之間的高度均勻性可以為電子裝置提供更緊湊的電子架構設計佈局。在第1A圖所示的示例性實施例中,這些類比標準單元之間的高度均勻性使這些類比標準單元的前段製程(front-end-of-line,FEOL)、中段製程(middle-end-of-line,MEOL)和後段製程(back-end of-line,BEOL)的圖案對齊,類似於數位標準單元。例如,類比標準單元具有固定的單元高度和 單元邊界,從而允許這些類比標準單元鄰接數位標準單元。作為另一個示例,類比標準單元具有與數位標準單元相當之固定的通道寬度和長度。作為另一示例,類比標準單元具有與數位標準單元相當之用於功率和信號的固定的金屬寬度和間距。這種更緊湊的電子架構設計佈局減少了用於電子裝置的電子架構設計佈局內具有高密度區域的數量,並減少了此電子架構設計佈局內的密度梯度效應。此外,透過使類比標準單元的電子架構設計佈局包括P型金屬氧化物半導體電晶體和N型金屬氧化物半導體電晶體的互補式金屬氧化物半導體場效應(complementary metal-oxide-semiconductor field-effect,CMOS)電晶體對,可以進一步實現此高度的均勻性。在一些情況下,類比標準單元可以包括虛設P型金屬氧化物半導體電晶體和/或虛設N型金屬氧化物半導體電晶體(其將在下面進一步地詳細描述),以確保這些類比標準單元的電子架構設計佈局包括互補式金屬氧化物半導體場效應電晶體對。例如,如第1B圖所述之類比電路152.1至152.n中的類比電路可以包括單個N型金屬氧化物半導體電晶體。在此示例中,與分配給此類比電路的類比電路類別相關聯的類比標準單元的電子架構設計佈局可以包括用於單個N型金屬氧化物半導體電晶體和虛設P型金屬氧化物半導體電晶體的電子架構設計佈局,以形成互補式金屬氧化物半導體場效應電晶體對,以確保此類比標準單元保持一個標準單元的高度。
示例性通用配置和/或通用佈置
如第1B圖所示,類比電路(作為示例,例如,類比電路152.1至152.n)可以基於這些類比電路之間的通用配置和/或通用佈置來表徵。參照第2A圖至第2J圖,其繪示根據本公開的示例性實施方式的示例性通用配置和/或通用佈置。相關領域的技術人員應理解,在不脫離本公開的精神和範圍的情況下,佈局和佈線應用104可以辨認除了第2A圖至第2J圖中所示的那些之外的其他通用配置和/或通用佈置。作為示例,這些其他的通用配置和/或通用佈置可以包括通用閘極連接、通用汲極連接、通用源極連接、體連接、單一P型/N型金屬氧化物半導體、電源連接、接地連接、通用閘極放大器、通用汲極放大器、通用源極放大器、差動放大器、電流鏡和/或其任何組合。
第2A圖繪示具有電源和接地連接的示例性通用閘極和汲極。如第2A圖所示,P型金屬氧化物半導體電晶體Q1的閘極和汲極分別連接到N型金屬氧化物半導體電晶體Q2的閘極和汲極。P型金屬氧化物半導體電晶體Q1的源極連接到電源VDD。N型金屬氧化物半導體電晶體Q2的源極連接到地電位。
第2B圖繪示P型金屬氧化物半導體電晶體Q3和N型金屬氧化物半導體電晶體Q4的示例性通用閘極和汲極連接。如第2B圖所示,P型金屬氧化物半導體電晶體Q3的閘極和汲極分別連接到N型金屬氧化物半導體電晶 體Q4的閘極和汲極。
第2C圖繪示P型金屬氧化物半導體電晶體Q5和N型金屬氧化物半導體電晶體Q6之具有接地連接的示例性通用閘極和汲極。如第2C圖所示,P型金屬氧化物半導體電晶體Q5的閘極和汲極分別連接到N型金屬氧化物半導體電晶體Q6的閘極和汲極。N型金屬氧化物半導體電晶體Q6的源極連接到地電位。
第2D圖繪示P型金屬氧化物半導體電晶體Q7和N型金屬氧化物半導體電晶體Q8的示例性通用汲極配置。如第2D圖所示,P型金屬氧化物半導體電晶體Q7的汲極連接到N型金屬氧化物半導體電晶體Q8的汲極。
第2E圖繪示P型金屬氧化物半導體電晶體Q9和N型金屬氧化物半導體電晶體Q10之具有電源和接地連接配置的示例性通用汲極。如第2E圖所示,P型金屬氧化物半導體電晶體Q9的汲極連接到N型金屬氧化物半導體電晶體Q10的汲極。P型金屬氧化物半導體電晶體Q9的源極連接到電源VDD。N型金屬氧化物半導體電晶體Q10的源極連接到地電位。
第2F圖繪示單個P型金屬氧化物半導體電晶體Q11的示例性配置。如第1A圖所述,類比標準單元具有一個標準單元的高度。為了保持此一個標準單元的高度,第2F圖所示的示例性配置的類比標準單元包括虛設的N型金屬氧化物半導體電晶體Q12。如第2F圖所示,N型金屬氧化物半導體電晶體Q12的源極、汲極和閘極連接到 地電位。
第2G圖繪示單個N型金屬氧化物半導體電晶體Q14的示例性配置。如第1A圖所述,類比標準單元具有一個標準單元的高度。為了維持此一個標準單元的高度,第2G圖中所示的示例性配置的類比標準單元包括虛設P型金屬氧化物半導體電晶體Q13。如第2G圖所示,P型金屬氧化物半導體電晶體Q13的源極、汲極和閘極連接到電源VDD
第2H圖繪示P型金屬氧化物半導體電晶體Q15和Q16以及N型金屬氧化物半導體電晶體Q17和Q18的示例性串聯配置。如第2H圖所示,P型金屬氧化物半導體電晶體Q15的源極連接到P型金屬氧化物半導體電晶體Q16的汲極,並且N型金屬氧化物半導體電晶體Q17的汲極連接到N型金屬氧化物半導體電晶體Q18的源極。
第21圖繪示P型金屬氧化物半導體電晶體Q19和Q20的示例性鏡像配置。如第2I圖所示,將P型金屬氧化物半導體電晶體Q19和Q20配置和佈置為實現電流鏡(current mirror)。
第2J圖繪示P型金屬氧化物半導體電晶體Q21和Q23以及N型金屬氧化物半導體電晶體Q22和Q24的示例性差動配置。如第2J圖所示,將P型金屬氧化物半導體電晶體Q21和Q23以及N型金屬氧化物半導體電晶體Q22和Q24配置和佈置為實現差動電晶體對(differential pair of transistor)。
示例性類比標準單元
第3A圖至第3C圖繪示根據本公開示例性實施例之可以在類比標準單元庫內實現的示例性類比標準單元。相關領域的技術人員應理解,類比標準單元庫不限於如第3A圖至第3C圖中詳細描述的示例性類比標準單元。如上所述,類比標準單元庫可以包括具有不同的通用配置和/或不同的通用佈置的一個或多個標準單元(例如,如第1E圖所示的類比標準單元158.1至158.k)。
第3A圖繪示具有通用閘極配置和/或佈置的類比標準單元300。在第3A圖所示的示例性實施例中,類比標準單元300包括依照平面幾何形狀定義的一個或多個P型金屬氧化物半導體電晶體和/或一個或多個N型金屬氧化物半導體電晶體,其中平面幾何形狀對應於在一個或多個擴散層內的主動擴散區域302.1和302.2,在一個或多個多晶矽層中的多晶矽區域304.1至304.6,在一個或多個金屬層中的金屬區域306.1至306.8和/或在區域之間的一個或多個互連(作為示例,例如,接觸或通孔)。其中,節點N1對應於金屬區域306.2,節點N2對應於金屬區域306.5,節點N3對應於金屬區域306.3,節點N4對應於金屬區域306.6,節點N5對應於金屬區域306.7。在第3A圖中使用散列繪示的主動擴散區域302.1和302.2分別表示在其上可以形成P型金屬氧化物半導體電晶體QA和N型金屬氧化物半導體電晶體QB的主動擴 散區域。在第3A圖的示例性實施例中,類比標準單元300的特徵在於具有一個單元高度以分別容納用於形成P型金屬氧化物半導體電晶體QA和N型金屬氧化物半導體電晶體QB的主動擴散區域302.1和302.2。
在第3A圖中用點狀陰影繪示的多晶矽區域304.1至304.6與主動擴散區域302.1和302.2重疊以形成P型金屬氧化物半導體電晶體QA和N型金屬氧化物半導體電晶體QB。典型地,主動擴散區域302.1摻雜有受體類型(acceptor type)的雜質原子(作為示例,例如,硼或鋁),這些摻雜原子能夠接受電子以形成P型金屬氧化物半導體的主動區域。主動擴散區域302.2摻雜有施體類型(donor type)的雜質原子(作為示例,例如,磷、砷或銻),這些雜質原子能夠提供電子以形成N型金屬氧化物半導體的主動區域。多晶矽區域304.1至304.6可以摻雜有受體類型或施體類型的雜質原子。儘管未繪示,半導體裝置(以及下面將描述的其他半導體裝置)可以形成在專門佈植的區域(稱為井)內,此專門佈植的區域可以增加位於半導體基板中的載子電洞和/或載子電子的數量。可以將受體類型的原子和施體類型的原子佈植到半導體基板中,以分別製造專門佈植的P型井區域和專門佈植的N型井區域。
在第3A圖中使用實心灰色陰影繪示的金屬區域306.1至306.8代表在類比標準單元300內的金屬區域。例如,金屬區域306.2至306.7可用於在類比標準單元 300內配置各種信號。作為另一個示例,金屬區域306.1和306.8可分別地用於將供應電壓和接地配置到類比標準單元300。
一個或多個互連結構(在第3A圖中被繪示為正方形「譃」)耦合在類比標準單元300內的各個區域。通常,一個或多個互連結構可以包括接觸,以在主動擴散區域302.1和302.2與金屬區域306.1至306.8之間和/或在多晶矽區域304.1至304.6與金屬區域306.1至306.8之間形成互連結構。
在第3A圖所示的示例性實施例中,配置多晶矽區域304.1和304.6以及金屬區域306.1和306.8以形成類比標準單元邊界308。在此示例性實施例中,用於類比電路的電子架構設計佈局(作為示例,例如,第3A圖中所示的通用閘極配置)可以位於類比標準單元邊界308內。在部分情況下,類比標準單元300的類比標準單元邊界308可以連接至其他類比標準單元的其他類比標準單元邊界,並且可以使用各種金屬層(在第3A圖中未繪示)連接這些類比標準單元,以形成其他更大的類比標準單元。儘管在第3A圖中繪示的類比標準單元300在類比標準單元邊界308內包括主動元件(例如,P型金屬氧化物半導體電晶體QA和N型金屬氧化物半導體電晶體QB),然而,相關領域的技術人員應理解,在不脫離本公開的精神和範圍的情況下,也可以在類比標準單元邊界308內包括被動元件。例如,第3B圖繪示在類比標準單元邊界308 內具有高電阻(High-Resistance,High-R)多晶矽電阻的類比標準單元320,而第3C圖繪示在類比標準單元邊界308內具有金屬-氧化物-金屬(Metal-Oxide-Metal,MOM)電容器的類比標準單元340。
佈局和佈線應用程式的示例性操作
第4A圖至第4D圖以圖形方式繪示根據本公開示例性實施例之佈局和佈線應用的示例性操作。第4A圖至第4D圖中所示的示例性實施例以圖形方式繪示了佈局和佈線應用的示例性操作(例如,如上述第1A圖至第1E圖中的佈局和佈線應用104將類比電路的示意圖450轉換為用於類比電路的電子架構設計佈局)。如第4A圖所示,類比電路包括P型金屬氧化物半導體電晶體Q1至Q8和N型金屬氧化物半導體電晶體Q9至Q16。在第4A圖所示的示例性實施例中,P型金屬氧化物半導體電晶體Q4和N型金屬氧化物半導體電晶體Q9形成類比電路402。P型金屬氧化物半導體電晶體Q1和Q2以及N型金屬氧化物半導體電晶體Q14形成類比電路404。P型金屬氧化物半導體電晶體Q3和Q10形成類比電路406。P型金屬氧化物半導體電晶體Q5和Q11形成類比電路408。P型金屬氧化物半導體電晶體Q6和Q7以及N型金屬氧化物半導體電晶體16形成類比電路410。P型金屬氧化物半導體電晶體Q8和N型金屬氧化物半導體電晶體Q12形成類比電 路412。N型金屬氧化物半導體電晶體Q13形成類比電路414。N型金屬氧化物半導體電晶體Q15形成類比電路416。
在第4A圖所示的示例性實施例中,佈局和佈線應用將類比電路402至416邏輯地分配給類比電路類別C1至C3。在此示例性實施例中,類比電路類別C1與具有電源連接的通用閘極和通用汲極配置相關聯。類比電路類別C2與具有電源和接地連接的通用門配置相關聯。類比電路類別C3與單個電晶體配置相關聯。如第4A圖所示,類比電路402、406、408和412具有帶有電源連接的通用閘極和汲極,並且邏輯地分配給類比電路類別C1。例如,P型金屬氧化物半導體電晶體Q4的閘極連接到N型金屬氧化物半導體電晶體Q9的閘極,P型金屬氧化物半導體電晶體Q4的汲極連接到N型金屬氧化物半導體電晶體Q9的汲極,並且P型金屬氧化物半導體電晶體Q4的源極連接到電源。類比電路404和410具有帶有電源和接地連接的通用汲極,並且邏輯地分配給類比電路類別C2。類比電路414和416具有單個電晶體,並且邏輯地分配給類比電路類別C3。
如第4B圖所示,佈局和佈線應用從電子架構設計佈局將實際區域454劃分成類比佈局位置456.1至456.8,以形成用於配置類比電路402至416的類比標準單元的平面圖。在第1C圖的示例性實施例中,將類比佈局位置456.1至456.8配置為一系列的行和一系列的列, 以形成類比佈局位置的矩陣。然而,在不脫離本公開的精神和範圍的情況下,對於相關領域的技術人員是顯而易見之類比佈局位置456.1至456.8的其他配置也是可能的。此後,佈局和佈線應用將類比電路402至416分配給類比佈局位置456.1至456.8之中對應的類比佈局位置。
如第4C圖所示,佈局和佈線應用從類比電路類別C1至C3中指定類比佈局位置456.1至456.8及其相應的類比電路類別。在第1D圖所示的示例性實施例中,分配給類比電路類別C1的類比電路402分配給類比佈局位置456.1,而分配給類比電路類別C2的類比電路410分配給類比佈局位置456.8。在此示例性實施例中,佈局和佈線應用將類比佈局位置456.1指定為類比電路類別C1並將類比佈局位置456.8指定為類比電路類別C2。
如第4D圖所示,佈局和佈線應用從類比標準單元庫110(如第1A圖所示)中檢索類比標準單元458.1至458.3。在第4D圖所示的示例性實施例中,類比標準單元458.1至458.3中的每個類比標準單元與類比電路類別C1至C3中的一個類別相關。此後,佈局和佈線應用將類比標準單元458.1至458.3放置在對應於類比電路類別C1至C3之類比佈局位置456.1至456.8中的類比佈局位置中。在第4D圖所示的示例性實施例,佈局和佈線應用檢索與類比電路類別C1相關聯的類比標準單元458.1,並將類比標準單元458.1放置到類似地分配給類比電路類別C1類比佈局位置456.1至456.4中。類似地, 佈局和佈線應用檢索與類比電路類別C3相關聯的類比標準單元458.3,並將類比標準單元458.3放置到類似地分配給類比電路類別C3的類比佈局位置456.6和456.7。一旦佈局和佈線應用放置了類比標準單元458.1至458.3,將根據示意圖連接類比標準單元458.1至458.3,以形成電子裝置的類比電路系統的電子架構設計佈局。
電子設計平台的示例性佈局和佈線應用的示例性操作控制流程
第5圖繪示根據本公開示例性實施例之用於示例性電子設計平台的示例性佈局和佈線應用的示例性操作的流程圖。本公開不限於此操作描述。其他操作控制流程皆在本公開的範圍和精神內。以下討論描述用於電子設計平台的示例性操作控制流程500(例如,在第1A圖中描述的電子設計平台100),以將示意圖的類比電路系統轉換為用於電子裝置的類比電路系統的電子架構設計佈局。
在操作502處,操作控制流程500將類比電路系統的類比電路(作為示例,例如,類比電路152.1至152.n)邏輯地分配給類比電路類別(作為示例,例如,類比電路類別C1至Ck)。操作控制流程500將每個類比電路邏輯地分配給與此類比電路具有相同的通用配置和/或通用佈置的多個類比電路類別中的一個對應的類比電路類別。在部分情況下,可以將一個或多個類比電路類別分配給多個類比電路。
在操作504,操作控制流程500將電子架構設計佈局中的實際區域劃分為類比佈局位置(作為示例,例如,類比佈局位置156.1至156.n),以形成用於類比電路的類比標準單元的配置的平面圖。
在操作506,操作控制流程500將類比電路分配給類比佈局位置中對應的類比佈局位置。在一個示例性實施例中,操作控制流程500使用示意圖作為指示圖,將類比電路分配給在實際區域中其對應的類比佈局位置,以使在示意圖中彼此相鄰的類比電路也在實際區域中相似地彼此相鄰。
在操作508,操作控制流程500將類比佈局位置指定給多個類比電路類別中其相應的類別。
在操作510中,操作控制流程500將類比標準單元(作為示例,例如,類比標準單元158.1至158.k)放置到在類比佈局位置中對應於其類比電路類別的類比佈局位置。例如,操作控制流程500將與第一類比電路類別相關聯的第一類比標準單元放置到類似地分配給第一類比電路類別的類比佈局位置中。類似地,佈局和佈線應用104將與第k類比電路類別相關聯的第k類比標準單元放置到類似地分配給第k類比電路類別的類比佈局位置中。一旦操作控制流程500放置了類比標準單元,則操作控制流程500根據示意圖連接類比標準單元,以形成用於電子裝置的類比電路的電子架構設計佈局。
用於實施示例性設計平台的示例性電腦系統
第6圖繪示根據本公開示例性實施例之用於實現示例性設計平台的示例性電腦系統的方塊圖。可以使用電腦系統600來實現電子設計平台100。然而,在部分情況下,可以使用一個以上的電腦系統600來實現電子設計平台100。在閱讀本公開之後,對於相關領域的技術人員來說,如何使用其他電腦系統和/或電腦架構來實施本實施例將變得顯而易見。
電腦系統600包括一個或多個處理器604(也稱為中央處理單元(central processing units,CPUs)),以執行如第1A圖所述之合成應用102、佈局和佈線應用104、模擬應用106和/或驗證應用108。一個或多個處理器604可連接到通訊基礎架構(或匯流排)606。在一個示例性實施例中,一個或多個處理器604中的一個或多個可為圖形處理單元(graphics processing unit,GPU)。圖形處理單元代表一種專用的電子電路,旨在快速地處理電子裝置上的數學密集型應用程式。圖形處理單元可具有高度並行的結構,此結構可以有效率地並行處理大數據塊(例如,電腦圖形應用程式、圖像和影像共有的數學密集型數據)。
電腦系統600還包括(一個或多個)使用者輸入/輸出裝置603(例如,監視器、鍵盤、指示裝置等),它們透過(一個或多個)使用者輸入/輸出介面602與通訊基礎架構606通訊。
電腦系統600還包括主記憶體(main memory)或初級憶體(primary memory)608(作為示例,例如,隨機存取記憶體(random-access memory,RAM)。主記憶體608可包括一層或多層快取記憶體(cache)。主記憶體608中儲存有控制邏輯(即,電腦軟體)和/或數據(例如,在上面第1A圖中描述的類比標準單元庫110)。電腦系統600還可以包括一個或多個輔助儲存裝置或記憶體(secondary storage devices or memory)610,以儲存如上所述之第1A圖中的類比標準單元庫110。一個或多個輔助儲存裝置或記憶體610可以包括例如硬碟驅動器(hard disk drive)612和/或可攜式儲存裝置(removable storage device)或可攜式儲存驅動器(removable storage drive)614。可攜式儲存驅動器614可以是軟碟驅動器、磁帶驅動器、光碟驅動器、光學儲存裝置、磁帶備份裝置和/或任何其他儲存裝置/驅動器。可攜式儲存驅動器614可以與可攜式儲存單元(removable storage unit)618互相作用。可攜式儲存單元618包括其上儲存有電腦軟體(控制邏輯)和/或數據的電腦可用或可讀儲存裝置。可攜式儲存單元618可以是軟碟、磁帶、光碟、數位多功能光碟(DVD)、光儲存碟和/或任何其他電腦數據儲存裝置。可攜式儲存驅動器614以眾所周知的方式從可攜式儲存單元618中讀取和/或寫入可攜式儲存單元618。
根據示例性實施例,一個或多個輔助儲存裝置或記 憶體610可包括允許電腦系統600存取電腦程式和/或其他指令和/或數據的其他裝置、媒介或其他方法。這些裝置、媒介或其他方法可以包括例如可攜式儲存單元622和介面620。可攜式儲存單元622和介面620的示例可以包括程式卡匣和卡匣介面(例如,在電動遊戲裝置中的程式卡匣和卡匣介面)、可攜式記憶體晶片(例如,可抹除可程式化唯讀記憶體(erasable programmable read only memory,EPROM)或可程式化唯讀記憶體(programmable read only memory,PROM))和相關的插槽、記憶卡(memory stick)和通用序列匯流排(USB)埠、記憶卡和相關的記憶卡插槽和/或任何其他可攜式儲存單元和相關的介面。
電腦系統600還可包括通訊或網路介面624。通訊或網路介面624使電腦系統600能夠與遠端裝置628(亦可為遠端網路、遠端實體等任何組合)進行通訊和交互。例如,通訊或網路介面624可以允許電腦系統600透過通訊路徑626與遠端裝置628通訊,此通訊路徑626可以是有線的和/或無線的,並且可以包括區域網路(LANs)、廣域網路(WANs)、網際網路(Internet)等的任何組合。可以經由通訊路徑626將控制邏輯和/或數據傳送到電腦系統600或者可以經由通訊路徑626從電腦系統600傳送控制邏輯和/或數據。在示例性實施例中,遠端裝置628可以包括執行如上所述之第1A圖中的電子設計平台100的一個或多個電腦裝置、處理器、控制器或在 不脫離本公開的精神和範圍的情況下對於相關領域的技術人員來說顯而易見的其他裝置。在另一示例性實施例中,遠端裝置628可以包括執行如上所述之第1A圖的電子設計平台100的一個或多個電腦裝置、處理器、控制器或在不脫離本公開的精神和範圍的情況下對於相關領域的技術人員而言是顯而易見的其他裝置。
在一個實施例中,在本文中亦將包括在其上儲存有控制邏輯(軟體)的非暫態電腦可讀取媒體的有形裝置或產品稱為電腦程式產品或程式儲存裝置。這包括但不限於電腦系統600、主記憶體608、輔助儲存裝置或記憶體610以及可攜式儲存單元618和622,以及體現上述任意組合的有形產品。當由一個或多個數據處理裝置(例如,電腦系統600)執行時,這種控制邏輯使這種數據處理裝置如本文所述地進行操作。
基於本公開的教導,對於相關領域的技術人員而言,如何使用除了第6圖所示之外的數據處理裝置、電腦系統和/或電腦架構來製造和使用本公開將是顯而易見的。特別地,實施例可以與除本文描述的那些之外的軟體、硬體和/或操作系統一起執行。
結論
前述的實施方式公開了一種用於建置電子裝置的類比電路的電子架構設計佈局的系統。此系統包括記憶體和處理器。記憶體儲存類比標準單元。處理器執行佈局和 佈線應用。當佈局和佈線應用由處理器執行時,會使處理器執行以下操作:將多個類比電路中的一個類比電路邏輯地分配給多個類比電路類別中的一個類比電路類別,多個類比電路類別中的每個類比電路類別與一個通用配置和/或一個通用佈置相關聯,將電子架構設計佈局中的實際區域劃分為多個類比佈局位置,將此類比電路分配給多個類比佈局位置中的一個類比佈局位置,指定此類比佈局位置與此類比電路類別相關聯,並將與多個類比電路類別中的此類比標準單元相關聯的一個類比標準單元中放置在此類比佈局位置。
在一些實施例中,上述系統中當由該處理器執行該佈局和佈線應用時,該佈局和佈線應用用以使該處理器利用類比電路系統的一示意圖,以從類比電路系統的示意圖中將類比電路邏輯地分配給類比電路類別。
在一些實施例中,上述系統中當由該處理器執行該佈局和佈線應用時,佈局和佈線應用用以使處理器從一周邊裝置接收一輸入,以將類比電路邏輯地分配給類比電路類別。
在一些實施例中,上述系統中通用配置或通用佈置包含通用閘極連接、通用汲極連接、通用源極連接、通用體連接、單一P型/N型金屬氧化物半導體、電源連接、或接地連接。
在一些實施例中,上述系統中類比標準單元的特徵在於具有均勻的單元高度。
在一些實施例中,上述系統中均勻的單元高度包含一單元高度,單元高度具有用於形成複數個P型金屬氧化物半導體電晶體的一第一水平主動擴散區域和用於形成複數個N型金屬氧化物半導體電晶體的一第二水平主動擴散區域。
在一些實施例中,上述系統中當由處理器執行佈局和佈線應用時,佈局和佈線應用用於使處理器將實際區域劃分為一系列的行和一系列的列,以形成一類比佈局位置的矩陣。
在一些實施例中,上述系統中當由處理器執行佈局和佈線應用時,佈局和佈線應用用於使處理器使用該類比電路系統的一示意圖作為一指示圖,將類比電路分配給類比佈局位置。
前述實施方式另外公開了一種用於建置電子裝置的類比電路的電子架構設計佈局的方法。此方法包括透過執行佈局和佈線應用的處理器將多個類比電路中的一個類比電路邏輯地分配給多個類比電路類別中的一個類比電路類別,多個類比電路類別中的每個類比電路類別與一個通用配置和/或一個通用佈置相關聯;透過處理器,從多個類比標準單元中檢索與此類比電路類別相關聯的一個類比標準單元;並且,透過處理器,將此類比標準單元放入多個類比佈局位置中分配給此類比電路的一個類比佈局位置。
在一些實施例中,上述方法中邏輯地分配操作包含利用類比電路系統的一示意圖將類比電路邏輯地分配給類 比電路類別。
在一些實施例中,上述方法中類比標準單元的特徵在於具有一均勻的單元高度。
在一些實施例中,上述方法中均勻的單元高度包含一單元高度,單元高度具有用於形成複數個P型金屬氧化物半導體電晶體的一第一水平主動擴散區域和用於形成複數個N型金屬氧化物半導體電晶體的一第二水平主動擴散區域。
前面的實施方式進一步公開了一種其上具有電腦程式碼的非暫態電腦可讀取媒體,當由處理器執行時,此電腦程式碼使處理器執行操作。這些操作包括:將電子裝置的多個類比電路中的一個類比電路邏輯地分配給多個類比電路類別中的一個類比電路類別,多個類比電路類別中的每個類比電路類別均與一個通用配置和/或一個通用佈置相關聯;從多個類比標準單元中檢索與此類比電路類別相關的一個類比標準單元;將此類比標準單元放入多個類比佈局位置中分配給此類比電路的一類比佈局位置;在電子裝置中,從多個數位標準單元中檢索一個數位標準單元,其中此數位標準單元與多個數位電路中的一個數位電路相關聯;將此數位標準單元放置到多個數位佈局位置中的一個數位佈局位置中;並且連接此類比標準單元和此數位標準單元以形成電子裝置的電子架構設計佈局。
在一些實施例中,非暫態電腦可讀取媒體中邏輯地分配包含利用類比電路系統的一示意圖,從類比電路系統 的示意圖中將該類比電路邏輯地分配給該類比電路類別。
在一些實施例中,非暫態電腦可讀取媒體中邏輯地分配包含接收來自一周邊裝置的輸入,以將類比電路邏輯地分配給類比電路類別。
在一些實施例中,非暫態電腦可讀取媒體中的通用配置或通用佈置包含通用閘極連接、通用汲極連接、通用源極連接、通用體連接、單一P型/N型金屬氧化物半導體、電源連接、或接地連接。
在一些實施例中,非暫態電腦可讀取媒體中類比標準單元的特徵在於具有一均勻的單元高度。
在一些實施例中,非暫態電腦可讀取媒體中均勻的單元高度包含一單元高度,單元高度具有用於形成複數個P型金屬氧化物半導體電晶體的一第一水平主動擴散區域和用於形成複數個N型金屬氧化物半導體電晶體的一第二水平主動擴散區域。
在一些實施例中,非暫態電腦可讀取媒體中更包含從電子架構設計佈局中將實際區域劃分為複數個類比佈局位置,將類比電路分配給類比佈局位置中的類比佈局位置,以及將類比佈局位置指定為與類比電路類別相關聯。
前面的實施方式概述了幾個實施例的特徵,使得本領域普通技術人員可以更好地理解本公開的各方面。本領域普通技術人員應該理解,他們可以容易地將本公開用作設計或修改其他過程和結構的基礎,以實現與本文介紹的實施例相同的目的和/或實現相同的益處。本領域普通技術 人員還應該理解,這樣的等同構造不脫離本公開的精神和範圍,並且在不背離本公開的精神和範圍的情況下,它們可以在本文中進行各種改變、替換和變更。
500:操作控制流程
502:操作
504:操作
506:操作
508:操作
510:操作

Claims (10)

  1. 一種電子架構設計佈局建置系統,用於建置一電子裝置的一類比電路系統的一電子架構設計佈局,該電子架構設計佈局建置系統包含:一記憶體,儲存複數個類比標準單元;以及一處理器,用以執行一佈局和佈線應用,當由該處理器執行該佈局和佈線應用時,該處理器用以:創建複數個類比電路類別,該些類比電路類別中的每一個類比電路類別與複數個通用配置中的一相關通用配置相關聯及/或與複數個通用佈置中的一相關通用佈置相關聯;將該類比電路系統的複數個類比電路中的一類比電路邏輯地分配給該些類比電路類別中的一類比電路類別,該類比電路類別與作為該類比電路的一配置的一類似相應通用配置相關聯及/或與作為該類比電路的一佈置的一類似相應通用佈置相關聯;從該電子架構設計佈局將一實際區域劃分為複數個類比佈局位置;從該些類比佈局位置中將該類比電路分配給一類比佈局位置;將該類比佈局位置指定為與該類比電路類別相關聯;以及從該些類比標準單元中將與該類比電路類別相關聯的一類比標準單元放置到該類比佈局位置。
  2. 如請求項1所述之電子架構設計佈局建置系統,其中當由該處理器執行該佈局和佈線應用時,該佈局和佈線應用用以使該處理器:利用該類比電路系統的一示意圖,以將該類比電路邏輯地分配給該類比電路類別;以及從一周邊裝置接收一輸入,以將該類比電路邏輯地分配給該類比電路類別。
  3. 如請求項1所述之電子架構設計佈局建置系統,其中該些類比標準單元的特徵在於具有一均勻的單元高度,該均勻的單元高度包含一單元高度,該單元高度具有用於形成複數個P型金屬氧化物半導體電晶體的一第一水平主動擴散區域和用於形成複數個N型金屬氧化物半導體電晶體的一第二水平主動擴散區域;以及該通用配置或該通用佈置包含:一通用閘極連接;一通用汲極連接;一通用源極連接;一通用體連接;一單一P型金屬氧化物半導體;一單一N型金屬氧化物半導體;一電源連接;或 一接地連接。
  4. 如請求項1所述之電子架構設計佈局建置系統,其中當由該處理器執行該佈局和佈線應用時,該佈局和佈線應用用於使該處理器:將該實際區域劃分為一系列的行和一系列的列,以形成一類比佈局位置的矩陣;或使用該類比電路系統的一示意圖作為一指示圖,將該類比電路分配給該類比佈局位置。
  5. 一種電子架構設計佈局建置方法,用於建置一電子裝置的一類比電路系統的一電子架構設計佈局,該方法包含:透過一處理器執行一佈局和佈線應用創建複數個類比電路類別,該些類比電路類別中的每一個類比電路類別與複數個通用配置中的一相關通用配置相關聯及/或與複數個通用佈置中的一相關通用佈置相關聯;透過該處理器將該類比電路系統的複數個類比電路中的一類比電路邏輯地分配給該些類比電路類別中的一類比電路類別,該類比電路類別與作為該類比電路的一配置的一類似相應通用配置相關聯及/或與作為該類比電路的一佈置的一類似相應通用佈置相關聯;透過該處理器從複數個類比標準單元中檢索與該類比電路類別相關聯的一類比標準單元;以及 透過該處理器將該類比標準單元放置到複數個類比佈局位置中分配給該類比電路系統的一類比佈局位置。
  6. 如請求項5所述之電子架構設計佈局建置方法,其中該邏輯地分配包含:利用該類比電路系統的一示意圖將該類比電路邏輯地分配給該類比電路類別;以及接收來自一周邊裝置的一輸入,以將該類比電路邏輯地分配給該類比電路類別,其中該些類比標準單元的特徵在於具有一均勻的單元高度,該均勻的單元高度包含一單元高度,該單元高度具有用於形成複數個P型金屬氧化物半導體電晶體的一第一水平主動擴散區域和用於形成複數個N型金屬氧化物半導體電晶體的一第二水平主動擴散區域。
  7. 一種其上具有電腦程式碼的一非暫態電腦可讀取媒體,當該非暫態電腦可讀取媒體由一處理器執行時會使該處理器執行複數個操作,該些操作包含:創建複數個類比電路類別,該些類比電路類別中的每一個類比電路類別與複數個通用配置中的一相關通用配置相關聯及/或與複數個通用佈置中的一相關通用佈置相關聯;將一電子裝置的複數個類比電路中的一類比電路邏輯地分配給該些類比電路類別中的一類比電路類別,該類比電 路類別與作為該類比電路的一配置的一類似相應通用配置相關聯及/或與作為該類比電路的一佈置的一類似相應通用佈置相關聯;從複數個類比標準單元中檢索與該類比電路類別相關聯的一類比標準單元;將該類比標準單元放置在複數個類比佈局位置中分配給該類比電路系統的一類比佈局位置中;從複數個數位標準單元中檢索一數位標準單元,該數位標準單元與該電子裝置的複數個數位電路中的一數位電路相關聯;將該數位標準單元放置到複數個數位佈局位置中的一數位佈局位置;以及連接該類比標準單元和該數位標準單元以形成該電子裝置的一電子架構設計佈局。
  8. 如請求項7所述之非暫態電腦可讀取媒體,其中該邏輯地分配包含:利用該類比電路系統的一示意圖將該類比電路邏輯地分配給該類比電路類別;或接收來自一周邊裝置的一輸入,以將該類比電路邏輯地分配給該類比電路類別。
  9. 如請求項7所述之非暫態電腦可讀取媒體,其中該些類比標準單元的特徵在於具有一均勻的單元高度, 該均勻的單元高度包含一單元高度,該單元高度具有用於形成複數個P型金屬氧化物半導體電晶體的一第一水平主動擴散區域和用於形成複數個N型金屬氧化物半導體電晶體的一第二水平主動擴散區域。
  10. 如請求項7所述之非暫態電腦可讀取媒體,其中該些操作更包含:從該電子架構設計佈局中將實際區域劃分為複數個類比佈局位置;將該類比電路分配給該些類比佈局位置中的該類比佈局位置;以及將該類比佈局位置指定為與該類比電路類別相關聯。
TW109125746A 2019-07-31 2020-07-30 電子架構設計佈局建置系統、電子架構設計佈局建置方法及非暫態電腦可讀取媒體 TWI749670B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/527,295 2019-07-31
US16/527,295 US10878160B1 (en) 2019-07-31 2019-07-31 Analog cells utilizing complementary mosfet pairs

Publications (2)

Publication Number Publication Date
TW202109337A TW202109337A (zh) 2021-03-01
TWI749670B true TWI749670B (zh) 2021-12-11

Family

ID=74039920

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125746A TWI749670B (zh) 2019-07-31 2020-07-30 電子架構設計佈局建置系統、電子架構設計佈局建置方法及非暫態電腦可讀取媒體

Country Status (3)

Country Link
US (3) US10878160B1 (zh)
CN (1) CN112307702B (zh)
TW (1) TWI749670B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10878160B1 (en) * 2019-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Analog cells utilizing complementary mosfet pairs
KR20230136262A (ko) * 2022-03-18 2023-09-26 삼성전자주식회사 레이아웃 설계 장치
CN115130422B (zh) * 2022-05-24 2023-10-17 清华大学 标准单元的自动构建方法及装置、终端和存储介质
CN115544935B (zh) * 2022-10-20 2023-04-28 北京超摩科技有限公司 一种多种电平输出的串行接口发送端驱动装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090300570A1 (en) * 2006-07-17 2009-12-03 Syncira Corporation Interactive hierarchical analog layout synthesis for integrated circuits
TW201842647A (zh) * 2006-03-09 2018-12-01 美商泰拉創新股份有限公司 半導體晶片及積體電路製造方法
TW201926684A (zh) * 2017-11-30 2019-07-01 美商英特爾股份有限公司 用於先進積體電路結構製造的差異化電壓臨界金屬閘極結構

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5838583A (en) * 1996-04-12 1998-11-17 Cadence Design Systems, Inc. Optimized placement and routing of datapaths
US7210113B2 (en) * 2004-04-23 2007-04-24 Lsi Logic Corporation Process and apparatus for placing cells in an IC floorplan
US7386823B2 (en) * 2005-07-20 2008-06-10 Springsoft, Inc. Rule-based schematic diagram generator
US7665054B1 (en) * 2005-09-19 2010-02-16 Cadence Design Systems, Inc. Optimizing circuit layouts by configuring rooms for placing devices
US7469389B2 (en) * 2005-10-07 2008-12-23 Kawasaki Microelectronics, Inc. Standard cell library, method of designing semiconductor integrated circuit, semiconductor integrated circuit pattern, and semiconductor integrated circuit
US7823116B2 (en) * 2006-07-17 2010-10-26 Syncira Corporation Hierarchical analog layout synthesis and optimization for integrated circuits
US8266571B2 (en) * 2008-06-10 2012-09-11 Oasis Tooling, Inc. Methods and devices for independent evaluation of cell integrity, changes and origin in chip design for production workflow
US9292644B2 (en) * 2011-08-12 2016-03-22 William Loh Row based analog standard cell layout design and methodology
US8667444B2 (en) * 2012-02-17 2014-03-04 Synopsys, Inc. Concurrent placement and routing using hierarchical constraints
US8799841B2 (en) * 2012-03-29 2014-08-05 Fujitsu Limited Designing analog circuits
KR102303301B1 (ko) * 2014-12-18 2021-09-16 삼성전자주식회사 반도체 장치의 설계 방법 및 설계 시스템
US10970450B2 (en) * 2016-11-29 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structures and semiconductor devices having same
US10474782B1 (en) * 2017-08-30 2019-11-12 Cadence Design Systems, Inc. Layout placement mapping from schematic placement of circuit cells
DE102017127276A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
US10580730B2 (en) * 2017-11-16 2020-03-03 International Business Machines Corporation Managed integrated circuit power supply distribution
US10808333B2 (en) * 2018-01-08 2020-10-20 Totic Technology Inc. Method and apparatus for performing layout designs using stem cells
US11152347B2 (en) * 2018-04-13 2021-10-19 Qualcomm Incorporated Cell circuits formed in circuit cells employing offset gate cut areas in a non-active area for routing transistor gate cross-connections
US11392748B2 (en) * 2018-09-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using fuzzy machine learning
US10878160B1 (en) * 2019-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Analog cells utilizing complementary mosfet pairs
US11681847B2 (en) * 2020-07-07 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device and system for same
US11416660B1 (en) * 2020-12-30 2022-08-16 Cadence Design Systems, Inc. Automatic placement of analog design components with virtual grouping

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201842647A (zh) * 2006-03-09 2018-12-01 美商泰拉創新股份有限公司 半導體晶片及積體電路製造方法
US20090300570A1 (en) * 2006-07-17 2009-12-03 Syncira Corporation Interactive hierarchical analog layout synthesis for integrated circuits
TW201926684A (zh) * 2017-11-30 2019-07-01 美商英特爾股份有限公司 用於先進積體電路結構製造的差異化電壓臨界金屬閘極結構

Also Published As

Publication number Publication date
US20230186008A1 (en) 2023-06-15
US10878160B1 (en) 2020-12-29
US12073167B2 (en) 2024-08-27
TW202109337A (zh) 2021-03-01
US20210224459A1 (en) 2021-07-22
CN112307702B (zh) 2024-09-13
US11574104B2 (en) 2023-02-07
CN112307702A (zh) 2021-02-02

Similar Documents

Publication Publication Date Title
TWI749670B (zh) 電子架構設計佈局建置系統、電子架構設計佈局建置方法及非暫態電腦可讀取媒體
US10007750B2 (en) Gate pad layout patterns for masks and structures
TWI782217B (zh) 積體電路
US10289789B2 (en) System for designing integrated circuit layout and method of making the integrated circuit layout
US8769452B2 (en) Parasitic extraction in an integrated circuit with multi-patterning requirements
US11093681B2 (en) Method and system for generating layout design of integrated circuit
JP4580006B2 (ja) 半導体集積回路のマスクレイアウト設計データの検証方法
US20170308639A1 (en) Method for analyzing ir drop and electromigration of ic
TW202123056A (zh) 使用帶有金屬線的胞元的電路設計
KR20180028252A (ko) 집적 회로 설계 시스템 및 집적 회로의 제조 방법
TW201826155A (zh) 積體電路、製造其的電腦實施方法以及定義其的標準元件
US9293450B2 (en) Synthesis of complex cells
JP6316311B2 (ja) パターンベースの電源グランド(pg)ルーティングおよびビア生成
US20190121931A1 (en) Layout for integrated circuit and the integrated circuit
JP2010010515A (ja) 半導体装置およびその試験方法
TWI738336B (zh) 邊界單元的布局方法及裝置以及積體電路
US20230315968A1 (en) Boundary cell
US11314914B2 (en) Method and non-transitory computer readable medium of operating an electronic design automation platform for an optimal intgrated circuit design
CN113779923A (zh) 具有最佳化胞元布置的装置布局
TW202305946A (zh) 自動化標準胞元設計之方法