TWI794548B - 改善光阻粗糙度及移除光阻浮渣的電漿處理方法 - Google Patents

改善光阻粗糙度及移除光阻浮渣的電漿處理方法 Download PDF

Info

Publication number
TWI794548B
TWI794548B TW108137249A TW108137249A TWI794548B TW I794548 B TWI794548 B TW I794548B TW 108137249 A TW108137249 A TW 108137249A TW 108137249 A TW108137249 A TW 108137249A TW I794548 B TWI794548 B TW I794548B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
photoresist
roughness
plasma
Prior art date
Application number
TW108137249A
Other languages
English (en)
Other versions
TW202032277A (zh
Inventor
朴玩哉
高明輝
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202032277A publication Critical patent/TW202032277A/zh
Application granted granted Critical
Publication of TWI794548B publication Critical patent/TWI794548B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01017Chlorine [Cl]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在後續蝕刻製程之前將可能存在線寬粗糙度(LWR)及線緣粗糙度(LER)或浮渣的圖案化光阻層(例如EUV光阻層)以電漿處理步驟加以處理。電漿處理步驟降低LWR、LER、及/或光阻浮渣。在一示例性實施例中,電漿處理可包含使用具有硼及鹵素化合物之氣體加以形成的電漿。在一實施例中,氣體化合物可為硼及氯化合物,例如三氯化硼(BCl3 )氣體。在另一實施例中,氣體化合物可為硼及氟化合物,例如Bx Fy 氣體。電漿處理製程可藉由將粗糙度從光阻表面移除以及將可能造成浮渣之光阻殘渣移除來修整光阻表面以改善LWR、LER及浮渣效應。

Description

改善光阻粗糙度及移除光阻浮渣的電漿處理方法
本申請案請求以下申請案的優先權:於2018年10月26日提交之美國臨時專利申請案第62/751,357號,發明名稱為「Plasma Treatment Method to Improve Photo Resist Roughness And Remove Photo Resist Scum」;以及於2018年12月3日提交之美國臨時專利申請案第62/774,563號,其發明名稱為「Plasma Treatment Method to Improve Photo Resist Roughness and Remove Photo Resist Scum」,上述全部揭示內容通過引用於此納入。
本揭露相關於諸如半導體基板之基板的處理。特別是,本揭露提供用以利用非常窄間距之技術將基板圖案化的新方法,例如:用於極紫外線(EUV)微影、多重圖案化微影方案(諸如自對準雙重圖案化微影(SADP)、自對準三重圖案化微影(SATP)、自對準四重圖案化微影(SAQP)等等)、氟化氬(ArF)微影、或其他窄間距圖案化方法。
隨著基板處理中的幾何圖案持續縮小,經由光微影技術以在基板上形成結構的技術挑戰隨之增加。隨著對於較低間距之結構的需求提升,各種光微影技術已用於針對窄間距達成合適之光微影,包含:EUV微影(利用在EUV範圍之光波長的微影,通常是13.5奈米波長)、多重圖案化方案、ArF微影、使用蝕刻修整步驟之圖案化方案等等。
已發現的是,隨著間距及尺寸減少,在圖案轉移製程期間,線寬粗糙度(LWR)及線緣粗糙度(LER)的表現會降低。再者,在光阻應受移除之區域中的光阻浮渣的形成亦增加。因此,隨著特徵部尺寸的縮減,LWR及LER已被視為關鍵的考量。更進一步光阻浮渣的考量已提升。對於EUV及ArF微影,LWR、LER及浮渣的影響已變得特別有問題。
舉例而言,圖1A及1B描繪具有劣化之EUV光阻線的圖案化基板的部分的上視圖。如這些圖中所示,習知製程之LWR/LER劣化係由在轉移至光阻之圖案中有「擺動(wiggle)」及粗糙邊緣的劣化的線加以描繪。再者,浮渣可能在會導致圖案橋接部的線之間形成。舉例而言,如圖1A中所示,圖案化線102描繪了大LWR。如圖1B中所示,圖案化線104描繪了大LER及在圖案化線104之間的浮渣106的區域。
將期望的是,提供一種降低LWR、LER、及/或減少光阻浮渣的微影技術。
此處所述為執行光微影圖案轉移的新方法。基板設有例如光阻層的圖案化層,該圖案化層可能呈現LWR、LER、及/或浮渣。在一示例性實施例中,圖案化層可能係EUV光阻層。在所揭露之方法中,在將光阻層圖案化之後(例如顯影之光阻層),在後續蝕刻製程之前提供電漿處理,且該電漿處理降低LWR、LER、及/或光阻浮渣。在一示例性實施例中,電漿處理可包含使用具有硼及鹵素化合物的氣體所形成的電漿。在一實施例中,該氣體化合物可為硼及氯化合物。在一示例性實施例中,用以處理該圖案化光阻的電漿可包括使用三氯化硼(BCl3)氣體所形成之電漿。在另一實施例中,氣體化合物可為硼及氟化合物,例如BxFy氣體。在另一實施例中,電漿可為以硼氣體所形成。電漿處理 製程可藉由將粗糙度從光阻表面移除以及將可能造成浮渣之光阻殘渣移除來修整光阻表面以改善LWR、LER及浮渣效應。
在一實施例中,揭露一種處理基板的方法。該方法可包含:為該基板提供至少一下層;以及為該基板提供覆蓋該下層的一圖案化光阻層。該方法更包含:以電漿處理該光阻層,以改善該圖案化光阻層之線寬粗糙度、線緣粗糙度、及/或浮渣特性,其中該電漿係至少使用包含硼之氣體加以形成。
在另一實施例中,揭露一種處理基板的方法。該方法可包含:為該基板提供至少一下層,該下層係一蝕刻目標層;以及為該基板提供覆蓋該下層的一圖案化極紫外線(EUV)光阻層。該方法更包含:以電漿處理該光阻層,以藉由將該圖案化光阻層的線寬粗糙度或線緣粗糙度降低至少30%,來改善該圖案化光阻層的該線寬粗糙度及/或該線緣粗糙度特性,其中該電漿係至少使用包含硼及鹵素之氣體加以形成。
在另一實施例中,揭露一種處理基板的方法。該方法可包含:為該基板提供至少一下層,該下層係一蝕刻目標層;以及為該基板提供覆蓋該下層的一圖案化極紫外線(EUV)光阻層。該方法更包含:在該下層及該圖案化極紫外線(EUV)光阻層之間提供至少一額外層。該方法更包含:以電漿處理該光阻層,以改善該圖案化光阻層的線寬粗糙度、線緣粗糙度及/或浮渣特性,其中該電漿係至少使用三氯化硼(BCl3)氣體加以形成。
102:圖案化線
104:圖案化線
106:浮渣
200:結構
202:下層
204:旋塗碳硬遮罩
206:矽抗反射塗層
208:圖案化線
210:粗糙表面區域
212:光阻浮渣
213:修整表面
218:平滑化表面
220:浮渣清除區域
302:曲線
304:曲線
306:曲線
308:曲線
310:曲線
402:光阻線
404:光阻線
藉由參考結合隨附圖式(其中相同索引號碼代表相同特徵部)的後續描述,能得到對本發明及其優點之更完整的了解。應注意的是,然而,該隨 附圖式只說明所揭露概念之示例性實施例,且由於所揭露之概念可容許其他等效實施例而因此不應視為對其範疇的限制。
圖1A描繪圖案化層之LWR的先前技術範例。
圖1B描繪圖案化層之LER及浮渣的先前技術範例。
圖2A描繪在光阻電漿處理之前的示例性圖案化光阻層。
圖2B描繪如此處所述之光阻電漿處理之後的示例性圖案化光阻層。
圖3描繪在電漿處理之前及在各種電漿處理之後的EUV光阻的傅立葉轉換紅外光譜(FTIR)分析。
圖4A描繪在電漿處理之前之EUV光阻圖案的LWR/LER。
圖4B描繪在BCl3電漿處理之後的圖4A的結構。
圖5-7描繪根據此處所述之技術的處理基板的範例方法。
此處所述為執行光微影圖案轉移的新方法。基板設有例如光阻層的圖案化層,該圖案化層可能呈現LWR、LER、及/或浮渣。在一示例性實施例中,圖案化層可能係EUV光阻層。在所揭露之方法中,在將光阻層圖案化之後(例如顯影之光阻層),在後續蝕刻製程之前提供電漿處理,且該電漿處理降低LWR、LER、及/或光阻浮渣。在一示例性實施例中,電漿處理可包含使用具有硼及鹵素化合物的氣體所形成的電漿。在一實施例中,該氣體化合物可為硼及氯化合物。在一示例性實施例中,用以處理該圖案化光阻的電漿可包括使用三氯化硼(BCl3)氣體所形成之電漿。在另一實施例中,氣體化合物可為硼及氟化合物,例如BxFy氣體。在另一實施例中,電漿可為以硼氣體所形成。電漿處理 製程可藉由將粗糙度從光阻表面移除以及將可能造成浮渣之光阻殘渣移除來修整光阻表面以改善LWR、LER及浮渣效應。
利用此處所述之技術的方法的示例性實施例可在圖2A及2B見到。如圖2A中所示,結構200設有具有圖案化線208之圖案化光阻層。在圖案化光阻層之下,可設有矽抗反射塗層(Si-ARC)206及旋塗碳(SOC)硬遮罩204。可更進一步提供各種下層202,包含意欲將光阻之圖案轉移至其上的目標蝕刻層。如精於本項技術者將可認知的,示於圖2A中的層僅為示例性的。舉例而言,所示之層可能全部構成基板的一部分,該基板可能包含許多未顯示之其他的圖案化及未圖案化的層。如圖2A中所示,光阻層在圖案化線208的表面上可能存在粗糙表面區域210,且在圖案化線208之間可能存在光阻浮渣212。
接著,可將結構200暴露於用以降低LWR、LER及/或光阻浮渣的電漿處理製程。一個示例性電漿處理可能為基於BCl3的電漿,但如此處所述地,可運用其他電漿。圖2B描繪電漿處理之後的結構200。由於電漿處理,如圖2B之平滑化表面218及浮渣清除區域220所示地,可將圖案化線208的光阻表面修整並平滑化且可將光阻浮渣移除。再者,可如修整表面213所示地將光阻層之圖案化線208的表面加以修整。
將光阻暴露於電漿處理可修整光阻表面及改變光阻表面化學性質。舉例而言,若是光阻主要由諸如碳-碳鍵及碳-氧鍵的碳鍵所構成,透過傅立葉轉換紅外光譜(FTIR)分析,本案發明人發現在EUV光阻上在BCl3電漿處理之後碳鍵(大約2359、2342cm-1)消失。舉例而言,如圖3中所示,顯示了經過BCl3處理及未經過BCl3處理之EUV光阻表面的FTIR結果,並與其他電漿處理比較。曲線302說明若是未進行電漿處理,EUV光阻表面之FTIR結果。曲線304說明在以BCl3電漿處理之後的EUV光阻之FTIR結果。曲線306、308、 310分別說明在以四氟化碳(CF4)、氬(Ar)及氫(H2)電漿處理之後的FTIR結果,注意,曲線310大體上與曲線302重疊。
圖4A及4B描繪了可從在具有30奈米間距之EUV光阻上的BCl3電漿處理所得見之改善。如圖4A所示,提供了在微影之後但在BCl3處理之前的光阻線402。如圖4B中所示,提供了在BCl3處理之後的光阻線404。圖4A中的LWR/LER在處理之前為2.94/4.45奈米。圖4B中的LWR/LER在處理之後為1.92/2.50奈米。因此,利用此處所述之技術,可將LWR降低30%或更多。同樣地,可將LER降低30%或更多。
此處所述之某些範例實施例係在使用EUV光阻的背景下加以呈現。在一個示例性實施例中,EUV光阻可為標準有機化學強化光阻(CAR)EUV光阻。然而,將可認知的是,此處所述之概念可與廣泛範圍之EUV光阻一起使用。此外,此處所述之概念可與其他光阻一同使用,包含:習知的紫外光阻、ArF光阻等等。因此,將可認知的是,儘管此處所述之概念以關於EUV微影技術加以提供,但此處所述之概念亦可應用於其他微影技術,包含具有比在EUV微影技術所能達成的那些而言更窄的間距的微影技術。
再者,儘管某些示例性實施例在此處以關於BCl3電漿加以描述,但亦可使用其他電漿。可使用的示例性電漿包含硼電漿。替代地,該電漿可以硼及鹵素的氣體化合物加以形成。在一個實施例中,硼及鹵素化合物可能為BxCly,而在其他實施例中可能為BxFy。再者,可將其他氣體結合含硼氣體加以利用。舉例而言,由於該電漿並不限於只具有含硼氣體,可將係惰性氣體(諸如氮或氬)的額外氣體添加至電漿。再者,該其他氣體並不限於惰性氣體。
在一示例性實施例中,可在100到300瓦(且較佳是100瓦)之上電極功率、10到100mT(且較佳是15mT)壓力、10-60℃(且較佳是20℃) 之靜電夾頭溫度、及100標準立方公分(SCCM)之氣流的製程條件進行BCl3電漿。
再者,儘管此處所示之範例係關於線圖案而加以描述,將可認知的是,此處所述之概念可用於孔洞圖案、塊狀圖案等等。因此,將可認知的是,此處所示之光阻層中的特定圖案僅為示例性的。
此處所述之光阻處理技術可與廣泛範圍之光微影結構及製程流程加以提供。此處所示之結構將可視為僅為示例性的。因此,將可認知的是,圖2中所示的結構僅為示例性的,且亦可使用其他層及層的組合。舉例而言,可在光阻層及蝕刻目標層之間提供一個、多於一個、或無其他的微影層。因此,將可認知的是,相關於圖2加以描述及顯示的特定層及厚度係僅為示例性的且可利用其他層及/或厚度。此外,蝕刻目標層可覆蓋基板的其他層。基板可為期望使用圖案化特徵部的任何基板。在一實施例中,基板可為已經經歷產生各種各樣之結構及層的多個半導體處理步驟的基板,該等層及結構全都在半導體處理領域中係已知的,且該等層及結構可視為基板的一部分。舉例而言,在一實施例中,基板可為具有一或更多半導體處理層形成於其上的半導體晶圓。在一實施例中,可將此處所揭露之概念用於後段製程(BEOL)處理步驟。在另一實施例中,可將此處所揭露之概念用於前段製程(FEOL)處理步驟。
如所提及的,將可認知的是,可改變中介微影層的特定堆疊而仍可得到此處所述之概念的好處。因此,舉例而言,可使用更多或更少的微影層。舉例而言,不需要使用抗反射層,或者可使用多層抗反射層(例如,抗反射塗層(ARC)及底層抗反射塗層(BARC)兩者的使用)。再者,如將在本領域中可認知的,每層的特定組成可能變化,且該等層可以各種方式沉積。同樣地,如圖2中所示之硬遮罩層的使用係選用性的。再者,由於此處所述之技術並不限於特 定材料,因此此處所述之技術可與在基板處理領域中已知的用於各種微影層及下層的各種各樣的材料的任何者一同使用。
圖5-7描繪此處所述之處理技術的使用的示例性方法。將可認知的是,圖5-7的實施例僅為示例性的且其他方法可利用此處所述之技術。再者,由於所述之步驟並不意圖為排他的,可將額外處理步驟添加至圖5-7中所示之方法。此外,由於可能發生不同順序及/或各步驟可結合進行或同時進行,因此步驟的順序並不限於圖中所示的順序。
圖5描繪處理基板的方法。該方法包含:步驟505,為基板提供至少一下層;及步驟510,為基板提供覆蓋該下層的圖案化光阻層。該方法更包含:步驟515,以電漿處理該光阻層以改善圖案化光阻層之線寬粗糙度、線緣粗糙度、及/或浮渣特性,其中該電漿係至少使用包含硼之氣體加以形成。
圖6描繪處理基板的方法。該方法包含:步驟605,為基板提供至少一下層,該下層係蝕刻目標層;及步驟610,為基板提供覆蓋該下層的圖案化極紫外線(EUV)光阻層。該方法更包含:步驟615,以電漿處理該光阻層,以藉由將線寬粗糙度或線緣粗糙度降低至少30%,來改善圖案化光阻層的線寬粗糙度及/或線緣粗糙度特性,其中該電漿係至少使用包含硼及鹵素之氣體加以形成。
圖7描繪處理基板的方法。該方法可包含:步驟705,為基板提供至少一下層,該下層係蝕刻目標層;及步驟710,為基板提供覆蓋該下層的圖案化極紫外線(EUV)光阻層。該方法亦包含:步驟715,在該下層及該圖案化極紫外線(EUV)光阻層之間提供至少一額外層。該方法更包含:步驟720,以電漿處理該光阻層,以改善該圖案化光阻層的線寬粗糙度、線緣粗糙度、及/或浮渣特性,其中該電漿係至少使用三氯化硼(BCl3)氣體加以形成。
本發明的進一步的調整及替代實施例將對參閱本說明之精於本項技術者而言顯而易見。因此,本說明應視為僅為說明性的,且係為了教示精於本項技術者執行本發明之方法。將可理解的是,此處所示及所述之發明的方法及形式應視為當前較佳實施例。可替換此處所描述及說明的等效技術且本發明的某些特徵可獨立於其他特徵的使用而加以運用,對於精於本項技術者而言在瞭解本發明之說明的益處之後,這全將是顯而易見的。

Claims (8)

  1. 一種處理基板的方法,該方法包含:為該基板提供至少一下層;為該基板提供覆蓋該下層的一圖案化光阻層;及以電漿處理該光阻層,以改善該圖案化光阻層之線寬粗糙度、線緣粗糙度、及/或浮渣特性,其中該電漿係至少使用包含硼及氟化合物之氣體加以形成,該硼及氟化合物為BxFy氣體。
  2. 如請求項1之處理基板的方法,其中該圖案化光阻層係氟化氬(ArF)光阻層。
  3. 一種處理基板的方法,該方法包含:為該基板提供至少一下層,該下層係一蝕刻目標層;為該基板提供覆蓋該下層的一圖案化極紫外線(EUV)光阻層;及以電漿處理該光阻層,以藉由將該圖案化光阻層的線寬粗糙度或線緣粗糙度降低至少30%,來改善該圖案化光阻層的該線寬粗糙度及/或該線緣粗糙度特性,其中該電漿係至少使用包含硼及氟化合物之氣體加以形成,該硼及氟化合物為BxFy氣體。
  4. 一種處理基板的方法,該方法包含:為該基板提供至少一下層,該下層係一蝕刻目標層;為該基板提供覆蓋該下層的一圖案化極紫外線(EUV)光阻層; 在該下層及該圖案化極紫外線(EUV)光阻層之間提供至少一額外層;及以電漿處理該光阻層,以改善該圖案化光阻層的線寬粗糙度、線緣粗糙度及/或浮渣特性,其中該電漿係至少使用包含硼及氟化合物之氣體加以形成,該硼及氟化合物為BxFy氣體。
  5. 如請求項4之處理基板的方法,其中該電漿係使用該硼及氟化合物及惰性氣體加以形成。
  6. 如請求項4之處理基板的方法,其中該至少一額外層包括一抗反射層或一硬遮罩層。
  7. 如請求項4之處理基板的方法,其中藉由處理該光阻層而將該線寬粗糙度減少至少30%。
  8. 如請求項4之處理基板的方法,其中藉由處理該光阻層而將該線緣粗糙度減少至少30%。
TW108137249A 2018-10-26 2019-10-16 改善光阻粗糙度及移除光阻浮渣的電漿處理方法 TWI794548B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862751357P 2018-10-26 2018-10-26
US62/751,357 2018-10-26
US201862774563P 2018-12-03 2018-12-03
US62/774,563 2018-12-03

Publications (2)

Publication Number Publication Date
TW202032277A TW202032277A (zh) 2020-09-01
TWI794548B true TWI794548B (zh) 2023-03-01

Family

ID=70325205

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137249A TWI794548B (zh) 2018-10-26 2019-10-16 改善光阻粗糙度及移除光阻浮渣的電漿處理方法

Country Status (3)

Country Link
US (1) US11372332B2 (zh)
KR (1) KR102523733B1 (zh)
TW (1) TWI794548B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182419A1 (en) * 2007-01-16 2008-07-31 Naoki Yasui Plasma processing method
US20110143170A1 (en) * 2009-12-10 2011-06-16 Applied Materials, Inc. Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
TW201229692A (en) * 2010-10-01 2012-07-16 Varian Semiconductor Equipment Method of reducing surface roughness of a resist feature, method of modifying roughness in a pattented resiste feature, and system for processing resist feature

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180015767A (ko) * 2011-11-04 2018-02-13 인텔 코포레이션 자기 정렬 캡의 형성 방법 및 장치
US9512517B2 (en) * 2015-01-23 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Multiple exposure treatment for processing a patterning feature
JP6411246B2 (ja) * 2015-03-09 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US9852923B2 (en) * 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182419A1 (en) * 2007-01-16 2008-07-31 Naoki Yasui Plasma processing method
US20110143170A1 (en) * 2009-12-10 2011-06-16 Applied Materials, Inc. Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
TW201229692A (en) * 2010-10-01 2012-07-16 Varian Semiconductor Equipment Method of reducing surface roughness of a resist feature, method of modifying roughness in a pattented resiste feature, and system for processing resist feature

Also Published As

Publication number Publication date
KR20200047423A (ko) 2020-05-07
TW202032277A (zh) 2020-09-01
KR102523733B1 (ko) 2023-04-20
US20200133133A1 (en) 2020-04-30
US11372332B2 (en) 2022-06-28

Similar Documents

Publication Publication Date Title
US8247302B2 (en) Methods of fabricating substrates
US7271108B2 (en) Multiple mask process with etch mask stack
US20180138078A1 (en) Method for Regulating Hardmask Over-Etch for Multi-Patterning Processes
US9472414B2 (en) Self-aligned multiple spacer patterning process
US20220367186A1 (en) Patterning scheme to improve euv resist and hard mask selectivity
JP2009529784A (ja) 集積回路の限界寸法を制御するトリム工程
JP5690882B2 (ja) 炭素質ハードマスクによる二重露光パターニング
US20060166108A1 (en) Method for etching a molybdenum layer suitable for photomask fabrication
TW533505B (en) Process for forming sub-lithographic photoresist features
KR102550498B1 (ko) 패턴 전사 및 리소그래피 결함을 감소시키기 위한 방법
JP5036726B2 (ja) フォトリソグラフィ用基体の改善されたエッチング方法
TW201923834A (zh) 半導體結構的形成方法
US20130022930A1 (en) Method for Reversing Tone of Patterns on Integrated Circuit and Patterning Sub-Lithography Trenches
TWI794548B (zh) 改善光阻粗糙度及移除光阻浮渣的電漿處理方法
TW202002000A (zh) 設計成使線寬粗糙度及線邊緣粗糙度最小化的臨界尺寸修整方法
KR20220156881A (ko) 마이크로 전자 소재의 공정 시에 euv 역 패터닝을 위한 방법
TWI821518B (zh) 藉由電漿選擇性沉積之線粗糙度改善方法
US20220319838A1 (en) Method of Line Roughness Reduction and Self-Aligned Multi-Patterning Formation Using Tone Inversion
KR101951456B1 (ko) 반도체 제조 공정에 있어서 미세 실리콘 패턴을 형성하기 위한 신규 식각방법
KR20080088988A (ko) 반도체 소자의 제조방법
KR20080085286A (ko) 반도체 소자의 패턴 형성 방법