TWI793659B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI793659B
TWI793659B TW110123982A TW110123982A TWI793659B TW I793659 B TWI793659 B TW I793659B TW 110123982 A TW110123982 A TW 110123982A TW 110123982 A TW110123982 A TW 110123982A TW I793659 B TWI793659 B TW I793659B
Authority
TW
Taiwan
Prior art keywords
layer
source
work function
drain region
ternary compound
Prior art date
Application number
TW110123982A
Other languages
English (en)
Other versions
TW202207363A (zh
Inventor
王菘豊
張旭凱
黃治融
董彥佃
朱家宏
沈澤民
斌彥 林
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202207363A publication Critical patent/TW202207363A/zh
Application granted granted Critical
Publication of TWI793659B publication Critical patent/TWI793659B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

揭露一種具有不同的接觸結構的配置以及製造方法的半導體裝置。此半導體裝置包括一基底、設置於基底上的一鰭片結構、設置於鰭片結構上的一閘極結構、設置相鄰於閘極結構的一源極/汲極(S/D)區、設置於源極/汲極區上一接觸結構,以及設置於三元化合物及源極/汲極區之間的一界面的一偶極層。接觸結構包括設置於源極/汲極區上的一三元化合物層、設置於三元化合物層上的一功函數金屬(WFM)矽化物層,以及設置於功函數金屬矽化物層上的一接觸插塞。

Description

半導體裝置及其製造方法
本發明實施例是關於一種半導體裝置及其製造方法,特別是關於一種半導體裝置中的接觸結構。
隨著半導體科技的進步,對於更高的儲存容量、更快的製程系統、更高的效能以及更低的成本的需求持續增加。為了滿足這些需求,半導體產業持續降低半導體裝置的尺寸,例如金屬─氧化物─半導體場效電晶體(metal oxide semiconductor field effect transistors;MOSFETs),包括平面式MOSFETs以及鰭式場效電晶體(fin field effect transistors;finFETs)。尺寸的下降增加了半導體製造製成的複雜度。
本發明實施例提供一種半導體裝置,包括一基底、設置於基底上的一鰭片結構、設置於鰭片結構上的一閘極結構、設置相鄰於閘極結構的一源極/汲極(S/D)區、設置於源極/汲極區上一接觸結構,以及設置於三元化合物及源極/汲極區之間的一界面的一偶極層。接觸結構包括設置於源極/汲極區上的一三元化合物層、設置於三元化合物層上的一功函數金屬(WFM)矽化物層,以及設置於功函數金屬矽化物層上的一接觸插塞。
本發明實施例提供一種半導體裝置,包括設置於一第一鰭片結構以及一第二鰭片結構上的一閘極結構、設置於第一鰭片結構以及第二鰭片結構上的一合併源極/汲極區、以及設置於合併源極/汲極區上的一接觸結構。接觸結構包括設置於合併源極/汲極區上的多個三元化合物群集、設置於三元化合物群集以及合併源極/汲極區上的功函數金屬矽化物層、以及設置於功函數金屬矽化物層上的接觸插塞。
本發明實施例提供一種半導體裝置形成方法,包括在一基底上形成一鰭片結構、在鰭片結構上形成一源極/汲極區、在源極/汲極區上形成一接觸開口、在接觸開口中形成一摻雜功函數金屬矽化物層、在摻雜功函數金屬矽化物層與源極/汲極區之間形成三元化合物層、以及在接觸開口中形成接觸插塞。
以下內容提供了許多不同的實施例或範例,用於實施所提供之標的之不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上或上方,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。如本文中所使用,在第二部件上形成第一部件意味著第一部件被形成為與第二部件直接接觸。此外,本發明實施例在不同範例中可重複使用參考數字及/或字母。此重複並非代表本文所討論的實施例及/或組態之間有特定的關係。
為了便於描述圖式中一個元件或部件與另一個(多個)元件或部件之間的關係,例如「下方的」、「在……下方」、「在……之下」、「在……上方」、「在……之上」、「上」等的空間相對用語,及前述之衍生用語可用於本文。這些空間相對用語旨在涵蓋包括部件的裝置之不同方位。當裝置被轉向至其他方位時(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
應注意的是,在說明書中對「一個實施例」、「一實施例」、「例示性實施例」、「例示性」等係表示所描述的實施 例可以包括特定的特徵、結構或特性,但是每個實施例可不需包括特定的特徵、結構或特性。此外,此種用語不一定代表相同的實施例。此外,當結合一實施例描述特定特徵、結構或特性時,不論是否明確地描述,可在本發明所屬技術領域中具有通常知識者的知識範圍內結合其他實施例來實現這種特徵、結構或特性。
應當理解,本文中的措辭或術語僅為描述而非限制,使得本說明書的術語或措辭將由相關領域中具有通常知識者根據本說明書的教導來解釋。
本文使用的用語「約」以及「實質上」可意指一給定數量(given quantity)的數值,可在此數值的±5%之間變動(例如:此數值的±1%、±2%、±3%、±4%、±5%)。這些數值僅為例示,且並非旨在限制。用語「約」以及「實質上」可指根據本文的教導,由相關領域中具有通常知識者所解釋的值的百分比。
本文揭露的鰭片結構可由任何適合的方法來圖案化。舉例來說,可透過使用一道或多道光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化鰭片結構。雙重圖案化或多重圖案化製程可結合光微影和自對準製程,以產生例如具有較小間距的圖案,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,犧牲層形成於基底上方並透過使用光微影製程來圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物將鰭片結構圖案化。
本發明實施例提供減少場效電晶體(FETs)(例如:鰭式場效電晶體(finFETs)、全繞式閘極(gate-all-around;GAA)場效電晶體等)的源極/汲極(S/D)區與源極/汲極接觸結構之間的接觸電阻的例示性結構與方法。源極/汲極區與源極/汲極接觸結構之間的接觸電阻與源極/汲極區的材料及源極/汲極接觸結構的矽化物層之間的蕭特基位障高度(Schottky barrier height;SBH)直接成正比。減少矽化物層以及源極/汲極區的材料之間的功函數值差異可減少源極/汲極區與源極/汲極接觸結構之間的蕭特基位障高度。在一些實施例中,由於n型場效電晶體與p型場效電晶體的源極/汲極區分別由n型材料與p型材料形成,n型場效電晶體與p型場效電晶體的源極/汲極接觸結構由彼此不同的矽化物層形成,以減少源極/汲極接觸結構以及源極/汲極區的不同材料之間的接觸電阻。
在一些實施例中,偶極層及/或三元化合物層可形成於源極/汲極區與矽化物層之間的界面以進一步減少源極/汲極區與源極/汲極接觸結構之間的蕭特基位障高度。偶極層及/或三元化合物層可藉由以金屬摻雜矽化物層來形成,此金屬的電負值(electronegativity value)小於矽化物層的金屬的電負值。金屬摻質可引發源極/汲極區的金屬摻質與半導體元件之間的偶極的形成。金屬摻質亦可引發源極/汲極區的金屬摻質、矽化物層的金屬、以及半導體元件之間的三元化合物的形成。相較於沒有界面偶極層及/或三元化合物的場效電晶體,此種界面偶極層及/或三元化合物的形成可減少場效電晶體的約50%至70%的接觸電阻,且因此改善場效電晶體的效能。
第1A圖根據一些實施例繪示場效電晶體100的等角視圖。根據一些實施例,場效電晶體100可具有不同的剖面視圖,如第1B至第1I圖所繪示。第1B、1D、1F以及1H圖繪示場效電晶體100沿著線A-A的剖面視圖,並且第1C、1E、1G以及1I圖繪示沿著第1A圖中的線B-B的剖面視圖以及為了簡化而並未顯示於第1A圖中的額外結構。出於說明目的顯示第1B至第1I圖的剖面視圖,並且可能並未按照比例繪製。第1J至第1K圖沿著第1B至第1I圖的線C-C與線D-D繪示濃度曲線。除非另外說明,否則第1A至1L圖中對於具有相同標註(annotations)的元件的說明彼此適用。在一些實施例中,場效電晶體100可代表n型場效電晶體100(NFET 100)或者p型場效電晶體100(PFET 100),並且除非另外說明,否則對場效電晶體100的說明適用於n型場效電晶體100和p型場效電晶體100。
請參考第1A圖,場效電晶體100可包括設置於鰭片結構106上的閘極結構112的陣列,以及設置於鰭片結構106的未被閘極結構112覆蓋的部分上的源極/汲極區110(在第1A圖中可見的源極/汲極區110之一)的陣列。場效電晶體100可進一步地包括閘極間隔物114、淺溝槽隔離(shallow trench isolation;STI)區116、蝕刻停止層(etch stop layer;ESL)117、層間介電質(interlayer dielectric;ILD)層118A、118B(為了簡化,第1A圖中未顯示層間介電質層118B;於第1B至1E圖中顯示層間介電質層118B)。層間介電質層118A可設置於蝕刻停止層117上。蝕刻停止層117可配置為保護閘極結構112及/或源極/汲極區110。在一些實施例中,閘極間隔物114、淺溝槽隔離區116、蝕刻停止層117以及層間介電質層118A、118B可包括絕緣材料,例如氧化矽、氮化矽(SiN)、碳氮化矽(SiCN)、碳氮氧化矽(SiOCN)以及氧化矽鍺。在一些實施例中,閘極間隔物114可具有約2nm至約9nm的厚度,以使得閘極結構112與相鄰的結構充分地電性隔離。
場效電晶體100可形成於基底104上。可以有其他的場效電晶體及/或結構(例如:隔離結構)形成於基底104上。基底104可為半導體材料,例如矽、鍺(Ge)、矽鍺(SiGe)、絕緣體上覆矽(silicon-on-insulator;SOI)結構、或上述的組合。進一步地,基底104可由p型摻質(例如硼、銦、鋁、或鎵)或n型摻質(例如磷或砷)摻雜。在一些實施例中,鰭片結構106可包括類似基底104的材料,並且沿著X軸延伸。
請參考第1B至1C圖,場效電晶體100可包括閘極結構112、源極/汲極區110以及設置於源極/汲極區110上的源極/汲極接觸結構120。閘極結構112可為多層結構。每個閘極結構112可包括界面氧化物(interfacial oxide;IO)層122、設置於界面氧化物層122上的高介電常數(high-K;HK)閘極介電層124、設置於高介電常數閘極介電層124上的功函數金屬(work function metal;WFM)層126、設置於功函數金屬層126上的閘極金屬填充層128、以及設置於高介電常數閘極介電層124、功函數金屬層126及閘極金屬填充層128上的閘極蓋層130。
界面氧化物層122可包括氧化矽(SiO2 )、氧化矽鍺(SiGeOx )、或氧化鍺(GeOx )。高介電常數閘極介電層124可包括高介電常數介電材料,例如氧化鉿(HfO2 )、氧化鈦(TiO2 )、氧化鋯(HfZrO)、氧化鉭(Ta2 O3 )、矽酸鉿(HfSiO4 )、氧化鋯(ZrO2 )以及矽酸鋯(ZrSiO2 )。以n型場效電晶體100來說,閘極結構112的功函數金屬層126可包括鈦鋁(TiAl)、碳化鈦鋁 (TiAlC)、鉭鋁(TaAl)、碳化鉭鋁(TaAlC)、摻雜Al的Ti、摻雜Al的TiN、摻雜Al的Ta、摻雜Al的TaN、其他適合的鋁基(Al-based)的材料、或上述的組合。以p型場效電晶體100來說,閘極結構112的功函數金屬層126可包括實質上不含Al的(例如:不含Al)的鈦基(Ti-based)或者鉭基(Ta-based)的氮化物或合金,例如氮化鈦(TiN)、氮化鈦矽(TiSiN)、鈦金(Ti-Au)合金、鈦銅(Ti-Cu)合金、氮化鉭(TaN)、氮化鉭矽(TaSiN)、鉭金(Ta-Au)合金、鉭銅(Ta-Cu)、以及上述的組合。閘極金屬填充層128可包括適合的導電材料,例如鎢(W)、Ti、銀(Ag)、釕(Ru)、鉬(Mo)、銅(Cu)、鈷(Co)、Al、銥(Ir)、鎳(Ni)、金屬合金、以及上述的組合。在一些實施例中,可藉由閘極蓋層130(可包括氮化物層)將閘極結構112與上方的互連結構(未顯示)電性隔離。
以n型場效電晶體100來說,每個源極/汲極區110可包括磊晶成長的半導體材料,例如Si,以及n型摻質,例如磷或其他適合的n型摻質。以p型場效電晶體100來說,各個源極/汲極區110可包括磊晶成長例如Si或SiGe的半導體材料,以及p型摻質,例如硼或其他適合的p型摻質。
源極/汲極接觸結構120設置於源極/汲極區110上。在一些實施例中,源極/汲極接觸結構120可包括(i) 設置於源極/汲極區110上的功函數金屬矽化物層132、(ii) 設置於功函數金屬矽化物層132上的氮化物蓋層136、(iii)設置於氮化物蓋層136上的接觸插塞134、(iv)設置於氮化物蓋層136的側壁上的金屬基襯層(metal-based liner)堆疊135、以及(v)設置於金屬基襯層堆疊135上的阻障層142。場效電晶體100更包括在功函數金屬矽化物層132與源極/汲極區110之間的界面(在本文亦稱為「界面132-110」)的偶極層(dipole layer)144。在一些實施例中,界面132-110可設置於源極/汲極區110中,且可與源極/汲極區110的表面110s並非共平面。
在一些實施例中,功函數金屬矽化物層132的頂面可在表面110s上方(如第1B至1C圖中所示),或者可實質上與表面110s共平面(未顯示)。在一些實施例中,功函數金屬矽化物層132與金屬基襯層堆疊135可形成角度A與角度B,如第1C圖中所示。角度A與角度B可彼此相似或不同,且可在約45度至約60度的範圍。在一些實施例中,以n型場效電晶體100來說,功函數金屬矽化物層132可包括金屬或金屬矽化物,比起源極/汲極區110的材料的價帶邊緣能量(valence band-edge energy),其功函數值較接近源極/汲極區110的導帶邊緣能量(conduction band-edge energy)。舉例來說,金屬或金屬矽化物可具有小於4.5eV的功函數值(例如:約3.5eV至約4.4eV),比起源極/汲極區110的矽基材料的價帶能量(例如:以矽來說,5.2eV),其更接近導帶能量(以矽來說,4.1eV)。在一些實施例中,以n型場效電晶體100來說,功函數金屬矽化物層132的金屬矽化物可包括矽化鈦(Tix Siy )、矽化鉭(Tax Siy )、矽化鉬(Mox Siy )、矽化鋯(Zrx Siy )、矽化鉿(Hfx Siy )、矽化鈧(Scx Siy )、矽化釔(Yx Siy )、矽化鋱(Tbx Siy )、矽化鎦(Lux Siy )、矽化鉺(Erx Siy )、矽化鐿(Ybx Siy )、矽化銪(Eux Siy )、矽化釷(Thx Siy )或上述的組合。
在一些實施例中,以p型場效電晶體100來說,功函數金屬矽化物層132可包括金屬或金屬矽化物,比起源極/汲極區110的材料的導帶邊緣能量,其功函數值較接近源極/汲極區110的價帶邊緣能量。舉例來說,金屬或金屬矽化物可具有大於4.5eV的功函數值(例如:約4.5eV至約5.5eV),比起源極/汲極區110的矽基材料的導帶能量(以矽來說,4.1eV),其更接近價帶能量(例如:以矽來說,5.2eV)。在一些實施例中,以p型場效電晶體100來說,功函數金屬矽化物層132的金屬矽化物可包括矽化鎳(Nix Siy )、矽化鈷(Cox Siy )、矽化錳(Mnx Siy )、矽化鎢(Wx Siy )、矽化鐵(Fex Siy )、矽化銠(Rhx Siy )、矽化鈀(Pdx Siy )、矽化釕(Rux Siy )、矽化鉑(Ptx Siy )、矽化銥(Irx Siy )、矽化鋨(Osx Siy )或上述的組合。
在一些實施例中,功函數金屬矽化物層132可進一步包括過渡金屬的摻質,其電負值小於功函數金屬矽化物層132中所包含的金屬矽化物的金屬的電負值。舉例來說,摻質可包括過渡金屬,例如鋯(Zr)、鉿(Hf)、鐿(Yb)、釔(Y)、鉺(Er)、鈰(Ce)、鈧(Sc)、以及上述的組合。在一些實施例中,一些摻質可擴散進入源極/汲極區110。摻質可在界面132-110引發偶極層144中的帶電偶極的形成。偶極層144可包括來自源極/汲極區110的矽離子以及來自功函數金屬矽化物層132中的摻質的過渡金屬離子的帶電偶極。舉例來說,當功函數金屬矽化物層132包括Zr、Hf、Yb、Y、Er、Ce或Sc摻質時,偶極層144可包括Zr-Si、Hf-Si、Yb-Si、Y-Si、Er-Si、Ce-Si或Sc-Si偶極。
在界面132-110由偶極層144中的偶極產生的電場可減少功函數金屬矽化物層132與源極/汲極區110之間的蕭特基位障高度(SBH),且因此減少源極/汲極接觸結構120與源極/汲極區110之間的接觸電阻。基於偶極層144中在界面132-110的偶極的類型與濃度,相較於沒有偶極層144的情況下,功函數金屬矽化物層132與源極/汲極區110之間的蕭特基位障高度可減少約35%至約70%。在一些實施例中,蕭特基位障高度可在約0.2eV至約0.4eV的範圍。在界面132-110的偶極濃度與功函數金屬矽化物層132及/或界面132-110中的摻質的濃度直接成正比。功函數金屬矽化物層132及/或界面132-110中的摻質的濃度可在約1原子百分比至約10原子百分比的範圍。低於此範圍的摻質濃度可能不會引發偶極層144中的偶極的形成。另一方面,若摻質濃度高於此範圍,則摻雜製程的容忍度及複雜度增加,且因此增加裝置製造成本。
根據一些實施例,摻質濃度可具有沿著第1B至1C圖中的線C-C的橫跨功函數金屬矽化物層132以及源極/汲極區110的輪廓146、148、及/或150,如第1J圖所示。當功函數金屬矽化物層132在不包含高溫(例如:溫度大於500°C)退火製程的摻雜製程中以過渡金屬(例如:Zr、Hf等)摻雜時,摻質濃度可具有輪廓146,其峰值摻質濃度C1在界面132-110,如以下所詳細描述。當功函數金屬矽化物層132在不包含高溫退火製程的摻雜製程中以非鋯基(non-Zr-based)過渡金屬(例如:Hf、Ce、Er等)摻雜時,摻質濃度可具有輪廓148。相較於鋯摻質,非鋯基過渡摻質在界面132-110可具有較低的熱力學穩定性,其可導致大量的非鋯基過渡摻質擴散遠離界面132-110且進入功函數金屬矽化物層132。結果,如第1J圖中所示,輪廓148的峰值摻質濃度可與界面132-110距離D1(例如:約0.1nm至約0.5nm),且在界面132-110可具有摻質濃度C2,其小於峰值摻質濃度C1。
在一些實施例中,當功函數金屬矽化物層132的摻雜包含高溫退火製程,由於摻質在界面132-110的熱力學穩定度較低,非鋯基摻質可進一步擴散進入功函數金屬矽化物層132,且具有摻質濃度輪廓150,如第1J圖所示。輪廓150的峰值摻質濃度可與界面132-110距離D2(例如:約0.2nm至約0.8nm),其大於距離D1,且在界面132-110可具有摻質濃度C3,其小於摻質濃度C2。由於界面132-110的摻質濃度與界面132-110的偶極濃度直接成正比,輪廓146的偶極層144中的偶極濃度可大於輪廓148與150,並且輪廓148的偶極層144中的偶極濃度可大於輪廓150。結果,輪廓146的功函數金屬矽化物層132與源極/汲極區110之間的蕭特基位障高度可低於輪廓148與150,且輪廓148的功函數金屬矽化物層132與源極/汲極區110之間的蕭特基位障高度可低於輪廓150。在一些實施例中,當功函數金屬矽化物層132以鋯金屬以及一種或多種非鋯基過渡金屬的組合摻雜時,沿著第1B至1C圖的線C-C橫跨的摻質濃度可具有輪廓146及148,或者可具有輪廓146及150。
現在請參考第1B至1C圖,接觸插塞134可包括導電材料,例如鈷(Co)、鎢(W)、釕(Ru)、銥(Ir)、鎳(Ni)、鋨(Os)、銠(Rh)、鋁(Al)、鉬(Mo)、銅(Cu)、 鋯(Zr)、錫(Sn)、銀(Ag)、金(Au)、鋅(Zn)、鎘(Cd)、以及上述的組合。可形成氮化物蓋層136以在後續的源極/汲極接觸件120的製程保護下方的層(例如功函數金屬矽化物層132)。金屬基襯層堆疊135可包括第一襯層138與第二襯層140。第一襯層138可為用於功函數金屬矽化物層132的形成的來源層(source layer)的一部分,如以下所詳細描述,且可包括功函數金屬矽化物層132的金屬或者可包括功函數金屬矽化物層132的金屬的氧化物。第二襯層140可為用於功函數金屬矽化物層132的摻雜的來源的一部分,如以下所詳細描述,且可包括功函數金屬矽化物層132中的摻質的過渡金屬或者可包括摻質的金屬的氧化物。在一些實施例中,第一及/或第二襯層138-140可能不存在於金屬基襯層堆疊135中,或者金屬基襯層堆疊135可能不存在於源極/汲極接觸結構120中(如第17B至17C所示)。阻障層142可包括氮化物材料,以及可減少或避免氧原子從層間介電質層118A、118B擴散至接觸插塞134中,以避免接觸插塞134的導電材料氧化。
在一些實施例中,當在包括高溫退火製程的摻雜製程中以鋯金屬摻雜功函數金屬矽化物層132時,源極/汲極接觸結構120可具有如第1D圖至第1I圖中所示的剖面視圖。第1D至1E圖沿著第1A圖的線B-B繪示具有為了簡化而未顯示於第1A圖中的額外結構的剖面視圖。源極/汲極接觸結構120可包括介於功函數金屬矽化物層132與源極/汲極區110之間的鋯基三元化合物(Zr-based ternary compound;ZTC)層133。在高溫退火製程期間,功函數金屬矽化物層132的鋯摻質可與源極/汲極區110的矽原子以及功函數金屬矽化物層132的金屬原子產生交互作用,以形成鋯基三元化合物層133。鋯基三元化合物層133可包括鋯金屬矽化物(Zrx My Siz ),其中金屬(M)為功函數金屬矽化物層132的金屬。在一些實施例中,當功函數金屬矽化物層132包括Tix Siy 時,鋯基三元化合物層133可包括鋯鈦矽化物(Zr3 Ti2 Si3 )。鋯基三元化合物層133可引發於鋯基三元化合物層133與源極/汲極區110之間(本文也稱為「界面133-110」)的偶極層145的形成。偶極層145可包括來自鋯基三元化合物層133的鋯金屬離子的Zr-Si偶極以及來自源極/汲極區110的矽離子。
類似於偶極層144,在界面133-110由偶極層145產生的電場可減少功函數金屬矽化物層132與源極/汲極區110之間的蕭特基位障高度的約35%至約70%,且因此減少源極/汲極接觸結構120與源極/汲極區110之間的接觸電阻。鋯基三元化合物層133中的鋯原子濃度可在1原子百分比至約10原子百分比的範圍。在一些實施例中,鋯原子可具有沿著第1D至1E圖中的線D-D的橫跨功函數金屬矽化物層132、鋯基三元化合物層133、以及源極/汲極區110的濃度輪廓158,如第1K圖中所示。
第1F至1G圖繪示第1D至1E圖的源極/汲極區110、功函數金屬矽化物層132以及鋯基三元化合物層133的放大視圖。在一些實施例中,鋯基三元化合物層133可具有頂面133s,當形成於具有表面110s-110t的源極/汲極區110上、且表面110s-110t具有(111)晶向(亦稱為(111)晶面)時,其具有(1121)晶向(也稱為(1121)晶面)。表面110s可為源極/汲極區110的頂面,且表面110t可為形成與鋯基三元化合物層133之間的界面的表面。當源極/汲極區110的半導體材料在由下而上(bottom-up)的方法中磊晶成長於鰭片結構106的頂面106s上,如第1A至1E圖所示,且並未磊晶成長為包覆鰭片結構106時,表面110s-110t可具有(111)晶向,於下文參考第3A至3C圖來描述。為了具有(111)晶向,表面110s相對於水平軸(例如X軸)可形成角度C與D,其中角度C與D在約50度至約60度的範圍。角度C與D可彼此相等或不同。在一些實施例中,鋯基三元化合物層133面對功函數金屬矽化物層132以及源極/汲極區110的表面可為非刻面表面(unfaceted surface),與下文參考第3C至3G圖以及第4C圖來描述的鋯基三元化合物層333形成對比。由於表面110s-110t與133s之間的晶向不同,在源極/汲極區110與鋯基三元化合物層133之間可存在範圍從約0.5%至約1%的晶格失配(lattice mismatch)。在一些實施例中,當源極/汲極區110包括矽材料,且鋯基三元化合物層133包括Zr3 Ti2 Si3 時,晶格失配可為約0.7%。對具有不同晶向的源極/汲極區110與鋯基三元化合物層133來說,可具有不同的晶格失配,在下文參考第3A至3C圖來描述。在一些實施例中,界面133-110可設置於源極/汲極區110中,且與源極/汲極區110的表面110s並非共平面,如第1D至1G圖所示。
在一些實施例中,為了充分地減少源極/汲極區110與功函數金屬矽化物層132之間的蕭特基位障高度(例如:在約0.2eV至約0.4eV的範圍的蕭特基位障高度),鋯基三元化合物層133可沿著Z軸具有在約0.25nm至約1nm範圍的厚度T1,並且功函數金屬矽化物層132可沿著Z軸具有在約2nm至約6nm範圍的厚度T2,其大於厚度T1。T1:T2的比例可在約1:2至約1:20的範圍,以達到源極/汲極區110與功函數金屬矽化物層132之間的在約0.2eV至約0.4eV的範圍的蕭特基位障高度。厚度T1可取決於在形成鋯基三元化合物層133之前的功函數金屬矽化物層132中的鋯摻質濃度。厚度T1與功函數金屬矽化物層中的鋯摻質濃度之間的關係可由第1L圖的圖表來表示。在一些實施例中,厚度T1可與功函數金屬矽化物層132中在約1原子百分比至約10原子百分比的範圍的鋯摻質濃度直接正相關,且可獨立於超過10原子百分比的鋯摻質濃度。在一些實施例中,鋯基三元化合物層133的頂面133s以角度E交會,其可等於或小於角度C及/或D。
在一些實施例中,如第1H至1I圖所示,鋯基三元化合物層133可為不連續層,而非連續層(如第1D至1G圖所示)。除非另外說明,否則關於連續鋯基三元化合物層133的說明適用於不連續鋯基三元化合物層133。鋯基三元化合物層133中的不連續性可藉由源極/汲極區110與鋯基三元化合物層133之間的晶格失配來引發。在一些實施例中,不連續鋯基三元化合物層133的相鄰部分可以距離D3彼此分離,距離D3在約0.05nm至約1nm的範圍,以有效地減少功函數金屬矽化物層132與源極/汲極區110之間的蕭特基位障高度。在一些實施例中,設置於不連續鋯基三元化合物層133的相鄰部分中的功函數金屬矽化物層132與源極/汲極區110之間的界面(在本文亦稱為「界面132-110」)可位在低於頂面133s的表面平面(如第1H至1I圖所示),或者可與頂面133s共平面(未繪示)。在一些實施例中,功函數金屬矽化物層132與不連續鋯基三元化合物層133之間的界面(在本文亦稱為「界面132-133」)可位在高於(如第1D至1I圖所示)或低於(未繪示)表面110s的表面平面,或者可與頂面110s共平面(未繪示)。
第2A圖根據一些實施例繪示場效電晶體200的等角視圖。除非另外說明,否則對於場效電晶體100的說明適用於場效電晶體200。根據一些實施例,場效電晶體200可具有不同的剖面視圖,如第2B至2E圖所示。第2B至2E圖繪示沿著第2A圖中的線E-E的剖面視圖以及為了簡化而並未顯示於第2A圖中的額外結構。出於說明目的顯示第2B至2E圖的剖面視圖,而可能並未按照比例繪製。除非另外說明,否則對於第1A至1L圖與第2A至2E圖中具有相同標記的元件可彼此互相適用。
請參考第2A圖,場效電晶體200可具有設置於鰭片結構106上的合併源極/汲極區210。除非另外說明,否則關於源極/汲極區110的說明適用於合併源極/汲極區210。請參考第2B圖,場效電晶體200可包括設置於合併源極/汲極區210上的源極/汲極結構120。在一些實施例中,功函數金屬矽化物層132的頂面可在表面210s上方(顯示於第2B圖),或者可實質上與表面210s共平面(未顯示)。在一些實施例中,功函數金屬矽化物層132可與金屬基襯層堆疊135形成角度F與G,如第2B圖中所示。角度F與G可彼此相似或不同,且可在約135度至約155度的範圍。功函數金屬矽化物層132的摻質可引發於功函數金屬矽化物層132與合併源極/汲極區210之間的界面(亦稱為「界面132-210」)的偶極層144的形成。在一些實施例中,界面132-210可設置於源極/汲極區210中,且可能並未與合併源極/汲極區210的表面210s共平面。關於沿著第1C圖的線C-C的摻質濃度輪廓適用於第2B圖的線C-C。
在一些實施例中,當源極/汲極結構120包括設置於合併源極/汲極區210上的鋯基三元化合物層133時,源極/汲極接觸結構120可具有如第2C圖所示的剖面視圖。關於沿著第1E圖的線D-D的摻質濃度輪廓適用於第2C圖的線D-D。第2D圖繪示第2B圖的合併源極/汲極區210、功函數金屬矽化物層132以及鋯基三元化合物層133的放大的視圖。類似於源極/汲極區110,由於合併源極/汲極區210的半導體材料在由下而上的方法中磊晶成長於鰭片結構106的頂面106s上(顯示於第2C圖),合併源極/汲極區210的表面210s-210t可具有(111)晶向。結果,當形成於具有表面210s-210t的合併源極/汲極區210上、且表面210s-210t具有(111)晶向時,鋯基三元化合物層133可具有頂面133s,其具有(1121)晶向。表面210s可為源極/汲極區210的頂面,並且表面210t可為與鋯基三元化合物層133形成界面的表面。
為了具有(111)晶向,表面210s相對於水平軸(例如X軸)可形成角度C與D,其中角度C與D在約50度至約60度的範圍。角度C與D可彼此相等或不同。合併源極/汲極區210與鋯基三元化合物層133之間可存在範圍從約0.5%至約1%的晶格失配。在一些實施例中,當合併源極/汲極區210包括矽材料,且鋯基三元化合物層133包括Zr3 Ti2 Si3 時,晶格失配可為約0.7%。在一些實施例中,鋯基三元化合物層133與合併源極/汲極區210之間的界面(本文也稱為「界面133-210」)可設置於合併源極/汲極區210中,且與合併源極/汲極區210的表面210s並非共平面,如第2C至2D圖所示。在一些實施例中,如第2E圖所示,鋯基三元化合物層133可為不連續層,而非連續層(如第2C至2D圖所示)。除非另外說明,否則關於第2C至2D圖的連續鋯基三元化合物層133的說明適用於第2E圖的不連續鋯基三元化合物層133。
第3A圖根據一些實施例繪示場效電晶體300的等角視圖。除非另外說明,否則對於場效電晶體100的說明適用於場效電晶體300。根據一些實施例,場效電晶體300可具有不同的剖面視圖,如第3B至3G圖所示。第3B至3G圖繪示沿著第3A圖中的線F-F的剖面視圖以及為了簡化而並未顯示於第3A圖中的額外結構。出於說明目的顯示第3B至3G圖的剖面視圖,而可能並未按照比例繪製。除非另外說明,否則對於第1A至1L圖與第3A至3G圖中具有相同標記的元件可彼此互相適用。
請參考第3A圖,場效電晶體300可具有磊晶成長為包覆且圍繞於淺溝槽隔離區116上延伸的鰭片結構306的部分的源極/汲極區310,而非由下而上磊晶成長的源極/汲極區110。除非另外說明,否則關於源極/汲極區110的說明適用於源極/汲極區310。請參考第3B圖,場效電晶體300可包括設置於源極/汲極區310上的源極/汲極結構120。在一些實施例中,功函數金屬矽化物層132的頂面可在表面310s上方(顯示於第3B圖),或者可實質上與表面310s共平面(未顯示)。功函數金屬矽化物層132的摻質可引發於功函數金屬矽化物層132與源極/汲極區310之間的界面(亦稱為「界面132-310」)的偶極層144的形成。在一些實施例中,界面132-310可設置於源極/汲極區310中,且可能並未與源極/汲極區310的表面310s共平面。關於沿著第1C圖的線C-C的摻質濃度輪廓的說明適用於第3B圖的線C-C。
在一些實施例中,當源極/汲極結構120包括介於功函數金屬矽化物層132與源極/汲極區310之間的鋯基三元化合物層333時,源極/汲極接觸結構120可具有如第3C圖所示的剖面視圖。除非另外說明,否則關於鋯基三元化合物層133的說明適用於鋯基三元化合物層333。在高溫退火製程期間,功函數金屬矽化物層132的鋯摻質可與源極/汲極區310的矽原子以及功函數金屬矽化物層132的金屬原子產生交互作用,以形成鋯基三元化合物層333。鋯基三元化合物層333可包括鋯金屬矽化物(Zrx My Siz ),其中金屬(M)為功函數金屬矽化物層132的金屬。在一些實施例中,當功函數金屬矽化物層132包括Tix Siy 時,鋯基三元化合物層333可包括鋯鈦矽化物(Zr3 Ti2 Si3 )。鋯基三元化合物層333可引發於鋯基三元化合物層333與源極/汲極區310之間的界面(本文也稱為「界面333-310」)的偶極層145的形成,以及於功函數金屬矽化物層132與源極/汲極區310之間的界面的偶極層145的形成。偶極層145可包括來自鋯基三元化合物層333的鋯金屬離子的Zr-Si偶極以及來自源極/汲極區310的矽離子。
第3D至3G圖繪示第3C圖的區域302中的源極/汲極區310、功函數金屬矽化物層132以及鋯基三元化合物層333的放大的視圖,其中具有源極/汲極區310、功函數金屬矽化物層132以及鋯基三元化合物層333的不同的剖面視圖。當源極/汲極區310的半導體材料磊晶成長為包覆且圍繞鰭片結構306,如第3A至3C圖所示,表面310s-310t可具有(100)晶向或(110)晶向。表面310s可為源極/汲極區310的頂面,並且表面310t可為與鋯基三元化合物層333形成界面的表面。當形成於源極/汲極區310上且具有晶向為(100)或(110)的表面310s-310t時,鋯基三元化合物層333可具有面對功函數金屬矽化物層132與源極/汲極區310的刻面表面333s,如第3D圖所示。刻面表面333s與功函數金屬矽化物層132及源極/汲極區310之間形成界面。在一些實施例中,當形成於具有(100)晶向的表面310s-310t(也稱為(100)晶面)上時,刻面表面333s可具有(0001)晶向(也稱為(0001)晶面),且在形成於具有(110)晶向的表面310s-310t(也稱為(110)晶面)上時,刻面表面333s可具有(1120)晶向(也稱為(1120)晶面)。由於表面310s-310t與333s之間具有不同的晶向,源極/汲極區310與鋯基三元化合物層333之間可存在範圍從約1%至約1.5%的晶格失配。在一些實施例中,當包括Zr3 Ti2 Si3 的鋯基三元化合物層333分別形成於具有(100)與(110)晶向的矽表面310s-310t上時,晶格失配可介於約1.2%與約1.3%之間。
在一些實施例中,為了充分地減少源極/汲極區310與功函數金屬矽化物層132之間的蕭特基位障高度(例如:在約0.2eV至約0.4eV的範圍的蕭特基位障高度),鋯基三元化合物層333可沿著Z軸具有在約0.1nm至約1nm範圍的厚度T3。類似於厚度T1,厚度T3可取決於在形成鋯基三元化合物層333之前的功函數金屬矽化物層132中的鋯摻質濃度,並且厚度T3與功函數金屬矽化物層132中的鋯摻質濃度之間的關係可由第1L圖的圖表來表示。在一些實施例中,面對功函數金屬矽化物層132的相鄰的刻面表面333s可形成範圍從約110度至約130度的角度H,如第3D圖中所示。在一些實施例中,面對功函數金屬矽化物層132的相鄰的刻面表面333s可形成範圍從約50度至約70度的角度J,如第3E圖中所示。
在一些實施例中,代替鋯基三元化合物層333,可以在界面333-310處形成具有刻面表面333s的鋯基三元化合物群集(cluster)333,如第3F至3G圖中所示。在一些實施例中,鋯基三元化合物群集333在功函數金屬矽化物層132與源極/汲極區310之間的界面(本文也稱為「界面132-310」)可彼此分離範圍約0.1nm至約2nm的距離。在一些實施例中,鋯基三元化合物群集333的刻面表面333s可與界面132-310形成範圍從約140度至約160度的角度K,如第3F圖中所示。在一些實施例中,鋯基三元化合物群集333的刻面表面333s可與界面132-310形成範圍從約110度至約130度的角度L,如第3G圖中所示。在一些實施例中,界面132-310可設置於相鄰的鋯基三元化合物群集333之間。關於沿著第1E圖的線D-D的摻質濃度輪廓的討論可適用於第3C至3G圖的線D-D。
第4A圖根據一些實施例繪示場效電晶體400的等角視圖。除非另外說明,否則對於場效電晶體100與300的說明適用於場效電晶體400。根據一些實施例,場效電晶體400可具有不同的剖面視圖,如第4B至4C圖所示。第4B至4C圖繪示沿著第4A圖中的線G-G的剖面視圖以及為了簡化而並未顯示於第4A圖中的額外結構。出於說明目的顯示第4B至4C圖的剖面視圖,而可能並未按照比例繪製。除非另外說明,否則對於第1A至1L圖、第3A至3G圖以及第4A至4C圖中具有相同標記的元件可彼此互相適用。
請參考第4A圖,場效電晶體400可具有磊晶成長為包覆且圍繞於淺溝槽隔離區116上延伸的鰭片結構306的部分的合併源極/汲極區410。除非另外說明,否則關於源極/汲極區310的說明適用於合併源極/汲極區410。請參考第4B圖,場效電晶體400可包括設置於合併源極/汲極區410上的源極/汲極結構120。在一些實施例中,功函數金屬矽化物層132的頂面可在表面410s上方(顯示於第4B圖),或者可實質上與表面410s共平面(未顯示)。功函數金屬矽化物層132的摻質可引發於功函數金屬矽化物層132與合併源極/汲極區410之間的界面(亦稱為「界面132-410」)的偶極層144的形成。在一些實施例中,界面132-410可設置於源極/汲極區410中,且可能並未與表面410s共平面。關於沿著第1C圖的線C-C的摻質濃度輪廓的說明適用於第4B圖的線C-C。在一些實施例中,當源極/汲極結構120包括設置於源極/汲極區410上的鋯基三元化合物層333或者鋯基三元化合物群集333時,源極/汲極接觸結構120可具有如第4C圖所示的剖面視圖。類似於第3C圖的偶極層145,可在鋯基三元化合物層333與源極/汲極區410之間的界面或者功函數金屬矽化物層132與源極/汲極區410之間的界面引發偶極層(為了簡化而未顯示於第4C圖)。關於沿著第1E圖的線D-D的摻質濃度輪廓的說明適用於第4C圖的線D-D。
第5圖為根據一些實施例的製造場效電晶體100的例示性方法500的流程圖。為了說明目的,第5圖中繪示的操作將參考如第6A至17B圖中繪示的製造場效電晶體100的例示性製造製程來描述。第6A至17A圖以及第6B至17B圖為根據一些實施例的場效電晶體100在各個製造階段沿著第1A圖中相應的線A-A與線B-B的剖面視圖。可以不同的順序執行操作,或者取決於特定的應用而不執行操作。應注意的是,方法500可能並未產出完整的場效電晶體100。因此,應理解的是,可在方法500之前、期間或者之後提供額外的製程,且本文可能僅簡單描述一些其他製程。上文描述第6A至17B圖中與第1A至1L圖中的元件具有相同標示的元件。
在操作505,多晶矽結構與源極/汲極區形成於基底上的鰭片結構上。舉例來說,如第6A至6B圖中所示,多晶矽結構612與源極/汲極區110形成於鰭片結構106上,此鰭片結構形成於基底104上。在後續的製程期間,多晶矽結構612在閘極取代製程中可被替換以形成閘極結構112。在形成源極/汲極區110之後,可形成接觸停止層117與層間介電質層118A,以形成第6A至6B圖的結構。
請參考第5圖,在操作510,以閘極結構替換多晶矽結構。舉例來說,如第7A至7B圖中所示,多晶矽結構612被閘極結構112替換。在一些實施例中,閘極結構112可被回蝕刻以形成閘極蓋層130,如第8A至8B圖中所示。在形成閘極蓋層130之後,可形成層間介電質層118B以形成第8A至8B圖的結構。
請參考第5圖,在操作515,在源極/汲極區中形成接觸開口。舉例來說,如第9A至9B圖中所示,藉由蝕刻源極/汲極區110上的接觸停止層117與層間介電質層118A、118B來在源極/汲極區110上形成接觸開口920。
請參考第5圖,在操作520,在接觸開口的側壁上選擇性地形成阻障層。舉例來說,請參考第10A至10B圖來描述,阻障層142選擇性地形成於接觸開口920的側壁上。阻障層142的形成可包括依序地操作(i)在第9A至9B圖的結構上沉積氮化物層142(例如:SiN)以形成第10A至10B圖的結構,以及(ii)執行等向性蝕刻製程以從層間介電質層118A以及源極/汲極區110的頂面移除氮化物層142的部分,以形成第11A至11B圖的結構。
請參考第5圖,在操作525,在源極/汲極區上形成摻雜功函數金屬矽化物層。舉例來說,如第13A至13B圖中所示,在源極/汲極區110上形成摻雜功函數金屬矽化物層132。摻雜功函數金屬矽化物層132的形成可包括依序地操作(i)在第11A至11B圖的結構上執行清洗製程(例如:氟基(fluorine-based)乾式蝕刻製程)以從源極/汲極區110的頂面移除自然氧化物,(ii)在經清洗的第11A至11B圖的結構上沉積摻質來源層140,以形成第12A至12B圖的結構,並且(iii)在第12A至12B圖的結構上沉積功函數金屬矽化物層138以形成第13A至13B圖的結構。
在沉積功函數金屬層138的期間,沉積溫度可導致摻質來源層140的底部部分140b(如第12A至12B圖中所示)熱分解,且熱分解的底部部分140b的原子擴散進入上方的功函數金屬層138作為摻質。在一些實施例中,沉積溫度可導致摻質來源層140面對功函數金屬層138的側壁熱分解且擴散進入上方的功函數金屬層138作為摻質。摻質來源層140剩餘的側壁部分可被氧化。摻質原子可引發偶極層144的形成,且可具有橫跨線C-C的濃度輪廓146或148,如同請參考第1J圖所描述。沉積溫度亦可啟動(initiate) 接觸開口920與源極/汲極區110中的功函數金屬層138的摻雜底部部分(未顯示)的矽化反應,以形成功函數金屬矽化物層132,如第13A至13B圖中所示。
在一些實施例中,摻質來源層140的沉積可包括藉由CVD製程或ALD製程在約300°C至約500°C的範圍的溫度沉積過渡金屬,其具有的電負值小於功函數金屬層138的金屬的電負值。在一些實施例中,摻質來源層140可包括過渡金屬,例如Zr、Hf、Yb、Y、Er、Ce、Sc以及上述的組合。為了有效且完全熱分解摻質來源層140的底部部分140b,在一些實施例中,摻質來源層140可沉積範圍約0.05nm至0.5nm的厚度。
在一些實施例中,功函數金屬層138的沉積可包括藉由CVD製程或ALD製程在約300°C至約500°C的範圍的溫度沉積金屬,其功函數值相較於用於n型場效電晶體100的源極/汲極區110的材料的價帶邊緣能量,更接近於源極/汲極區110的導帶邊緣能量,或者其功函數值相較於用於p型場效電晶體100的源極/汲極區110的材料的導帶邊緣能量,更接近於源極/汲極區110的價帶邊緣能量。在一些實施例中,以n型場效電晶體100來說,功函數金屬層138可包括Ti、Ta、Mo、Zr、Hf、Sc、Y、Ho、Tb、Gd、Lu、Dy、Er、Yb或上述的組合,以p型場效電晶體100來說,功函數金屬層138可包括Ni、Co、Mn、W、Fe、Rh、Pd、Ru、Pt、Ir、Os或上述的組合。
請參考第5圖,在操作530,執行高溫退火製程。舉例來說,可在第13A至13B圖的結構上執行熱退火製程,在約500°C至約800°C的範圍,且在氮氣環境下使用快速熱退火(rapid thermal annealing;RTA)製程、尖峰式退火(spike annealing)、或雷射退火製程,持續範圍約100奈秒(nanosecond)至約100微秒(microsecond)的時間週期。在熱退火製程之後,若功函數金屬矽化物層132包括非鋯基過渡金屬,摻質原子可具有跨越線C-C(第13A至13B圖)的濃度輪廓150,如第1A至1C以及1J圖所示。另一方面,在第13A至13B圖的結構上執行熱退火製程之後,若摻質原子包括鋯金屬,則可形成第14A至14B圖的結構,其具有橫跨線D-D的鋯濃度輪廓158,如同參考第1D至1E圖與1K圖的描述。熱退火製程可改善功函數金屬矽化物層132以及界面132-110的品質,且因此減少功函數金屬矽化物層132與源極/汲極區110之間的接觸電阻。
在一些實施例中,在形成功函數金屬矽化物層132之後,且在熱退火製程之前,可在第13A至13B圖的結構上形成氮化物蓋層(未顯示)。氮化物蓋層可在後續的製程中形成氮化物蓋層136。氮化物蓋層的形成可包括在第13A至13B圖的結構上沉積金屬層,例如Ti以及Ta,並且在金屬層上使用氨氣(NH3 )來執行氮化製程。
請參考第5圖,在操作535,在接觸開口中形成接觸插塞。舉例來說,如第15A至15B圖中所示,接觸插塞134形成於接觸開口920中。接觸插塞134的形成可包括在第13A至13B圖的結構中以導電材料填充接觸開口920,並且執行CMP製程以形成第15A至15B圖的結構。CMP製程可實質上使接觸結構120的頂面與層間介電質層118B的頂面共平面。
在一些實施例中,替代第13A至13B圖的結構,可藉由在第14A至14B圖的結構中填充接觸開口920來形成接觸插塞134,隨後進行CMP製程以形成第16A至16B圖的結構。在一些實施例中,在以導電材料填充接觸開口920之前,可從第13A至13B圖的結構移除金屬基襯層堆疊135,並且執行CMP製程以形成第17A至17B圖的結構。
在一些實施例中,替代源極/汲極區110,操作515至535可在相應的場效電晶體200、300以及400的源極/汲極區210、310及410上執行,以形成第2B至2C圖、第3B至3C圖、以及第4B至4C圖的結構。
本發明實施例提供例示性結構與方法以減少場效電晶體(例如:場效電晶體100、200、300及400)的源極/汲極區(例如:源極/汲極區110、210、310及410)與源極/汲極接觸結構(例如:源極/汲極接觸結構120)之間的接觸電阻。在一些實施例中,偶極層(例如:偶極層144及145)及/或三元化合物層(例如:鋯基三元化合物層133及333)可形成於源極/汲極區與源極/汲極接觸結構之間的界面以減少源極/汲極區與源極/汲極接觸結構之間的蕭特基位障高度。偶極層及/或三元化合物層可藉由以金屬摻雜源極/汲極接觸結構的矽化物層(例如:功函數金屬矽化物層132)來形成,此金屬的電負值小於矽化物層的金屬的電負值。金屬摻質可引發在源極/汲極區的金屬摻質與半導體元件之間的偶極的形成。金屬摻質亦可引發源極/汲極區的金屬摻質、矽化物層的金屬、以及半導體元件之間的三元化合物的形成。相較於沒有界面偶極層及/或三元化合物的場效電晶體,此種界面偶極層及/或三元化合物的形成可減少場效電晶體的約50%至70%的接觸電阻,且因此改善場效電晶體的效能。
在一些實施例中,一種半導體裝置包括一基底、設置於基底上的一鰭片結構、設置於鰭片結構上的一閘極結構、設置相鄰於閘極結構的一源極/汲極(S/D)區、設置於源極/汲極區上一接觸結構,以及設置於三元化合物及源極/汲極區之間的一界面的一偶極層。接觸結構包括設置於源極/汲極區上的一三元化合物層、設置於三元化合物層上的一功函數金屬(WFM)矽化物層,以及設置於功函數金屬矽化物層上的一接觸插塞。
在一些實施例中,偶極層包括功函數金屬矽化物層的摻質原子以及源極/汲極區的半導體原子。在一些實施例中,三元化合物層包括鋯基三元化合物。在一些實施例中,源極/汲極區面對三元化合物層的第一表面包括(111)晶向,以及三元化合物層面對功函數金屬矽化物層的第二表面包括非刻面表面。在一些實施例中,三元化合物層為不連續層,以及源極/汲極區面對三元化合物層的表面包括(111)晶向。在一些實施例中,源極/汲極區面對三元化合物層的第一表面包括(100)或(111)晶向,以及三元化合物層面對功函數金屬矽化物層的第二表面包括刻面表面。在一些實施例中,功函數金屬矽化物層包括多個金屬摻質,金屬摻質的電負值小於功函數金屬矽化物層的金屬矽化物中的金屬的電負值。在一些實施例中,接觸結構更包括沿著接觸插塞的多個側壁的襯層,以及襯層包括偶極層的金屬或者偶極層的金屬的氧化物。在一些實施例中,接觸結構更包括沿著接觸插塞的多個側壁的襯層,以及襯層包括功函數金屬矽化物層的金屬或者功函數金屬矽化物層的金屬的氧化物。在一些實施例中,接觸結構更包括設置於功函數金屬矽化物層上的蓋層。
在一些實施例中,一種半導體裝置包括設置於一第一鰭片結構以及一第二鰭片結構上的一閘極結構、設置於第一鰭片結構以及第二鰭片結構上的一合併源極/汲極區、以及設置於合併源極/汲極區上的一接觸結構。接觸結構包括設置於合併源極/汲極區上的多個三元化合物群集、設置於三元化合物群集以及合併源極/汲極區上的功函數金屬矽化物層、以及設置於功函數金屬矽化物層上的接觸插塞。
在一些實施例中,三元化合物群集包括鋯基三元化合物。在一些實施例中,三元化合物群集包括多個刻面表面。在一些實施例中,三元化合物群集藉由功函數金屬矽化物層與源極/汲極區之間的多個界面彼此分離。在一些實施例中,半導體裝置更包括偶極層,其設置於功函數金屬矽化物層與源極/汲極區之間的多個界面。在一些實施例中,半導體裝置更包括一偶極層,其設置於三元化合物群集與源極/汲極區之間的多個界面。
在一些實施例中,一種方法包括在一基底上形成一鰭片結構、在鰭片結構上形成一源極/汲極區、在源極/汲極區上形成一接觸開口、在接觸開口中形成一摻雜功函數金屬矽化物層、在摻雜功函數金屬矽化物層與源極/汲極區之間形成三元化合物層、以及在接觸開口中形成接觸插塞。
在一些實施例中,形成摻雜功函數金屬矽化物層的步驟包括在源極/汲極區上沉積一摻質來源層,以及摻質來源層包括一金屬,此金屬的電負值小於摻雜功函數金屬矽化物層的一金屬矽化物中的一金屬的電負值。在一些實施例中,形成三元化合物層的步驟包括:在源極/汲極區上形成鋯基摻質來源層、在鋯基摻質來源層上沉積一功函數金屬層、以及執行一退火製程。在一些實施例中,此方法更包括在摻雜功函數金屬矽化物層上沉積一氮化物蓋層。
以上概述數個實施例之部件,使得在所屬技術領域中具有通常知識者可以更加理解本發明實施例的面向。在所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例之精神和範圍下,做各式各樣的改變、取代和替換。
100,200,300,400:場效電晶體 104:基底 106,306:鰭片結構 106s,133s:頂面 110,310:源極/汲極區 110s,110t,210s,210t,310s,310t,410s:表面 112:閘極結構 114:閘極間隔物 116:淺溝槽隔離區 117:蝕刻停止層 118A,118B:層間介電質層 120:源極/汲極接觸結構 122:界面氧化物層 124:閘極介電層 126:功函數金屬層 128:閘極金屬填充層 130:閘極蓋層 132:功函數金屬矽化物層 132-110,133-110,132-133:界面 133:鋯基三元化合物層 134:接觸插塞 135:金屬基襯層堆疊 136:氮化物蓋層 138:第一襯層 140:第二襯層 140b:底部部分 142:阻障層 144,145:偶極層 146,148,150,158:輪廓 210,410:合併源極/汲極區 333:鋯基三元化合物層/群集 333s:刻面表面 500:方法 505,510,515,520,525,530,535:操作 612:多晶矽結構 920:接觸開口 A,B,C,D,E,F,G,H,J,K,L:角度 C-C,D-D,E-E,F-F,G-G:線 C1,C2,C3:摻質濃度 D1,D2,D3:距離 T1,T2,T3:厚度
結合所附圖式來閱讀以下細節描述為理解本發明實施例的最佳方式。將參考所附圖式來描述說明性實施例。在圖式中,相同的參考符號通常表示相同、功能相似及/或結構相似的元件。除非另外說明,否則對於具有相同標記的元件的討論可彼此互相適用。 第1A圖是根據本發明實施例的一些實施形態繪示半導體裝置的等角視圖(isometric view)。 第1B圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第1C圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第1D圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第1E圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第1F圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第1G圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第1H圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第1I圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第1J圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置的裝置特性。 第1K圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置的裝置特性。 第1L圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置的裝置特性。 第2A圖是根據本發明實施例的一些實施形態繪示半導體裝置的等角視圖。 第2B圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第2C圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第2D圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第2E圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第3A圖是根據本發明實施例的一些實施形態繪示半導體裝置的等角視圖。 第3B圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第3C圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第3D圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第3E圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第3F圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第3G圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第4A圖是根據本發明實施例的一些實施形態繪示半導體裝置的等角視圖。 第4B圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第4C圖是根據本發明實施例的一些實施形態繪示半導體裝置的剖面視圖。 第5圖為是根據本發明實施例的一些實施形態的具有接觸結構的半導體裝置的製造方法的流程圖。 第6A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第6B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第7A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第7B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第8A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第8B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第9A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第9B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第10A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第10B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第11A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第11B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第12A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第12B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第13A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第13B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第14A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第14B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第15A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第15B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第16A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第16B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第17A圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。 第17B圖是根據本發明實施例的一些實施形態繪示具有接觸結構的半導體裝置在製造製程的各種階段的剖面視圖。
100:場效電晶體
104:基底
106:鰭片結構
110:源極/汲極區
112:閘極結構
114:閘極間隔物
117:蝕刻停止層
118B:層間介電質層
120:源極/汲極接觸結構
132:功函數金屬矽化物層
133:鋯基三元化合物層
134:接觸插塞
136:氮化物蓋層
138:第一襯層
140:第二襯層
142:阻障層
145:偶極層
D-D:線

Claims (15)

  1. 一種半導體裝置,包括:一基底;一鰭片結構,設置於該基底上;一閘極結構,設置於該鰭片結構上;一源極/汲極(S/D)區,設置相鄰於該閘極結構;一接觸結構,設置於該源極/汲極區上,其中該接觸結構包括設置於該源極/汲極區上的三元化合物層、設置於該三元化合物層上的一功函數金屬(WFM)矽化物層以及設置於該功函數金屬矽化物層上的一接觸插塞;以及一偶極層,設置於該三元化合物及該源極/汲極區之間的一界面。
  2. 如請求項1所述之半導體裝置,其中該偶極層包括該功函數金屬矽化物層的一摻質原子以及該源極/汲極區的一半導體原子。
  3. 如請求項1所述之半導體裝置,其中該三元化合物層包括一鋯基(zirconium-based)三元化合物。
  4. 如請求項1至3任一項所述之半導體裝置,其中該源極/汲極區面對該三元化合物層的一第一表面包括(111)晶向(crystal orientation);以及其中該三元化合物層面對該功函數金屬矽化物層的一第二表面包括一非刻面(unfaceted)表面。
  5. 如請求項1至3任一項所述之半導體裝置,其中該三元化合物層為不連續層;以及其中該源極/汲極區面對該三元化合物層的一表面包括(111)晶向。
  6. 如請求項1至3任一項所述之半導體裝置,其中該源極/汲極 區面對該三元化合物層的一第一表面包括(100)或(111)晶向;以及其中該三元化合物層面對該功函數金屬矽化物層的一第二表面包括一刻面表面。
  7. 如請求項1至3任一項所述之半導體裝置,其中該功函數金屬矽化物層包括多個金屬摻質,該些金屬摻質的電負值(electronegativity value)小於該功函數金屬矽化物層的金屬矽化物中的金屬的電負值。
  8. 如請求項1至3任一項所述之半導體裝置,其中該接觸結構更包括沿著該接觸插塞的多個側壁的一襯層;以及其中該襯層包括該偶極層的金屬、該偶極層的金屬的氧化物、該功函數金屬矽化物層的金屬或該功函數金屬矽化物層的金屬的氧化物。
  9. 如請求項1至3任一項所述之半導體裝置,其中該接觸結構更包括設置於該功函數金屬矽化物層上的一蓋層。
  10. 一種半導體裝置,包括:一閘極結構,設置於一第一鰭片結構以及一第二鰭片結構上;一合併源極/汲極(S/D)區,設置於該第一鰭片結構以及該第二鰭片結構上;以及一接觸結構,設置於該合併源極/汲極區上,其中該接觸結構包括設置於該合併源極/汲極區上的多個三元化合物群集(cluster)、設置於該些三元化合物群集以及該合併源極/汲極區上的一功函數金屬(WFM)矽化物層、以及設置於該功函數金屬矽化物層上的一接觸插塞。
  11. 如請求項10所述之半導體裝置,其中該些三元化合物群集包括一鋯基三元化合物、包括多個刻面表面或藉由該功函數金屬矽化物層與該源 極/汲極區之間的多個界面彼此分離。
  12. 如請求項10或11所述之半導體裝置,更包括一偶極層,其設置於該功函數金屬矽化物層與該源極/汲極區之間的多個界面,或設置於該些三元化合物群集與該源極/汲極區之間的多個界面。
  13. 一種半導體裝置製造方法,包括:在一基底上形成一鰭片結構;在該鰭片結構上形成一源極/汲極(S/D)區;在該源極/汲極(S/D)區上形成一接觸開口;在該接觸開口中形成一摻雜功函數金屬(nWFM)矽化物層;在該摻雜功函數金屬矽化物層與該源極/汲極區之間形成三元化合物層;以及在該接觸開口中形成一接觸插塞。
  14. 如請求項13所述之半導體裝置製造方法,其中形成該摻雜功函數金屬矽化物層的步驟包括在該源極/汲極區上沉積一摻質來源層,以及其中該摻質來源層包括金屬,該金屬的電負值小於該摻雜功函數金屬矽化物層的金屬矽化物中的金屬的電負值。
  15. 如請求項13或14所述之半導體裝置製造方法,其中形成該三元化合物層的步驟包括:在該源極/汲極區上形成一鋯基摻質來源層;在該鋯基摻質來源層上沉積一功函數金屬層;以及執行一退火製程。
TW110123982A 2020-07-31 2021-06-30 半導體裝置及其製造方法 TWI793659B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063059544P 2020-07-31 2020-07-31
US63/059,544 2020-07-31
US17/197,892 US11810960B2 (en) 2020-07-31 2021-03-10 Contact structures in semiconductor devices
US17/197,892 2021-03-10

Publications (2)

Publication Number Publication Date
TW202207363A TW202207363A (zh) 2022-02-16
TWI793659B true TWI793659B (zh) 2023-02-21

Family

ID=77155664

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123982A TWI793659B (zh) 2020-07-31 2021-06-30 半導體裝置及其製造方法

Country Status (7)

Country Link
US (2) US11810960B2 (zh)
EP (1) EP3945597A3 (zh)
JP (1) JP2022027737A (zh)
KR (1) KR102641774B1 (zh)
CN (1) CN113675191A (zh)
DE (1) DE102021106747A1 (zh)
TW (1) TWI793659B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11908944B2 (en) * 2021-09-16 2024-02-20 International Business Machines Corporation Contact formation for vertical field effect transistors
KR20230119770A (ko) 2022-02-08 2023-08-16 주식회사 엘지에너지솔루션 배터리 랙 및 이를 포함하는 전력 저장 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160197075A1 (en) * 2015-01-06 2016-07-07 Semiconductor Manufacturing International (Shanghai) Corporation Fin field effect transistors and fabrication method thereof
CN106206303A (zh) * 2015-04-30 2016-12-07 中芯国际集成电路制造(上海)有限公司 N型鳍式场效应晶体管的形成方法
US20170365527A1 (en) * 2016-06-20 2017-12-21 Semiconductor Manufacturing International (Shanghai) Corporation Transistor, semiconductor structure, and fabrication method thereof
US20180175159A1 (en) * 2013-09-11 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-Layer Metal Deposition in Silicide Formation

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1965435A4 (en) * 2005-11-28 2009-11-11 Nec Corp SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
JP2009130190A (ja) 2007-11-26 2009-06-11 Toshiba Corp 半導体装置および半導体装置の製造方法
KR101378469B1 (ko) * 2008-05-07 2014-03-28 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
FR2978292B1 (fr) * 2011-07-22 2013-08-23 Commissariat Energie Atomique Utilisation de couches auto-assemblees pour le controle de la tension de seuil de transistors organiques
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US20140065799A1 (en) 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9589851B2 (en) * 2015-07-16 2017-03-07 International Business Machines Corporation Dipole-based contact structure to reduce metal-semiconductor contact resistance in MOSFETs
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10643893B2 (en) 2016-06-29 2020-05-05 International Business Machines Corporation Surface area and Schottky barrier height engineering for contact trench epitaxy
US20180108654A1 (en) * 2016-10-14 2018-04-19 GlobalFoundries, Inc. Finfet device with low resistance fins
CN108074820A (zh) * 2016-11-10 2018-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10475654B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
KR102316293B1 (ko) * 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
KR102457515B1 (ko) * 2018-02-22 2022-10-21 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법
US10510617B2 (en) * 2018-03-12 2019-12-17 International Business Machines Corporation CMOS VFET contacts with trench solid and liquid phase epitaxy
US10510621B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for threshold voltage tuning and structures formed thereby
US11081584B2 (en) * 2018-10-30 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180175159A1 (en) * 2013-09-11 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-Layer Metal Deposition in Silicide Formation
US20160197075A1 (en) * 2015-01-06 2016-07-07 Semiconductor Manufacturing International (Shanghai) Corporation Fin field effect transistors and fabrication method thereof
CN106206303A (zh) * 2015-04-30 2016-12-07 中芯国际集成电路制造(上海)有限公司 N型鳍式场效应晶体管的形成方法
US20170365527A1 (en) * 2016-06-20 2017-12-21 Semiconductor Manufacturing International (Shanghai) Corporation Transistor, semiconductor structure, and fabrication method thereof

Also Published As

Publication number Publication date
US11810960B2 (en) 2023-11-07
US20220037500A1 (en) 2022-02-03
KR102641774B1 (ko) 2024-02-27
TW202207363A (zh) 2022-02-16
EP3945597A3 (en) 2022-04-20
EP3945597A2 (en) 2022-02-02
KR20220015920A (ko) 2022-02-08
JP2022027737A (ja) 2022-02-14
US20230378305A1 (en) 2023-11-23
CN113675191A (zh) 2021-11-19
DE102021106747A1 (de) 2022-02-03

Similar Documents

Publication Publication Date Title
TWI575596B (zh) 半導體結構的形成方法
TWI793659B (zh) 半導體裝置及其製造方法
US11563083B2 (en) Dual side contact structures in semiconductor devices
US20240204046A1 (en) Dual Side Contact Structures In Semiconductor Devices
US20220384601A1 (en) Contact Structures in Semiconductor Devices
TWI711073B (zh) 半導體裝置的製造方法及半導體裝置
US12002885B2 (en) Gate contact and via structures in semiconductor devices
US20230038822A1 (en) Dual silicide layers in semiconductor devices
TWI792908B (zh) 半導體裝置及其製造方法
US11063147B2 (en) Forming bottom source and drain extension on vertical transport FET (VTFET)
TW202314957A (zh) 半導體裝置及其製造方法