TWI791866B - 量測一度量目標之方法,電腦程式產品,度量模組,以及度量工具 - Google Patents

量測一度量目標之方法,電腦程式產品,度量模組,以及度量工具 Download PDF

Info

Publication number
TWI791866B
TWI791866B TW108124045A TW108124045A TWI791866B TW I791866 B TWI791866 B TW I791866B TW 108124045 A TW108124045 A TW 108124045A TW 108124045 A TW108124045 A TW 108124045A TW I791866 B TWI791866 B TW I791866B
Authority
TW
Taiwan
Prior art keywords
measurement
diffraction order
metrology
illumination
target
Prior art date
Application number
TW108124045A
Other languages
English (en)
Other versions
TW202022348A (zh
Inventor
尤尼 夏里波
尤瑞 帕斯卡維爾
維拉得摩 朗維司基
阿農 馬那森
什魯莫 艾森貝奇
吉拉 拉列多
艾利兒 西爾德歇姆
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW202022348A publication Critical patent/TW202022348A/zh
Application granted granted Critical
Publication of TWI791866B publication Critical patent/TWI791866B/zh

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4233Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having a diffractive element [DOE] contributing to a non-imaging application
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/952Inspecting the exterior surface of cylindrical bodies or wires
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B7/00Mountings, adjusting means, or light-tight connections, for optical elements
    • G02B7/28Systems for automatic generation of focusing signals
    • G02B7/36Systems for automatic generation of focusing signals using image sharpness techniques, e.g. image processing techniques for generating autofocus signals
    • G02B7/38Systems for automatic generation of focusing signals using image sharpness techniques, e.g. image processing techniques for generating autofocus signals measured at different points on the optical axis, e.g. focussing on two or more planes and comparing image data
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Abstract

本發明提供提高量測之準確度且能夠簡化量測程序及改良度量目標與半導體器件之間的對應性之度量方法及工具。方法包括在一利特羅(Littrow)組態中照射目標以產生包括一-1繞射級及一0繞射級之一第一量測信號及包括一+1繞射級及一0繞射級之一第二量測信號,其中該第一量測信號之該-1繞射級及該第二量測信號之該+1繞射級依180°繞射至該照明之一方向以執行該第一量測信號之一第一量測及該第二量測信號之一第二量測且自此導出(若干)度量量度。可視情況分裂一反射0繞射級以產生與該等-1及+1繞射級交互作用之分量。

Description

量測一度量目標之方法,電腦程式產品,度量模組,以及度量工具
本發明係關於度量之領域,且更特定言之,本發明係關於提高量測準確度之照明及量測組態。
光學覆蓋量測通常歸類於以下兩種類型之一者:成像或散射量測。在基於成像之覆蓋(IBO)中,目標之圖案並排印刷於兩個分離層中,且其橫向移位量測於相對於光學系統之影像平面中。在散射量測或基於繞射之覆蓋(DBO)中,來自不同層之圖案彼此上下印刷,且自堆疊之繞射級之振幅獲得覆蓋。此量測之詳細原理超出本發明之範疇。
成像技術可進一步分類為以下兩個主要組態:亮場及暗場成像。當在亮場成像中影像由適合於系統之數值孔徑之所有繞射級組成時,在暗場成像中阻擋0級。暗場成像通常用於極佳影像對比度/精確度。如先前工作中所指示,吾人可藉由級選擇來提高量測準確度,例如WIPO公開案第2007143056號所教示,該案之全部內容以引用的方式併入本文中。例如,僅選擇0級及±1級導致最佳對比焦點處之提高準確度,例如美國專利公開申請案第20170146915號所教示,該案之全部內容以引用的方式併入本文中。可經由控制0級之振幅及相位來進一步提高此組態內之準 確度及對比度,例如美國專利公開申請案第20170146915號所教示,該案之全部內容以引用的方式併入本文中。在先前技術中,以犧牲信號振幅為代價,可藉由僅選擇±1級來達成最佳結果。
以下係提供本發明之一初步理解之一簡化概要。概要未必識別關鍵元件,且亦不限制本發明之範疇,而是僅充當以下描述之一介紹。
本發明之一態樣提供一種由具有一照明支部及一收集支部之一度量工具量測一度量目標之方法,該方法包括:由該照明支部在一利特羅(Littrow)組態中照射該目標以產生包括一-1繞射級及一0繞射級之一第一量測信號及包括一+1繞射級及一0繞射級之一第二量測信號,其中該第一量測信號之該-1繞射級及該第二量測信號之該+1繞射級依180°繞射至該照明之一方向;由該收集支部執行該第一量測信號之一第一量測及該第二量測信號之一第二量測;及自該第一量測及該第二量測導出至少一度量量度。
本發明之一態樣提供一種由具有一照明支部及一收集支部之一度量工具量測一度量目標之方法,該方法包括:由該照明支部照射該目標以產生一反射0繞射級及-1及+1繞射級;在該收集支部中將該反射0繞射級分裂成由該-1繞射級擷取之一第一分量及由該+1繞射級擷取之一第二分量以產生對應第一量測及第二量測;及自該第一量測及該第二量測導出至少一度量量度。
以下詳細描述中闡述、可自詳細描述中推斷及/或可藉由實踐本發明來學習本發明之此等、額外及/或其他態樣及/或優點。
60:度量目標
70:光源
71:照明
75:數位光處理器(DLP)
77:光瞳平面照明圖
78:固定遮罩
80:物鏡
85:分束器
85A:額外分束器
86:光學元件
90:攝影機
90A:攝影機
90B:攝影機
95:空間光調變器(SLM)
99:處理單元
100:度量工具
100A:照明及量測條件
100B:照明及量測條件
101:照明支部
105:收集支部
110:第一入射照明
112:反射0繞射級
115A:0繞射級/第一分量
115B:-1繞射級
120:第二入射照明
122:照明/反射0繞射級
125A:0繞射級/第二分量
125B:+1繞射級
130:快門總成
140A:0級衰減器
140B:0級衰減器
150:影像分裂器/稜鏡反射鏡
200:目標量測方法
205:階段
210:階段
212:階段
214:階段
216:階段
217:階段
218:階段
220:階段
222:階段
224:階段
227:階段
230:階段
231:階段
232:階段
234:階段
240:階段
242:階段
244:階段
247:階段
250:階段
為較佳理解本發明之實施例且為展示如何實現本發明之實施例,現將僅依舉例方式參考附圖,其中所有圖中之相同元件符號表示對應元件或區段。
在附圖中:圖1係繪示根據本發明之一些實施例之度量工具及目標量測方法的一高階示意性方塊圖。
圖2係根據本發明之一些實施例之使用可變照明所實施之度量工具及量測之一高階示意圖。
圖3係根據本發明之一些實施例之不使用適應性光學器件之情況下所實施之度量工具及量測之一高階示意圖。
圖4係根據本發明之一些實施例之一分裂影像組態中所實施之度量工具及量測之一高階示意圖。
圖5係繪示根據本發明之一些實施例之一方法的一高階流程圖。
相關申請案之交叉參考
本申請案主張2018年8月28日申請之美國臨時專利申請案第62,723,944號之權利,該案之全部內容以引用的方式併入本文中。
在以下描述中,描述本發明之各種態樣。為了闡釋,闡述具體組態及細節以提供本發明之一徹底理解。然而,熟習技術者亦應明白,可在無本文所呈現之具體細節之情況下實踐本發明。此外,可省略或 簡化熟知特徵以免使本發明不清楚。具體參考圖式,需強調的是,所展示之細節僅供例示且僅用於繪示性討論本發明,且為提供被認為是本發明之原理及概念態樣之最有用及易於理解描述而呈現。據此而言,不試圖展示比基本理解本發明所需之細節更多之本發明之結構細節,結合圖式之描述使熟習技術者明白如何在實踐中體現本發明之若干形式。
在詳細闡釋本發明之至少一實施例之前,應瞭解,本發明之應用不受限於以下描述中所闡述或圖式中所繪示之組件之構造及配置之細節。本發明可應用於可依各種方式實踐或實施之其他實施例及所揭示實施例之組合。另外,應瞭解,本文所採用之片語及術語係為了描述且不應被視為限制。
除非另有特別說明,否則應瞭解,如自以下討論所明白,在本說明書中,利用諸如「處理」、「運算」、「計算」、「判定」、「提高」、「導出」或其類似者之術語之討論涉及一電腦或運算系統或類似電子運算器件之動作及或程序,其將表示為運算系統之暫存器及/或記憶體內之物理(諸如電子)量之資料操縱及/或轉換為類似地表示為運算系統之記憶體、暫存器或其他此資訊儲存、傳輸或顯示器件內之物理量之其他資料。在特定實施例中,照明技術可包括可見範圍內之電磁輻射、超紫外線或甚至更短波輻射(諸如x射線)及可能甚至粒子束。
本發明提供提高量測之準確度且能夠簡化量測程序及改良度量目標與半導體器件之間的對應性之度量方法及工具。方法包括:在一利特羅組態中照射目標以產生包括一-1繞射級及一0繞射級之一第一量測信號及包括一+1繞射級及一0繞射級之一第二量測信號,其中該第一量測信號之該-1繞射級及該第二量測信號之該+1繞射級依180°繞射至該照明之 一方向;執行該第一量測信號之一第一量測及該第二量測信號之一第二量測;及自該第一量測及該第二量測導出(若干)度量量度。替代地或互補地,可分裂一反射0繞射級以產生與該等-1及+1繞射級交互作用之分量。
光學覆蓋工具量測代表性目標上之覆蓋,其設計針對光學波長之可量測性及與微影及程序步驟之可相容性來最佳化。隨著器件節距按比例縮小,此等兩個對立準則導致最佳化目標與器件不太相容(藉此常導致一覆蓋偏置)或歸因於其降低繞射效率之更大量測挑戰。有利地,所揭示之實施例提供提高量測裝置之敏感度且促進以低繞射效率量測目標同時不損及量測準確度之方式。
應注意,所揭示之原理、工具100及方法200可實施於當前度量平台及/或開發中之度量平台中以提高度量量測(例如覆蓋量測)之準確度及提供與真實器件更相關之度量量測。
所揭示之實施例克服亮場成像之缺點,諸如各對級造成覆蓋之一不同誤差以藉此難以控制總誤差之可能性。所揭示之級選擇有利地減小誤差,如由方程式1依一非限制性方式所繪示,其僅包含最低三個繞射級(0及±1),其中P表示週期性目標之節距,an表示n級之繞射振幅,ψ表示0繞射級與±1繞射級之間的平均相位差,且δΦ及δa1分別表示+1級與-1級之間的相位差及振幅差。BF表示方程式1可應用於亮場成像,其中項(atan(δa1 tanψ))表示亮場成像中之目標不對稱誤差之放大率。X0表示目標對稱中心之位置。
Figure 108124045-A0305-02-0008-1
發明者應注意,儘管δΦ引起可指派給歸因於目標不對稱之 幾何模糊度之一覆蓋誤差,但第一諧波中之第二相位項(atan(δa1 tanψ))可獲取ψ之任意值之[-
Figure 108124045-A0305-02-0009-24
,+
Figure 108124045-A0305-02-0009-23
]範圍內之任何值,且覆蓋誤差因此可為約
Figure 108124045-A0305-02-0009-3
。顯而易見,tanψ通常創造不準確度放大。
圖1係根繪示據本發明之一些實施例之一度量工具100及一目標量測方法200(亦參閱圖5)的一高階示意性方塊圖。度量工具100具有與至少一處理單元99(例如在一度量模組中)相關聯之照明支部101及一收集支部105,其等經組態以(例如)根據方法200來執行晶圓上之一度量目標60之度量量測。
度量工具100經組態以由照明支部101在一利特羅組態中照射(階段210,參閱圖5)度量目標60以自一第一入射照明110產生包括一-1繞射級115B及一0繞射級115A之一第一量測信號(參閱100A)及自一第二入射照明120產生包括一+1繞射級125B及一0繞射級125A之一第二量測信號(參閱100B),其中第一量測信號之-1繞射級115B及第二量測信號之+1繞射級125B分別依180°繞射至入射照明110及120之一方向。
度量工具100經進一步組態以由收集支部105使用(例如)一或多個攝影機及/或感測器來執行(階段230)第一量測信號(其包含-1繞射級115B及0繞射級115A)之一第一量測及第二量測信號(其包含+1繞射級125B及0繞射級125A)之一第二量測且自第一量測及第二量測導出至少一度量量度(階段240,進一步參閱圖5中之方法階段)。
特定實施例包括在兩個不同照明條件100A、100B中量測覆蓋,其中在各照明條件中,影像(分別為第一量測信號及第二量測信號)僅由兩個級0,+1及0,-1組成。可設定照明角以滿足
Figure 108124045-A0305-02-0009-2
(利特羅組態),因此,根據標準光柵方程式,n繞射級之角度滿足
Figure 108124045-A0305-02-0010-5
。在非限制性實施例中,假定λ
Figure 108124045-A0305-02-0010-31
P提供僅兩個級適合於數值孔徑,即:就一負角照明而言,繞射級之角度滿足
Figure 108124045-A0305-02-0010-6
Figure 108124045-A0305-02-0010-7
(照明及量測條件100A);及就一正角照明而 言,繞射級之角度滿足
Figure 108124045-A0305-02-0010-10
Figure 108124045-A0305-02-0010-11
(照明及量測條件100B)。應注意,儘管圖1繪示一維設定,但相同原理可應用於二維設定(例如參閱圖2至圖4)。
方程式2分別表示條件100A、100B之信號強度I+(x)及I-(x)。
Figure 108124045-A0305-02-0010-8
方程式3表示可自由方程式2表示之信號導出之度量量度之覆蓋之一非限制性實例,其自信號之間的相位差(Φ+至Φ-)(其對應於可使用方程式2來自信號量測導出之δΦ)獲得。
Figure 108124045-A0305-02-0010-9
有利地,所揭示之度量工具100及方法200展現以下有益特性(其等之任何者不限制本發明):(i)振幅不對稱性不影響不準確度;(ii)平均構形相位抵消且因此不存在超出幾何模糊度-
Figure 108124045-A0305-02-0010-25
δΦ之不準確度之額外源;(iii)抵消對稱像差,因為兩個級通過相同光學路徑。因此,焦點之深度取決於照明數值孔徑而非收集孔徑;(iv)光瞳平面處僅存在來自較高繞射級之一可忽略污染,因為該等級比正常照明條件中之級更遠至少兩倍;及(v)為了準確度,收集光瞳中無需阻擋元件。特定實施例可歸因於具體組態及實際權衡問題而缺乏本文所列之一或多個特性。
在所揭示之實施例之任何者中,具有對應照明條件之一單 一抓取選項(例如參考(例如)圖2時之左\上或右\下照明極之僅一者)可替換兩個不同照明條件100A、100B,且兩個量測可依晶圓定向之0°及180°實施。例如,所有胞元可在一單一照明條件下依晶圓定向之0°及180°兩者量測以權衡晶圓移動之照明條件修改。有利地,特定實施例無需實施不同照明條件100A、100B中之左極及右極之位置/大小之間的完全校準,同時提供零TIS(工具誘發移位)量測直至歸因於大DOF(景深)而不顯著之焦點可重複性以補償額外所需MAM(移動獲取量測)時間。
特定實施例進一步包括相對於一給定度量目標設計來將目標大小(使用相同目標設計)減小(例如)兩倍以將週期性結構之節距減半,其亦可使用所揭示之度量工具100及方法200,因為度量工具100及方法200展現因光瞳中之孔徑而側瓣削波之一減小風險。在特定實施例中,目標節距可在相同波長處減小兩倍以增強目標60與器件之相容性。
在特定實施例中,度量工具100及方法200可進一步包括衰減收集光瞳中之0級以提高對比度且僅適度或輕微影響信號振幅。
有利地,與先前技術(諸如教示相反利特羅角處之目標之同時照明的美國專利第7,528,953號)相比,自由兩個干涉項0,+1及0,-1之總和組成之一單一影像獲得覆蓋,且可因此具有耦合至構形相位之繞射級之間的一振幅差以可導致不準確度放大。相比而言,所揭示之度量工具100及方法200之特定實施例包括使用目標之連續照明來隔離及移除振幅差,如方程式2及3中所繪示。
圖2係根據本發明之一些實施例之使用可變照明所實施之度量工具100及量測100A、100B之一高階示意圖。圖2提供一雙抓取、利特羅照明雙束成像量測之一非限制性實施實例。在特定實施例中,照明支 部101可包括一光源70及(若干)適應性光學元件(諸如一數位光處理器(DLP)75),且收集支部105可包括一感測元件(諸如(若干)攝影機90及/或對應感測器)及(若干)適應性光學元件(諸如一空間光調變器(SLM)95),其等經組態以實施不同波長之靈活利特羅角照明及收集以(例如)切換於X及Y方向之兩個利特羅組態之間(參閱光瞳平面照明圖77,其中全黑圓繪示光瞳平面中之照明點)。照明支部101及收集支部105分別藉由分束器85來相關聯且導引通過物鏡80。用分別的照明110、120實施量測100A、100B(亦稱為抓取)。所繪示之各照明束110、120包括對應方向X及Y之兩個光束。量測100A、100B兩者在量測方向X、Y之各者中實施。量測100A、100B為分別信號:(i)量測100A為繞射級-1(a-1eiΦ-1)115B及0(Ta0eiΦ0)115A;(ii)量測100B為繞射級+1(a1eΦ1)125B、0(Ta0eiφ0)125A。T係一選用衰減因數。應注意,(若干)適應性光學元件(諸如DLP、SLM或其他)之實施例可在各種實施例中互換。可變照明及/或收集可經組態以達成提高對比度。就低繞射效率目標60而言,可取決於量測由透射或反射實施而使用(若干)類似SLM及/或DLP元件來衰減0級(每照明角)。
圖3係根據本發明之一些實施例之不使用適應性光學器件之情況下所實施之度量工具100及量測100A、100B之一高階示意圖。照明支部101可提供照明71且包括具有(例如)依相反利特羅角同時照射(例如針對目標60之對應X及Y週期性結構)之四個極(由白圓示意性指示)之一固定遮罩78。包括(例如)安裝於快速馬達上之一對刀刃快門之一快門總成130可經組態以交替阻擋與正\負照明角相關聯之極,如量測條件100A、100B中所繪示(所繪示之各照明束110、120包括對應方向X及Y之兩個光束)。收集支部105可包括依一非限制性方式示意性繪示為兩個子支部之對應收集元件,該等子支部各包括攝影機90A、90B及提供對應量測100A、 100B(亦稱為抓取)至處理單元99之一0級衰減器140A、140B。可藉由將收集級分裂成兩個且將各衰減一對不同級之兩個半不透明遮罩140A、140B放置於光瞳平面處來達成使用固定元件之0級衰減。在特定實施例中,可變光學元件(諸如DLP或SLM)可用於在不分裂收集支部105之情況下衰減0級。一額外分束器85A可用於將收集輻射分裂成收集支部105之兩個子支部。特定實施例可包括能夠使用一單一攝影機90及/或一單一0級衰減器140(圖中未繪示)之收集支部中之光學操縱。
圖4係根據本發明之一些實施例之一分裂影像組態中所實施之度量工具100及量測100A、100B之一高階示意圖。特定實施例包括度量工具100,其包括經組態以由照明122(等效於照明110+120)照射目標60以分別產生一反射0繞射級112(等效於繞射0級115A+125A)及-1繞射級115B及+1繞射級125B之照明支部101。所揭示之度量工具100進一步包括一收集支部105,其包括經組態以將反射0繞射級122分裂成由-1繞射級115B擷取之第一分量115A及由+1繞射級125B擷取之第二分量125A以分別產生對應第一量測100A及第二量測100B之一影像分裂器150(例如一稜鏡(諸如一稜鏡反射鏡),可經由(若干)光學元件86(諸如一反射鏡))。度量工具100進一步包括經組態以自分別自第一量測100A及第二量測100B導出至少一度量量度之處理單元99(例如與一度量模組相關聯)。在各種實施例中,照明支部101及收集支部105可經組態以在兩個量測方向(例如X及Y)上同時實施照明及量測執行。在一些實施例中,收集支部105可包括經組態以衰減0繞射級122之第一分量115A及第二分量125A之至少一者的至少一0繞射級衰減器(圖中未展示,參閱衰減器140A、140B)。
度量工具100之特定實施例消除超過模糊度之不準確度, 同時藉由使用分裂影像組態來不劣化信號位準,如圖4中所示意性繪示。在特定實施例中,收集光瞳可使用(例如)稜鏡反射鏡150或美國專利第8,456,641號(其全部內容以引用的方式併入本文中)中所揭示之其他實施例來在中間(或依可與照明波長有關之一給定比例)分裂成兩半(或在兩個量測方向(諸如X及Y)上量測週期性結構時之四個象限)。可使+1級(125B)干涉0級122之正半部(作為第一分量125A)以構建一影像100A,且可使-1級(115B)干涉0級122之負部分(作為第二分量115A)以構建第二影像100B。在特定實施例中,兩個影像(100A、100B)之間的覆蓋差不取決於平均構形相位ψ(參閱方程式1)且信號之振幅僅隨繞射效率線性衰變,類似於上文所揭示之利特羅方法及利特羅組態。
特定實施例進一步包括分別根據層相依遠心性來校準第一量測100A及第二量測100B(例如對應影像)。特定實施例進一步包括自第一量測100A及第二量測100B(例如對應影像)之遠心性參數導出焦點資訊,例如自一(或多個)抓取(例如100A及/或100B)獲得各層之焦點位置。特定實施例包括在不分裂收集路徑處之光瞳之情況下藉由使用一非利特羅離軸照明來獲得焦點敏感度且以犧牲提高對稱像差之敏感度為代價,自光柵及/或胞元位置之移位量測焦點。
特定實施例進一步包括藉由衰減0級分量115A、125A之一或多者來提高低繞射效率目標之對比度。替代地或互補地,由分裂0級122(分裂成各量測方向之兩個部分,例如在使用兩個量測方向時分裂成四個部分)引起之對比度損失可由各種方法補償,例如針對兩個量測方向,藉由將原始信號122分裂成四個相同副本且接著藉由組合(0 th ,+
Figure 108124045-A0305-02-0014-29
)、(0 th ,-
Figure 108124045-A0305-02-0014-27
)、(0 th ,+
Figure 108124045-A0305-02-0014-28
)及(0 th ,-
Figure 108124045-A0305-02-0014-26
)之各者之級選擇來自各副本獲得一不同影像 (圖中未繪示)。有利地,分裂信號以僅使用0級之部分來產生具有1級信號(或其部分)之各自信號提供0級信號之一較小振幅,同時維持所有照明點干涉1級(例如作為整體信號)。
在所揭示之實施例之任何者中,(若干)度量量度(諸如覆蓋)可自獲得自各自0級與±1級之間的干涉之信號之相位單獨導出。
有利地,所揭示之工具100及方法200可經組態以在低繞射效率條件下提供IBO之極佳準確度及精確度且無需相位控制。例如,與(例如)美國專利公開申請案第2017/0146915號中所教示之相位及振幅控制方法相比,所揭示之實施例可更簡單實施、更易於實踐及呈現待滿足之更簡單系統要求。在另一實例中,所揭示之工具100及方法200可經組態以提供比尋求最小化或消除1級項a0(參閱方程式1)之方法高之信號振幅,諸如由WIPO公開案第2007143056號所教示,其使信號振幅與
Figure 108124045-A0305-02-0015-32
有關。由所揭示之工具100及方法200達成之較高信號振幅亦提高所達成之信雜比。
應注意,來自圖1至圖4之元件可組合於任何可操作組合中,且特定圖而非其他圖中之特定元件之繪示僅供說明而非限制。
圖5係繪示根據本發明之一些實施例之一方法200的一高階流程圖。方法階段可相對於上述度量工具100實施,度量工具100可視情況經組態以實施方法200。方法200可至少部分由(例如)一度量模組中之至少一電腦處理器實施。特定實施例包括電腦程式產品,其包括一電腦可讀儲存媒體,電腦可讀儲存媒體具有由其體現且經組態以實施方法200之相關階段之電腦可讀程式。特定實施例包括由方法200之實施例設計之各自目標之目標設計檔案。方法200可包括無關於順序之以下階段。
方法200包括由具有一照明支部及一擷取支部之一度量工 具量測一度量目標(階段205),其包含在一利特羅組態中照射目標(階段210)、藉由執行第一量測信號及第二量測信號之第一量測及第二量測(階段230)來導出具有-1及0繞射級之一第一量測信號及包括+1及0繞射級之一第二量測信號(階段220)及自第一量測及第二量測導出(若干)度量量度(諸如覆蓋)(階段240)。在所揭示之實施例之任何者中,在相同照明條件下依晶圓定向之0°及180°實施第一量測及第二量測(階段231)以權衡MAM時間之照明條件之修改。
方法200可進一步包括組態照明支部以具有依180°繞射至照明方向之各自量測信號之-1及+1繞射級(階段212)。在特定實施例中,方法200可包括藉由空間光調變及/或數位光處理來實施照明(階段214)及/或使用交替應用於具有四個極之一照明源以對應於兩個量測方向上之同時照明之一對快門來實施照明(階段216)。特定實施例包括衰減0繞射級之至少一者(階段217)。特定實施例包括依多個波長實施照明以實施一靈活利特羅組態(階段218)。
在特定實施例中,可藉由空間光調變及/或數位光處理來實施量測之執行(階段232)。特定實施例包括實施照明及兩個量測方向上同時量測之執行(階段234)。
在特定實施例中,方法200可進一步包括利用所揭示之利特羅組態,藉由將週期性結構之節距減小(例如)兩倍來將目標大小減小(例如)一半(階段250)。在特定所例示中,方法200可包括由照明支部照射目標以產生一反射0繞射級及-1及+1繞射級(階段222)、在收集支部中將反射0繞射級分裂成由-1繞射級擷取之一第一分量及由+1繞射級擷取之一第二分量以產生對應第一量測及第二量測(階段224)及自第一量測及第二量 測導出至少一度量量度(階段240)。在各種實施例中,可在兩個量測方向上針對第一量測及第二量測之各者同時實施照射222及分裂224。在特定實施例中,方法200可進一步包括衰減0繞射級之第一分量及第二分量至少一者(階段227)。(若干)度量量度可包括自方程式3計算之目標之一覆蓋。
特定實施例進一步包括根據層相依遠心性來校準第一量測及第二量測(例如對應影像)、影像(階段242)。特定實施例進一步包括自第一量測及第二量測(例如對應影像)之遠心性參數導出焦點資訊,例如自一(或多個)抓取獲得各層之焦點位置(階段244)。特定實施例包括在不分裂收集路徑處之光瞳之情況下藉由使用一非利特羅離軸照明來獲得焦點敏感度且以犧牲提高對稱像差之敏感度為代價,自光柵及/或胞元位置之移位量測焦點(階段247)。
上文參考根據本發明之實施例之方法、裝置(系統)及電腦程式產品之流程圖及/或部分圖來描述本發明之態樣。應瞭解,流程圖及/或部分圖之各部分及流程圖及/或部分圖中之部分之組合可由電腦程式指令實施。此等電腦程式指令可提供至一通用電腦、專用電腦或其他可程式化資料處理裝置之一處理器以產生一機器,使得經由電腦或其他可程式化資料處理裝置之處理器來執行之指令產生用於實施流程圖及/或部分圖或其部分中所指定之功能/動作之構件。
此等電腦程式指令亦可儲存於一電腦可讀媒體中,其可指導一電腦、其他可程式化資料處理裝置或其他器件依一特定方式運作,使得儲存於電腦可讀媒體中之指令產生包含實施流程圖及/或部分圖或其部分中所指定之功能/動作之指令之一製品。
電腦程式指令亦可載入至一電腦、其他可程式化資料處理裝置或其他器件上以引起一系列操作步驟在電腦、其他可程式化資料處理裝置或其他器件上執行以產生一電腦實施程序,使得在電腦或其他可程式化裝置上執行之指令提供用於實施流程圖及/或部分圖或其部分中所指定之功能/動作之程序。
上述流程圖及圖式繪示根據本發明之各種實施例之系統、方法及電腦程式產品之可能實施方案之架構、功能性及操作。據此而言,流程圖或部分圖中之各部分可表示一模組、片段或包括用於實施(若干)指定邏輯函數之一或多個可執行指令之程式碼之部分。亦應注意,在一些替代實施方案中,部分中所提及之功能可不依圖中所提及之順序發生。例如,事實上,連續展示之兩個部分可實質上同時執行或部分有時可取決於所涉及之功能性而依相反順序執行。亦應注意,部分圖及/或流程圖之各部分及部分圖及/或流程圖中之部分之組合可由執行指定功能或動作或專用硬體及電腦指令之組合之基於專用硬體之系統實施。
在以上描述中,一實施例係本發明之一實例或實施方案。出現於各處之「一實施例」、「特定實施例」或「一些實施例」未必全部係指相同實施例。儘管可在一單一實施例之內文中描述本發明之各種特徵,但特徵亦可單獨或依任何適合組合提供。相反地,儘管本文為了清楚而在單獨實施例之內文中描述本發明,但本發明亦可實施於一單一實施例中。本發明之特定實施例可包含來自上文所揭示之不同實施例之特徵,且特定實施例可併入來自上文所揭示之其他實施例之元件。一特定實施例之內文中之本發明之元件之揭示內容不應被視為使其僅限用於特定實施例中。此外,應瞭解,可依各種方式實施或實踐本發明且本發明可實施於除以上描 述中框定之實施例之外之特定實施例中。
本發明不受限於該等圖式或對應描述。例如,流程無需移動通過各繪示框或狀態或依完全相同於所繪示及描述之順序的順序移動。除非另有界定,否則本文所使用之科技術語之含義應如本發明所屬技術之一般者通常所理解。儘管已相對於有限數目個實施例來描述本發明,但此等實施例不應被解釋為限制本發明之範疇,而是應被解釋為一些較佳實施例之範例。其他可能變動、修改及應用亦在本發明之範疇內。因此,本發明之範疇不應受限於到目前為止所描述之內容,而是應由隨附申請專利範圍及其合法等效物限制。
60:度量目標
99:處理單元
100:度量工具
100A:照明及量測條件
100B:照明及量測條件
101:照明支部
105:收集支部
110:第一入射照明
115A:0繞射級/第一分量
115B:-1繞射級
120:第二入射照明
125A:0繞射級/第二分量
125B:+1繞射級
200:目標量測方法
210:階段
230:階段

Claims (29)

  1. 一種由具有一照明支部及一收集支部之一度量工具量測一度量目標之方法,該方法包括:由該照明支部在一利特羅(Littrow)組態中照射該度量目標以產生包括一-1繞射級及一0繞射級之一第一分量之一第一量測信號及包括一+1繞射級及該0繞射級之一第二分量之一第二量測信號,其中該第一量測信號之該-1繞射級及該第二量測信號之該+1繞射級依180°繞射至該照明之一方向;由該收集支部執行該第一量測信號之一第一量測及該第二量測信號之一第二量測;衰減該等0繞射級之該第一分量及該第二分量之至少一者;及自該第一量測及該第二量測導出至少一度量量度。
  2. 如請求項1之方法,其中藉由空間光調變及/或數位光處理來實施照射該度量目標。
  3. 如請求項1之方法,其中依多個波長實施照射該度量目標以實施一靈活利特羅組態。
  4. 如請求項1之方法,其中藉由空間光調變及/或數位光處理來實施執行該第一量測及該第二量測。
  5. 如請求項1之方法,其中在兩個量測方向上同時實施照射該度量目標及執行該第一量測及該第二量測。
  6. 如請求項1之方法,其中使用交替應用於具有四個極之一照明源以對應於兩個量測方向上之同時照射的一對快門來實施照射該度量目標。
  7. 如請求項1之方法,其中該至少一度量量度包括自以下方程式計算之該度量目標之一覆蓋:
    Figure 108124045-A0305-02-0023-17
    其中OVL代表該度量目標之該覆蓋,p代表該度量目標之一節距,Φ+-代表該第二量測信號與該第一量測信號之間的一相位差,x0代表該度量目標之一對稱中心的一位置,以及δΦ代表該+1繞射級與該-1繞射級之間的一相位差。
  8. 如請求項1之方法,其進一步包括藉由相對於一給定度量目標設計將該度量目標之一週期性結構節距減小兩倍來將該度量目標之一大小減半。
  9. 如請求項1之方法,其中依晶圓定向之0°及180°實施該第一量測及該第二量測。
  10. 如請求項1之方法,其中該導出由至少一電腦處理器實施。
  11. 一種電腦程式產品,其包括一非暫時的電腦可讀儲存媒體,該非暫 時的電腦可讀儲存媒體具有由其體現且經組態以實施如請求項1之方法的一電腦可讀程式。
  12. 一種度量模組,其包括如請求項11之電腦程式產品。
  13. 一種度量工具,其包括:一照明支部,其經組態以在一利特羅組態中照射一度量目標以產生包括一-1繞射級及一0繞射級之一第一分量之一第一量測信號及包括一+1繞射級及該0繞射級之一第二分量之一第二量測信號,其中該第一量測信號之該-1繞射級及該第二量測信號之該+1繞射級依180°繞射至該照明之一方向;一收集支部,其經組態以執行該第一量測信號之一第一量測及該第二量測信號之一第二量測,其中該收集支部包括至少一0繞射級衰減器;及一處理單元,其經組態以自該第一量測及該第二量測導出至少一度量量度。
  14. 如請求項13之度量工具,其中該等照明支部及該收集支部之至少一者包括經組態以實施對應於藉由多個波長之照明之一靈活利特羅組態的一空間光調變器及/或一數位光處理器。
  15. 如請求項13之度量工具,其中該照明支部及該收集支部經組態以在兩個量測方向上同時照射該度量目標及執行該第一量測及該第二量測。
  16. 如請求項13之度量工具,其中該照明支部包括交替應用於具有四個極之一照明源以對應於兩個量測方向上之同時照明的一對快門。
  17. 如請求項13之度量工具,其中依晶圓定向之0°及180°實施該第一量測及該第二量測。
  18. 一種由具有一照明支部及一收集支部之一度量工具量測一度量目標之方法,該方法包括:由該照明支部照射該度量目標以產生一反射0繞射級及-1及+1繞射級;在該收集支部中將該反射0繞射級分裂成由該-1繞射級擷取之一第一分量以產生一第一分量及由該+1繞射級擷取之一第二分量以產生一第二分量;衰減該等0繞射級之該第一分量及該第二分量之至少一者;及自該第一量測及該第二量測導出至少一度量量度。
  19. 如請求項18之方法,其中在兩個量測方向上針對該第一量測及該第二量測之各者同時實施照射該度量目標及分裂該反射0繞射級。
  20. 如請求項18之方法,其中該至少一度量量度包括自以下方程式計算之該度量目標之一覆蓋:
    Figure 108124045-A0305-02-0025-18
    其中OVL代表該度量目標之該覆蓋,p代表該度量目標之一節距,Φ+-代表該第二量測信號與該第一量測信號之間的一相位差,x0代表該度量目標之一對稱中心的一位置,以及δΦ代表該+1繞射級與該-1繞射級之間的一相位差。
  21. 如請求項18之方法,其進一步包括根據層相依遠心性來校準該第一量測及該第二量測。
  22. 如請求項18之方法,其進一步包括自該第一量測及該第二量測之遠心性參數導出焦點資訊。
  23. 如請求項18之方法,其進一步包括使用一非利特羅、離軸照明來獲得焦點敏感度資料及自該度量目標之一位置移位來量測該焦點。
  24. 如請求項18之方法,其該導出中由至少一電腦處理器實施。
  25. 一種電腦程式產品,其包括一非暫時的電腦可讀儲存媒體,該非暫時的電腦可讀儲存媒體具有由其體現且經組態以實施如請求項18之方法的一電腦可讀程式。
  26. 一種度量模組,其包括如請求項25之電腦程式產品。
  27. 一種度量工具,其包括: 一照明支部,其經組態以照射一度量目標以產生一反射0繞射級及-1及+1繞射級;一收集支部,其包括一影像分裂器,該影像分裂器經組態以將該反射0繞射級分裂成由該-1繞射級擷取之一第一分量以產生一第一分量及由該+1繞射級擷取之一第二分量以產生一第二分量,其中該收集支部包括經組態以衰減該0繞射級之第一分量及第二分量之至少一者的至少一0繞射級衰減器;及一處理單元,其經組態以自該第一量測及該第二量測導出至少一度量量度。
  28. 如請求項27之度量工具,其中該照明支部及該收集支部經組態以在兩個量測方向上同時實施照射該度量目標及執行該第一量測及該第二量測。
  29. 如請求項27之度量工具,其中該處理單元經進一步組態以實施以下之至少一者:根據層相依遠心性來校準該第一量測及該第二量測;自該第一量測及該第二量測之遠心性參數導出焦點資訊;及/或使用一非利特羅、離軸照明來獲得焦點敏感度資料且自該度量目標之一位置移位量測該焦點。
TW108124045A 2018-08-28 2019-07-09 量測一度量目標之方法,電腦程式產品,度量模組,以及度量工具 TWI791866B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862723944P 2018-08-28 2018-08-28
US62/723,944 2018-08-28
WOPCT/US18/65579 2018-12-14
PCT/US2018/065579 WO2020046408A1 (en) 2018-08-28 2018-12-14 Off-axis illumination overlay measurement using two-diffracted orders imaging

Publications (2)

Publication Number Publication Date
TW202022348A TW202022348A (zh) 2020-06-16
TWI791866B true TWI791866B (zh) 2023-02-11

Family

ID=69645312

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108124045A TWI791866B (zh) 2018-08-28 2019-07-09 量測一度量目標之方法,電腦程式產品,度量模組,以及度量工具

Country Status (8)

Country Link
US (1) US11281111B2 (zh)
EP (1) EP3811154A4 (zh)
JP (2) JP7344954B2 (zh)
KR (1) KR20210038983A (zh)
CN (1) CN112567296B (zh)
SG (1) SG11202100991PA (zh)
TW (1) TWI791866B (zh)
WO (1) WO2020046408A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604149B2 (en) 2020-04-23 2023-03-14 Kla Corporation Metrology methods and optical schemes for measurement of misregistration by using hatched target designs
US11164307B1 (en) 2020-07-21 2021-11-02 Kla Corporation Misregistration metrology by using fringe Moiré and optical Moiré effects
US11300405B2 (en) * 2020-08-03 2022-04-12 Kla Corporation Grey-mode scanning scatterometry overlay metrology
US11796925B2 (en) 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies
US20230314344A1 (en) * 2022-03-30 2023-10-05 Kla Corporation System and method for isolation of specific fourier pupil frequency in overlay metrology

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200938964A (en) * 2008-02-13 2009-09-16 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization
US8867041B2 (en) * 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
TW201710799A (zh) * 2015-09-09 2017-03-16 克萊譚克公司 基於輔助電磁場之引入之一階散射測量疊加之新方法
TW201805741A (zh) * 2016-05-31 2018-02-16 尼康股份有限公司 標記檢測裝置及標記檢測方法、測定裝置、曝光裝置及曝光方法以及元件製造方法
TW201812473A (zh) * 2016-07-21 2018-04-01 荷蘭商Asml荷蘭公司 量測目標之方法、基板、度量衡裝置及微影裝置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3891872B2 (ja) 2002-04-04 2007-03-14 株式会社ミツトヨ 微小周期構造評価装置及び微小周期構造評価方法
US7342659B2 (en) * 2005-01-21 2008-03-11 Carl Zeiss Meditec, Inc. Cross-dispersed spectrometer in a spectral domain optical coherence tomography system
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
KR101725529B1 (ko) * 2010-03-30 2017-04-10 지고 코포레이션 간섭계 인코더 시스템
US9140998B2 (en) * 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US9007584B2 (en) * 2010-12-27 2015-04-14 Nanometrics Incorporated Simultaneous measurement of multiple overlay errors using diffraction based overlay
IL217843A (en) 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
EP2776790B1 (en) * 2011-11-09 2016-09-14 Zygo Corporation Compact encoder head for interferometric encoder system
US8456641B1 (en) 2011-11-23 2013-06-04 Kla-Tencor Corporation Optical system
TWI516746B (zh) 2012-04-20 2016-01-11 賽格股份有限公司 在干涉編碼系統中執行非諧循環錯誤補償的方法、裝置及計算機程式產品,以及微影系統
WO2015153497A1 (en) 2014-03-31 2015-10-08 Kla-Tencor Corporation Focus measurements using scatterometry metrology
CN110553602B (zh) * 2014-11-26 2021-10-26 Asml荷兰有限公司 度量方法、计算机产品和系统
KR102607646B1 (ko) 2015-05-19 2023-11-29 케이엘에이 코포레이션 오버레이 측정을 위한 지형 위상 제어
US10101676B2 (en) * 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US10048132B2 (en) * 2016-07-28 2018-08-14 Kla-Tencor Corporation Simultaneous capturing of overlay signals from multiple targets
WO2018153711A1 (en) * 2017-02-22 2018-08-30 Asml Netherlands B.V. Computational metrology
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200938964A (en) * 2008-02-13 2009-09-16 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization
US8867041B2 (en) * 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
TW201710799A (zh) * 2015-09-09 2017-03-16 克萊譚克公司 基於輔助電磁場之引入之一階散射測量疊加之新方法
TW201805741A (zh) * 2016-05-31 2018-02-16 尼康股份有限公司 標記檢測裝置及標記檢測方法、測定裝置、曝光裝置及曝光方法以及元件製造方法
TW201812473A (zh) * 2016-07-21 2018-04-01 荷蘭商Asml荷蘭公司 量測目標之方法、基板、度量衡裝置及微影裝置

Also Published As

Publication number Publication date
TW202022348A (zh) 2020-06-16
JP2021535595A (ja) 2021-12-16
KR20210038983A (ko) 2021-04-08
EP3811154A1 (en) 2021-04-28
US20200132446A1 (en) 2020-04-30
JP7344954B2 (ja) 2023-09-14
WO2020046408A1 (en) 2020-03-05
CN112567296A (zh) 2021-03-26
EP3811154A4 (en) 2022-04-06
CN112567296B (zh) 2024-03-08
JP2023165738A (ja) 2023-11-17
US11281111B2 (en) 2022-03-22
SG11202100991PA (en) 2021-03-30

Similar Documents

Publication Publication Date Title
TWI791866B (zh) 量測一度量目標之方法,電腦程式產品,度量模組,以及度量工具
JP6879939B2 (ja) オーバレイ計測用トポグラフィック位相制御
TWI656409B (zh) 基於輔助電磁場之引入之一階散射測量疊加之新方法
KR101492205B1 (ko) 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
JP6382624B2 (ja) 位相制御モデルに基づくオーバーレイ測定システム及び方法
KR102604927B1 (ko) 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 시스템 및 방법
TWI738787B (zh) 微影系統,圖案遮罩,及用於設計一計量標靶圖案遮罩之方法
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
TWI821586B (zh) 用於在計量量測中減少錯誤之系統及方法
EP3721296A1 (en) Diffraction based overlay scatterometry
NL2021602A (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2020229049A1 (en) Detection apparatus for simultaneous acquisition of multiple diverse images of an object
TW202125113A (zh) 用於過濾影像的方法及相關度量衡設備
TW201839874A (zh) 基於繞射之重疊散射術
EP3614207A1 (en) Metrology apparatus
NL2024478A (en) Dark field digital holographic microscope and associated metrology method
TWI792653B (zh) 暗場數位全像顯微鏡及相關度量衡方法
TW202347040A (zh) 疊對測量中之參考影像分組
NL2023181A (en) Detection apparatus for simultaneous acquisition of multiple diverse images of an object
NL2021856A (en) Metrology apparatus