TWI783577B - 具有緩衝層的半導體裝置及處理半導體晶圓的方法 - Google Patents

具有緩衝層的半導體裝置及處理半導體晶圓的方法 Download PDF

Info

Publication number
TWI783577B
TWI783577B TW110126139A TW110126139A TWI783577B TW I783577 B TWI783577 B TW I783577B TW 110126139 A TW110126139 A TW 110126139A TW 110126139 A TW110126139 A TW 110126139A TW I783577 B TWI783577 B TW I783577B
Authority
TW
Taiwan
Prior art keywords
rdl
wafer
layer
buffer layer
die
Prior art date
Application number
TW110126139A
Other languages
English (en)
Other versions
TW202221866A (zh
Inventor
輝星 周
森蒂爾 庫馬爾 穆尼拉希南
Original Assignee
新加坡商Pep創新私人有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新加坡商Pep創新私人有限公司 filed Critical 新加坡商Pep創新私人有限公司
Publication of TW202221866A publication Critical patent/TW202221866A/zh
Application granted granted Critical
Publication of TWI783577B publication Critical patent/TWI783577B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect

Abstract

公開了一種晶圓級緩衝層。所述晶圓級緩衝層被配置為防止在晶圓切割過程中後段(BEOL)電介質產生碎裂。晶圓級緩衝層是一種具有減振劑的複合晶圓級緩衝層。所述減振劑包括具有填料的聚合物基底緩衝層。所述阻尼劑在切割過程中吸收或抑制鋸片的振動,用於防止後段(BEOL)電介質產生碎裂。

Description

具有緩衝層的半導體裝置及處理半導體晶圓的方法
本公開總體上涉及集成電路(IC)。更具體地,本公開涉及在晶圓切割期間防止或減少IC的碎裂。
集成電路(IC)需要互連件(interconnects)以提供到內部組件的外部連接。通常,IC中的互連件形成在多個介電層(dielectric layer)之中。對低成本和高性能的持續需求,例如更快的運行速度、更低的互連延遲、更小的特徵尺寸以及更高的密度或功能,正在推動使用銅作為互連件和低k或超低k的電介質(例如,介電常數(dielectric constant)k小於3.0)用作絕緣體。例如,65nm或更短的技術節點使用銅線和具有低k或超低k電介質的通孔(vias)作為絕緣體以實現電氣絕緣。此外,為了滿足這一需求,IC使用更厚的層間電介質堆疊(inter-layer dielectric stack)和更高的金屬密度。
然而,我們已經注意到,僅能在現場測試(field testing)和封裝可靠性測試(package reliability testing)中才能檢測到採用較厚低k電介質裝置的可靠性問題。透過調查,我們發現故障的原因是由於晶粒密封環(die seal ring)內的活性晶粒區域(active die region)的低k介電層中存在微裂紋。例如,晶粒密封環將晶粒(die)的活性區域與切割道(saw street)隔離開。由於隱藏在晶圓(wafer)上表面之下,微裂紋或毛髮狀缺陷幾乎不可能被檢測到。
儘管不受理論束縛,但普遍認為由於半導體晶圓(semiconductor wafer)上的低k電介質本質是脆性的,所以在活性晶粒區域中會出現微裂紋。低k電介質的脆性會導致微裂紋,這種微裂紋起源於將晶圓切割到晶粒的切割過程,在晶粒密封環下方傳播並進入活性晶粒區域。例如,源自切割道的裂紋會在晶粒密封環下方傳播並進入活性晶粒區域,從而導致晶粒級互連件(die-level interconnect)的故障,對良率產生負面影響。
圖1a是描繪晶圓上的切割工藝(dicing process)100a的簡化圖。如圖所示,晶圓101附接到晶圓切割帶(wafer dicing tape)122。例如,晶圓在其活性面上形成了電路組件(circuit components)和具有多個金屬層的後段(back-end-of-line)電介質130,所述多個金屬層具有低k層間(inter-layer)和/或層內(intra-layer)電介質,以及金屬線和通孔觸點(via contacts)。
如圖所示,鋸片(saw blade)177旋轉並降低到晶圓的邊緣上,在x或y方向沿切割道或切割道開始切割過程。鋸片177包括嵌入其圓週用於切割晶圓的金剛石磨粒179(diamond grits)。鋸片177的初始接觸點位於BEOL電介質上。隨著鋸片177旋轉並繼續下降到晶圓之中,將沿著切割道切割晶圓。來自鋸片177的振動導致在BEOL電介質中形成裂紋189。如上所述,即使僅發生在晶圓的晶粒邊緣,裂紋189也會對產量和封裝可靠性產生負面影響。
為了防止裂紋189,可以首先採用雷射沿著晶圓的切割道形成凹槽(groove)。圖1b示出了用於沿著晶圓101的切割道120形成雷射凹槽(laser groove)126的工藝100b的簡化圖。具有低k BEOL電介質130的晶圓101安裝在晶圓切割帶122上。雷射凹槽126由雷射192形成。雷射凹槽126穿透BEOL電介質130並進入晶圓101。由於雷射是非機械的,因此不會產生振動而在低k BEOL電介質130中產生裂紋189。在形成雷射凹槽126之後,工藝100b繼續透過使用金剛石鋸片177完成切割晶圓101,如圖1c的工藝100c所示。
儘管雷射凹槽可以減少低k BEOL電介質130中的裂紋189,但在設備成本和生產時間方面,對雷射的需求將顯著增加製造成本。例如,雷射設備價格昂貴,且雷射凹槽過程比僅使用鋸片177要慢得多。同時使用雷射凹槽和機械鋸切會顯著延長每個晶圓101的加工時間。在某些情況下,即使同時使用雷射凹槽和機械鋸切,仍會在晶粒邊緣觀察到晶圓碎裂(wafer chipping)。
因此,基於上述討論,本公開將提供一種較低成本的解決方案,用於在將晶圓切割為單個裝置的過程中防止裝置的BEOL電介質中產生裂紋。
本公開總體上涉及半導體裝置或集成電路(IC)。更具體地,本公開涉及在晶圓切割過程中防止或減少IC的碎裂。
在一個實施例中,一種半導體封裝包括一晶粒,具有活性和非活性面,其中所述活性面包括在後段(BEOL)電介質上的晶粒墊;一緩衝層,設置在晶粒的活性面上,其中所述緩衝層包括減振組合物,用於防止後段(BEOL)電介質在晶圓切割過程中產生破裂;多個通孔開口,位於所述緩衝層之中,用於暴露所述晶粒墊;以及多個通孔觸點,設置在所述通孔開口上,用於提供電連接至所述晶粒墊。
在另一個實施例中,一種半導體封裝包括一晶粒,具有活性和非活性面,其中所述活性面包括在後段(BEOL)電介質上的晶粒墊;一緩衝層,設置在晶粒的活性面上,其中所述緩衝層包括楊氏模量和斷裂強度,用於防止後段(BEOL)電介質在晶圓切割過程中產生破裂;多個通孔開口,位於所述緩衝層之中,用於暴露所述晶粒墊;以及多個設置在所述通孔開口上的通孔觸點,用於提供電連接至所述晶粒墊。
在另一個實施例中,一種處理半導體晶圓的方法包括:提供具有活性面的半導體晶圓,其中,所述活性面經處理具有多個晶粒,所述多個晶粒的頂部晶粒表面包括位於後段(BEOL)電介質上的晶粒墊;以及形成位於所述半導體晶圓上的緩衝層,覆蓋所述頂部晶粒表面,其中所述緩衝層包括減振組合物,用於防止後段(BEOL)電介質在晶圓切割過程中產生破裂。
透過參考以下描述和圖式,本文所公開的實施例的優點和特徵將變得顯而易見。此外,應當理解,這裡描述的各種實施例的特徵不是相互排斥的,其可以以各種組合和排列存在。
實施例一般涉及裝置,例如半導體裝置或積體電路(IC)。特別地,本公開涉及一種緩衝層,用於防止或減少晶圓上由切割工藝導致的低k BEOL(back-end-of-line)電介質的破損和碎裂(cracking and chipping)。
圖2a至2e示出了半導體封裝200的各種實施例的簡化截面圖。其中,圖2a至2c示出了扇入型(fan-in)半導體封裝的不同實施例的簡化截面圖;圖2d至2e示出了扇出型(fan-out)封裝的不同實施例的簡化截面圖。參考圖2a至2e,半導體封裝200包括晶粒210。晶粒210包括第一和第二主晶粒面211和212以及側晶粒面213。第一主晶粒面211可以被稱為活性晶粒面。而第二主晶粒面212可以被稱為非活性晶粒面212。例如,晶粒210是從具有多個晶粒210的已處理晶圓201(諸如矽晶圓)上分離出來的。其他類型的晶圓亦可。例如,晶圓201可以是碳化矽(SiC)晶圓、氮化鎵(GaN)晶圓、砷化鎵(GaAs)晶圓或磷化銦(InP)晶圓。其他類型的晶圓亦可。裸晶圓或未處理的晶圓可作為晶粒210的基板(即晶粒基板(die substrate))。
晶粒基板可以用電路元件或元件進行處理。電路元件可包括主動和被動電路元件。主動元件可以包括例如電晶體、二極體和三極體,而被動元件包括電壓元件、電容器、電阻器和電感器。也可以包括其他類型的主動和被動元件。電路元件可以透過摻雜(例如注入或擴散)、沉積(例如氧化、化學氣相沉積(CVD)、電鍍和濺鍍)和圖案化(例如光刻和蝕刻)等一系列工藝形成。亦可採用其他技術來形成電路元件。
在晶粒基板上形成具有多個互連級(interconnect levels)的BEOL(back-end-of-line)電介質,所述互連級具有耦合到通孔觸點(via contacts)的導電線。例如,BEOL電介質覆蓋具有電路元件的晶粒基板的表面。在一個實施例中,BEOL電介質包括絕緣不同互連級導電線的低k電介質或電介質層。低k介電層還可包括超低k介電層。低k電介質或電介質層可指低k電介質或電介質層和超低k電介質或電介質層。其他類型的介電層亦可。
BEOL電介質的頂部可包括介電鈍化層(dielectric passivation layer)244,其具有墊開口(pad opening),用於將導電晶粒接觸墊(conductive die contact pad)242暴露。接觸墊242也可以被稱為晶粒墊(die pad)或貼片墊(bond pad)。例如,接觸墊242可以是鋁(Al)接觸墊。其他類型的接觸墊亦可,例如銅(Cu)、鎳(Ni)、鈀(Pd)、金(Au)、鉻(Cr)或其組合或合金,例如Al-Cu。
介電鈍化層244可以是具有多個介電層(dielectric layer)的鈍化堆疊(passivation stack)。例如,鈍化堆疊可包括介電層的組合,例如氧化矽(silicon oxide)和氮化矽(silicon nitride)層。其他類型的介電層亦可。在一個實施例中,墊開口小於接觸墊242。例如,介電鈍化層244的上表面位於接觸墊242的上表面之上,且墊開口小於接觸墊242。如圖所示,介電鈍化層244覆蓋接觸墊242的邊緣部分。墊開口可透過例如各向異性蝕刻(anisotropic etch)形成,例如反應離子蝕刻(reactive ion etch)。亦可使用其他類型的蝕刻來形成墊開口。
在一個實施例中,BEOL電介質、鈍化層224和接觸墊242的上表面的暴露部分構成晶圓活性面。在某些情況下,晶圓活性面可包括BEOL電介質的頂部和接觸墊242,但不包括鈍化層224。
在一個實施例中,緩衝層250設置在晶粒210的晶粒活性面上。例如,緩衝層250覆蓋了具有接觸墊242和鈍化層224的晶粒活性面。在晶粒活性面不包括鈍化層224的情況下,緩衝層250覆蓋了晶粒活性面和接觸墊242。例如,緩衝層250可以被稱為晶圓級(wafer-level)緩衝層。例如,在進行晶圓切割工藝之前,緩衝層250可設置在具有多個晶粒210的晶圓活性面上。
緩衝層250防止或減少切割過程中BEOL電介質中產生破損和碎裂。緩衝層250的機械性能對於減少或防止晶圓切割過程中BEOL電介質的破裂也很重要。特別地,緩衝層250的楊氏模量(Young’s Modulus)和斷裂強度(Breaking Strength)對於減少或防止晶圓切割過程中的破損和碎裂很重要。
在一個實施例中,緩衝層250是透明的。提供非透明緩衝層亦可。在某些情況下,材料可配置為透明或不透明。在一個實施例中,緩衝層250是不可感光成像的(non-photoimageable)。例如,緩衝層250是非光敏的(non-photosensitive)。可透過例如雷射蝕刻(laser etching)、掩模(mask)和蝕刻(etch)等技術或其組合來實現緩衝層250的圖案化。
在一個實施例中,緩衝層250是可調(tunable)緩衝層。例如,緩衝層250的楊氏模量和斷裂強度是可調的。可調整緩衝層250的楊氏模量和斷裂強度,以防止BEOL電介質產生開裂。在一個實施例中,楊氏模量約為10,000 – 25,000 MPa。在其他實施例中,楊氏模量約為14,000 – 25,000 MPa。在其他實施例中,楊氏模量約為15,000 – 25,000 MPa。在另一個實施例中,楊氏模量約為16,000 – 25,000 MPa。在另一個實施例中,楊氏模量約為15,000 – 20,000 MPa。在其他實施例中,楊氏模量約為20,000 – 25,000 MPa。
斷裂強度可以是大約45 – 150 MPa。在其他實施例中,斷裂強度為約70 – 150 MPa。在另一個實施例中,斷裂強度為約70 – 120 MPa。在另一個實施例中,斷裂強度為約70 – 105 MPa。在另一個實施例中,緩衝層250的斷裂強度為約80 – 120 MPa。在另一實施例中,緩衝層250的斷裂強度為約90 – 120 MPa。緩衝層250的熱膨脹係數(CTE)例如可以是大約6 - 20 ppm/K。緩衝層250可以在負( – )65 - 正(+)300攝氏度(℃)的範圍內具有溫度穩定性。
在一個實施例中,可調緩衝層是可調複合(tunable composite)緩衝層。可調複合緩衝層包括減振組合物(vibration damping composition)或阻尼劑(damping agent)。例如,減振組合物包括含有填料(fillers)或顆粒(granules)的基底層(base layer),以減少切割過程中的振動。
在一個實施例中,可調複合緩衝層的基底緩衝層是有機聚合物基質材料(organic polymer matrix material)。各種類型的聚合物可用於基底緩衝層。例如,聚合物可包括熱固性塑膠(thermosetting plastics)或熱塑性塑膠(thermoplastics),例如聚醯亞胺(polyimides)、環氧樹脂(epoxy resins)以及其他類型的聚合物。在一個實施例中,基底緩衝層包括樹脂(resin),例如環氧樹脂(epoxy)或氰酸酯(cyanate esters)。優選地,基底緩衝層是低粘度(low viscosity)樹脂,例如聯苯環氧樹脂(biphenyl epoxy resin)。緩衝層250的厚度可為約10 – 100微米(um)、約15 – 100微米(um)、約20 – 100微米(um)、約25 – 100微米(um)、約45 – 100微米(um)或約60 – 100微米(um)。緩衝層250的公差(tolerance)可以是正負(±)1 – 5微米(um),具體取決於緩衝層250的厚度。亦可採用具有其他厚度的緩衝層250。
基底緩衝層的填料可以是有機基、無機基或其組合。例如,填料可包括二氧化矽(SiO2)填料、無定形氧化鋁(α-Al2O3)填料或其組合。其他類型的非導電填料亦可。例如,填料可以是二氧化矽(silica)、玻璃珠(glass beads)、沙子(sand)或其組合。例如,填料可以是球形填料。球形填料可具有約0.5 – 12微米(um)的直徑。在其他實施例中,球形填料可具有約0.5 – 10微米(um)的直徑。其他尺寸的填料亦可,包括納米尺寸的填料。此外,填料可以具有任何形狀。優選地,填料可以是不均勻尺寸的填料。例如,基底緩衝層的填料具有不同的直徑。基底緩衝層的填料也可具有不同的形狀。提供具有不同尺寸的填料可使緩衝層250中的填料密度更高。例如,較小尺寸的填料可填充較大尺寸的填料之間的間隙中。
填料的尺寸可取決於切割晶圓時使用的鋸片的寬度。在一個實施例中,填料的尺寸小於切割中使用的鋸片寬度。例如,填料的尺寸可小於或等於鋸片寬度的約1/2或約1/3。在一個實施例中,填料的尺寸可在約0.5微米(um)到鋸片寬度的約1/3的範圍內。鋸片寬度通常約為30 – 36微米(um)。例如,當鋸片寬度為36微米(um)時,填料的尺寸可以是大約0.5 – 10微米(um);或當鋸片寬度為30微米(um)時,大約0.5 – 12 微米(um)。在一個實施例中,基於複合緩衝層的總重量,複合緩衝層中的填料濃度為約70 – 90重量百分比(wt%)。在另一個實施例中,複合緩衝層中的填料濃度為約80 – 90重量百分比(wt%)。
在一個實施例中,可透過選擇合適的基底緩衝層材料、填料材質、填料濃度或其組合來調節複合緩衝層,使其具有選定或定義的楊氏模量和斷裂強度。此外,這些因素也可能影響熱膨脹係數(CTE)以及溫度穩定性。
在一個實施例中,複合緩衝層是層壓(laminated)到晶圓或晶粒上的預成型層(preformed layer)。例如,複合緩衝層被預先形成片材(sheet),再層壓到晶圓上。在其他實施例中,複合緩衝層可形成在晶圓上。複合緩衝層也可具有其他配置。
緩衝層250包括通孔開口252,用於暴露接觸墊242。通孔開口252可以透過雷射蝕刻形成。例如,通孔開口252包括雷射蝕刻(laser etching)的通孔側壁。其他可形成通孔開口252的技術亦可。例如,通孔開口252可使用圖案化光阻掩模(patterned photoresist mask)透過電漿蝕刻(plasma etch)形成,例如反應離子蝕刻(reactive ion etch)。在這種情況下,通孔開口252包括電漿蝕刻的側壁。在其他實施例中,使用多重蝕刻(multi-etch)工藝形成通孔開口252,例如首先進行高功率雷射蝕刻(high-power laser etch),再進行低功率雷射蝕刻(low-power laser etch);或首先進行高功率雷射蝕刻,再進行電漿蝕刻。例如,雷射器是用於非感光成像圖案化(non-photoimageable patterning)的雷射器組件。兩重蝕刻避免了大功率雷射蝕刻對鋁墊的損壞。兩重蝕刻工藝可導致通孔開口252的上部具有雷射蝕刻側壁,而其下部可以是雷射蝕刻側壁或電漿蝕刻側壁。
如圖所示,緩衝層250的通孔開口252的底部小於鈍化層224的墊開口。例如,在形成緩衝層250之前形成墊開口,而在形成緩衝層250之後形成通孔開口252。通孔開口252的底部可以設置在墊開口的大約中心處。或者,通孔開口252和墊開口的底部具有相同的尺寸。例如,通孔開口252和墊開口大約同時形成。在一些實施例中,當形成緩衝層250時,鈍化層224不包括墊開口。首先形成通孔開口252,然後再形成墊開口。
再分佈層(redistribution layer(RDL))結構270形成在封裝之上。RDL結構270提供了與接觸墊242的互連(interconnections)。在一個實施例中,RDL結構270包括RDL通孔觸點274、RDL線276和RDL柱278。RDL結構270的各種部件可以是銅或銅合金RDL部件。例如,RDL通孔觸點274、RDL線276和RDL柱278由銅或銅合金形成。其他類型的導電金屬亦可。
RDL部件可透過使用種子層271電鍍形成。例如,種子層271對緩衝層250進行加襯(line),包括通孔開口252的側壁和底部。種子層271可以是透過濺鍍(sputtering)形成的鈦銅(titanium copper(TiCu))種子層。可採用電鍍掩模(plating mask),例如透過雷射直接成像(laser direct imaging),進行圖案化而形成圖案化光阻層(patterned photoresist layer),用於電鍍RDL層。例如,將乾膜光阻層(dry film photoresist)層壓在種子層271之上,並採用可感光成像圖案化的雷射組件,進行雷射直接成像將其圖案化。圖案化光阻層包括對應於RDL線276的開口,包括通孔開口252。電鍍工藝採用例如銅的導電層填充掩模開口(mask openings),包括通孔開口252,形成RDL線276,其經過通孔開口252的RDL通孔觸點274而耦合到接觸墊242。電鍍工藝在略低於圖案化光阻層的高度上停止。在形成RDL線276之後,可保留圖案化光阻層。另一乾膜光阻(dry film photoresist)層壓在RDL線276和掩模上。光阻膜透過例如雷射直接成像而圖案化,在RDL線276上將形成RDL柱278的位置形成柱開口(stud opening)。例如,圖案化抗蝕層(patterned resist layer)可以是電鍍掩模(plating mask),用於在RDL線276上選擇性地形成RDL柱278。採用電鍍工藝在柱開口中形成RDL柱278,然後去除光阻膜。
再分佈(RDL)封裝層246設置在具有RDL結構270的緩衝層250上方。RDL封裝層246具有第一面,與RDL柱278的表面平齊。例如,RDL封裝層246填充了RDL線276和RDL柱278之間的間隙,使RDL柱278的表面暴露。
在一個實施例中,RDL封裝層246是RDL複合封裝層。RDL複合封裝層246可以類似於複合緩衝層250。例如,RDL複合封裝層246包括含有填料(fillers)或顆粒(granules)的基底RDL封裝層。RDL複合封裝層中的填料可以大於複合緩衝層250中的填料。
例如,RDL複合封裝層248可預先形成封裝片材(encapsulation sheet),並層壓到緩衝層上,例如透過薄膜成型(film molding)、壓塑成型(compression molding)、真空層壓(vacuum lamination)或輥壓(roller lamination)。其他技術亦可用於形成RDL複合封裝層246。層壓的RDL複合封裝層246覆蓋了緩衝層250和RDL結構270。
如圖所示,RDL封裝層246的表面和RDL柱278的表面是平齊的。RDL封裝層246和RDL柱278的平齊面可以被稱為第一面、頂面或暴露面。當形成RDL封裝層248時,其可以覆蓋RDL柱278的頂面。可進行研磨工藝(grinding process)或平坦化(planarizing process)工藝,例如化學機械拋光(chemical mechanical polish)以去除多餘的再分佈(RDL)封裝材料,從而形成RDL柱278和RDL封裝層248的平齊表面。
在一個實施例中,封裝觸點279設置在RDL柱278之上。如圖所示,封裝觸點279包括焊料凸塊(solder bump)。焊料凸塊可透過焊料凸塊技術(solder bump technology)形成。例如,焊料凸塊技術可包括焊料滴落(solder drop)和回流(reflow)以形成焊球(solder ball)。例如,焊料凸塊可用於球柵陣列(ball grid array)封裝。其他類型的封裝觸點279亦可,例如LGA和QFN封裝。例如,封裝觸點279可以是金屬電鍍(metal-plated)觸點,例如鍍錫觸點。金屬電鍍觸點可以透過例如亞光電鍍(matt plating)工藝形成在RDL柱278之上。其他可用於形成電鍍觸點的技術亦可。
如圖所示,RDL結構270包括一個RDL級(RDL level)。例如,RDL結構270包括一個RDL線級(RDL line level),其中RDL線(RDL line)276透過RDL通孔觸點274耦合到接觸墊242,並設置在RDL線級上的最終柱級(final stud level)。在其他實施例中,RDL線級可包括多個線級(從1到x級),具有RDL通孔觸點274、RDL線276和設置在最上層的RDL線級(第i級=x)上的最終柱級(final stud level)。在這種情況下,RDL封裝層246可具有多個RDL封裝級(RDL encapsulation level)。除了最後一級外,在形成每個RDL線級之後再形成RDL封裝層246。對於最上層線級,封裝層覆蓋了最上層RDL線級的RDL線276和最終柱級的RDL柱278。
如圖2a所示,封裝是扇入型(fan-in type)半導體封裝200。對於扇入型半導體封裝200,RDL結構270包括RDL線276和RDL柱278,位於晶粒210的區域之內。RDL結構270和RDL封裝層246分別為晶圓級RDL結構和晶圓級RDL封裝層。例如,切割之前RDL結構270和RDL封裝層246在晶圓201上形成。在這種情況下,晶粒210側面、複合緩衝層250和RDL封裝層246在分割過程中齊平或對齊。
在一個實施例中,非活性晶粒面212還提供了非活性或背面保護層258。例如,在晶粒210的非活性面或背面上提供背面保護層258。在優選實施例中,背面保護層258類似於複合緩衝層250。例如,背面保護層258是複合背面保護層。背面保護層258防止在切割過程中,晶圓背面產生晶圓201碎裂(chipping of the wafer)。其他類型的背面保護層258亦可。類似於複合緩衝層250,複合背面保護層258為晶圓級層。在切割之前,背面保護層258施加到晶圓201背面。因此,背面保護層258的側表面也與晶粒210側表面齊平。背面保護層258的厚度可為約25 – 200微米(um)、約25 – 150 um或約50 – 120微米(um)。背面保護層258的其他厚度亦可。
圖2b至2c示出了扇入型半導體封裝200的其他實施例。在一個實施例中,RDL結構270和RDL封裝層246為面板級RDL結構和封裝層。例如,當晶圓201切割成單獨晶粒210並形成面板組件之後,RDL結構270和RDL封裝層246在面板級形成。例如,面板組件包括排列成矩陣的多個晶粒210,並由塑封層(mold layer)290封裝。因此,RDL封裝層246延伸超出晶粒210的區域。例如,RDL封裝的側面不與晶粒210和緩衝層250的側面齊平。如圖所示,晶粒210和緩衝層250的側面比RDL封裝層246的側面凹進。
塑封層290封裝了晶粒2110。例如,塑封層290是環氧塑封化合物(epoxy mold compound)。其他類型的塑封層亦可。例如,塑封層290可以由液體塑封化合物形成。塑封層290覆蓋了晶粒210的側面並設置在RDL封裝層246的頂部。RDL封裝層246的側面和塑封層290的側面齊平,並且RDL封裝層246頂部之上的底部塑封層290表面(bottom mold layer surface)與緩衝層250的底部表面(bottom surface of the buffer layer)齊平。
如圖2b所示,塑封層290覆蓋晶粒210的頂部。例如,頂部塑封層表面(top mold layer surface)設置在非活性晶粒面212的上方。這樣的封裝可以被稱為六面扇入式封裝(six sided fan-in package),其中晶粒210的所有六個面都受到保護。在另一個實施例中,如圖2c所示,頂部塑封層表面(top mold layer surface)和非活性晶粒面212平齊。這種封裝可以稱為五面扇入封裝(five sided fan-in package)。
在其他實施例中,封裝是扇出(fan-out)封裝,如圖2d至2e所示。對於扇出型半導體封裝,RDL結構270,包括RDL線276和RDL柱278,延伸超出晶粒210的區域。RDL結構270和RDL封裝層246分別是面板級RDL結構和RDL封裝層。例如,RDL結構270和RDL封裝層246在晶圓201切割後形成在面板級。在這種情況下,RDL封裝層246的側面與晶粒210側面和緩衝層250側面不齊平。
塑封層290封裝晶粒210。塑封層290覆蓋了晶粒210的側面,並設置在RDL封裝層246的頂部。RDL封裝層246的側面和塑封層290的側面齊平,並且封裝層246頂部之上的底部塑封層290表面(bottom mold layer surface)和緩衝層250的底部表面(bottom surface of the buffer layer)齊平。如圖2d所示,塑封層290覆蓋了晶粒210的頂部。例如,頂部塑封層290表面設置在非活性晶粒面212的上方。這種封裝可以稱為六面扇入封裝(six sided fan-in package)。在另一個實施例中,如圖2e所示,頂部塑封層290表面(top mold layer surface)和非活性晶粒面212是平齊的。這種封裝可以稱為五面扇入封裝(five sided fan-in package)。
圖2f示出了實施例中具有緩衝層250的晶圓201的俯視圖的圖像。還包括緩衝層250的放大部分204的圖像。如上所述,緩衝層250可防止或減少切割期間BEOL電介質中的破損和碎裂。緩衝層250包括減振劑(vibration damping agent)。在一個實施例中,緩衝層250具有特定的楊氏模量和斷裂強度,以防止切割過程中BEOL電介質破損和碎裂。在一個實施例中,楊氏模量約為10,000 – 25,000 MPa。在其他實施例中,楊氏模量約為14,000 – 25,000 MPa。在其他實施例中,楊氏模量約為15,000 – 25,000 MPa。在另一個實施例中,楊氏模量約為16,000 – 25,000 MPa。在另一個實施例中,楊氏模量約為15,000 – 20,000 MPa。在其他實施例中,楊氏模量約為 20,000 – 25,000 MPa。
在一個實施例中,緩衝層250的斷裂強度為約45 – 150 MPa。在其他實施例中,斷裂強度為約70 – 150 MPa。在另一個實施例中,斷裂強度為約70 – 120 MPa。在另一個實施例中,斷裂強度為約70 – 105 MPa。在另一個實施例中,緩衝層250的斷裂強度為約80 – 120 MPa。在又一實施例中,緩衝層250的斷裂強度為約90 – 120 MPa。緩衝層250的熱膨脹係數(CTE)例如可以是大約6 – 20 ppm/K。緩衝層250可以在負(-)65 – 正(+)300攝氏度(℃)的範圍內具有溫度穩定性。
緩衝層250的厚度可以是大約10 – 100微米(um)、15 – 100微米(um)、20 – 100微米(um)、25 – 100微米(um)、45 – 100微米(um) 或 60 – 100微米(um)。緩衝層250的公差可以是正負(±)1 – 5微米(um),這取決於緩衝層250的厚度。提供具有其他厚度的緩衝層250亦可。
在一個實施例中,緩衝層250是複合緩衝層,具有包含填料或顆粒292的基礎緩衝層291。在一個實施例中,基礎緩衝層291是透明基礎緩衝層,例如透明聚合物基礎緩衝層。各種類型的聚合物可用於基礎緩衝層291。聚合物基礎緩衝層可以是熱固性塑膠(thermosetting plastic)或熱塑性塑膠(thermoplastic),例如聚醯亞胺(polyimide)或樹脂(resins)。在一個實施例中,基礎緩衝層291包括樹脂,例如環氧樹脂(epoxy)或氰酸酯(cyanate esters)。優選地,基底緩衝層291是低粘度(low viscosity)樹脂,例如聯苯環氧樹脂(biphenyl epoxy resin)。
填料可以是有機基、無機基或其組合。例如,填料可包括二氧化矽(SiO2)填料、無定形氧化鋁(α-Al2O3)填料或其組合。其他類型的非導電填料亦可。例如,填料可以是二氧化矽、玻璃珠、沙子或其組合。例如,填料可以是球形填料。其他形狀的填料亦可。優選地,填料的直徑約為0.5 – 12微米(um)。
填料的尺寸可取決於切割晶圓201時使用的鋸片寬度。在一個實施例中,填料的尺寸小於切割中使用的鋸片寬度。例如,填料的尺寸可以小於或等於鋸片寬度的約1/2或約1/3。在一個實施例中,填料的尺寸可為約0.5微米(um)至鋸片寬度的約1/3。鋸片寬度通常約為30 – 36微米(um)。例如,在鋸片寬度為36微米(um)時,填料的尺寸可約為0.5 – 10微米(um);或在鋸片寬度為30微米(um)時,填料的尺寸可約為0.5 – 12微米(um)。在一個實施例中,基於複合緩衝層250的總重量,複合緩衝層250中填料的濃度為約70 – 90重量百分比(wt%)。在另一個實施例中,複合緩衝層250中填料的濃度為約80 – 90重量百分比(wt%)。
為了形成緩衝層250,可將組分組合在一起,以可流動的形式(flowable form)施加到晶圓201上。例如,包括環氧樹脂(epoxy resin)和添加劑(additives)(硬化劑(hardener))的液體,與填料(顆粒)結合或混合。將帶有填料的液體混合物施加到晶圓201上。在施加之後,晶圓201被固化以硬化具有填料的緩衝層250。例如,此類技術可包括旋塗(spin-coating)到晶圓201,或狹縫塗布(slit die)或印刷(printing)到晶圓201。
圖2g說明透過旋塗(spin-coating)形成緩衝層。如圖所示,旋塗機(spin coater)221包括可旋轉台(rotatable table)222,其上安裝有晶圓201。例如,晶圓201可透過真空吸盤安裝到可旋轉臺222上。分配器(dispenser)226將可流動的緩衝層組合物(樹脂、填料和硬化劑)分配到晶圓201上,進行塗層。塗層之後,晶圓201被加熱以固化具有填料的緩衝層250。
圖2h示出了透過狹縫塗布(slit die)或印刷(printing)形成緩衝層250。如圖所示,狹縫塗層印刷機(slit die printer)230包括平臺232,其上安裝晶圓201。晶圓201可透過例如真空壓力(vacuum press)安裝到平臺232上。細長狹縫塗層機(elongated slit die coater)234沿y方向設置在平臺232上方。容器罐236將可流動緩衝層供給狹縫塗層機234。狹縫塗層機234被配置為沿平臺232在例如x方向上平移。當平移穿過晶圓201時,狹縫塗層機234上的塗布頭(coating head)用緩衝層250對晶圓201表面進行塗層。塗層後,晶圓201被加熱以固化具有填料的緩衝層250。
其他可在晶圓201上形成緩衝層250的技術亦可。在一個實施例中,緩衝層250可透過壓塑(compression molding)形成。例如,將緩衝層250(樹脂、添加劑和填料)的液體溶液注入載有晶圓201的壓塑工具中。在其他實施例中,緩衝層250可以透過真空型(vacuum type)或滾輥型(roller type)層壓方式來層壓到晶圓201上。例如,緩衝層250可被預製成片材(sheet)。可使用真空型層壓方式將其切割並層壓到晶圓201表面上。或者,可將預製片材卷成滾輥(roller),並使用滾輥型層壓方式將其層壓到晶圓201表面上。將片材壓在晶圓201上並固化,在晶圓201上形成緩衝層250。
本公開發現楊氏模量和斷裂強度對於減少切割期間BEOL電介質的開裂很重要。楊氏模量定義為應力與相應應變的比值,定義如下: 楊氏模量=應力/應變
圖3a示出了任意材料的一般應力/應變曲線的示例。曲線包括兩部分。第一或初始部分代表材料的彈性模量(modulus of resilience);第二或最後部分代表材料的韌性模量(modulus of toughness)。
彈性模量是每單位體積的材料可吸收並仍恢復到其原始形狀的最大能量。這是材料的彈性階段(elastic stage)。例如,應力/應變曲線的初始部分描述了材料在載荷(應變)下抵抗彈性變形的能力。它表明材料保持形狀的傾向,即使當被變形,例如被拉伸、拉動、扭曲或壓縮。彈性階段的極限是屈服點(yield point)或彈性極限(elastic limit)。屈服點(yield point)表示如果繼續施加力,材料保持永久變形之前的極限。
韌性模量表示材料在塑性變形(plastic deformation)中吸收能量的能力。它是材料在斷裂前可以吸收的應變能量密度(strain energy density)。這是材料的塑性階段(plastic stage)。塑性階段包括應變硬化部分(strain hardening part),從材料的屈服點到極限強度點(ultimate strength point),以及頸縮部分(necking part),從極限強度點到材料的斷裂點(fracture point)。
材料的應力/應變曲線可用於確定其特性。例如,剛性材料(stiffer material)在彈性階段表現出更陡峭的斜率,脆性材料(brittle material)缺乏塑性區域,而更堅固材料(stronger material)則顯示出更高的極限抗拉強度(ultimate tensile strength)。
在一個實施例中,我們發現如所上述的緩衝層具有楊氏模量約為10,000 – 25,000 MPa,14,000 – 25,000 MPa,15,000 – 25,000 MPa,16,000 – 25,000 MPa,15,000 – 20,000 MPa 或 20,000 – 25,000 MPa,可有效防止晶圓切割期間在脆性的低k BEOL電介質上產生裂紋。此外,緩衝層可具有的斷裂強度約為45 – 150 MPa,70 – 150 MPa,70 – 120 MPa,70 – 105 MPa,80 – 120 MPa 或 90 – 100 MPa。緩衝層的熱膨脹係數(CTE)例如可以是大約6 – 20 ppm/K。緩衝層可以在負(-)65 – 正(+)300攝氏度(℃)的範圍內具有溫度穩定性。
不受任何理論的束縛,緩衝層表現出良好的拉伸強度(tensile strength)、彈性特性(elastic properties)以及剛度(stiffness)。例如,基礎緩衝層提供良好的彈性性能,而填料提供剛度和良好的拉伸強度,導致較高的斷裂強度,例如約50 – 100 MPa,70 – 100 MPa 或 80 – 100 MPa。
透過在低k電介質上提供緩衝層,鋸片的初始接觸點是緩衝層而不是低k BEOL電介質。圖3b至3c示出了晶圓301的簡化側視圖或截面圖300來說明這一點。參考圖3b,在切割帶(dicing tape)322上提供具有低k BEOL電介質330的晶圓301。例如,BEOL電介質330的頂部包括鈍化層,具有可暴露晶粒接觸墊(未顯示)的開口。從切割道去除鈍化層以暴露BEOL電介質。緩衝層350設置在晶圓上方,例如覆蓋BEOL電介質330、鈍化層和接觸墊。特別地,緩衝層350覆蓋了位於晶圓301的切割道中的BEOL電介質330。
當具有金剛石磨粒(diamond grits)379的旋轉鋸片377下降以切割晶圓301時,鋸片377的初始接觸點在緩衝層350上。旋轉鋸片377在晶圓301初始接觸點發生振動,所產生的力被緩衝層350吸收。這與傳統的切割工藝不同,在傳統切割工藝中,脆性低k電介質是初始接觸點,導致形成微裂紋。如圖3c所示,當鋸片377繼續切割晶圓時,透過使用緩衝層抑制切割振動,可以避免在低k BEOL電介質330中形成微裂紋。緩衝層不僅吸收振動,而且還透過層消散振動以防止形成微裂紋。
為了減少切割過程中鋸片377的振動,緩衝層350可以是切割過程中的鋸片引導件(saw blade guide)。圖3d至3e示出了穿過切割道320的晶圓301的簡化截面圖300。
參照圖3d,示出了常規晶圓。例如,晶圓301具有電路元件和其上的低k BEOL電介質330。例如,BEOL電介質330的頂部包括鈍化層344,具有開口以暴露下方的接觸墊342。從切割道320去除鈍化層344以暴露其中的BEOL電介質330。如圖所示,在切割過程中,旋轉鋸片376下降到晶圓301上,如箭頭D所示。鋸片繞軸線A旋轉。然而,鋸片376相對於旋轉軸線A可能存在一些間隙或移動,如箭頭所示。例如,這種間隙或移動會導致鋸片376的自由度過大,從而導致切割過程中發生振動。因此,振動會導致低k BEOL電介質330中出現微裂紋。
相比之下,圖3e示出了晶圓301,具有設置在低k BEOL電介質330上的複合緩衝層350。除了在切割期間吸收和消散來自鋸片376的振動之外,複合緩衝層350也可作為鋸片引導件。例如,當鋸片376下降時,如箭頭D所示,複合緩衝層350容納鋸片376,防止其具有過大的自由度。例如,鋸片376具有的自由度僅限於鋸片376下降的方向。這減少了切割過程中鋸片376的振動,從而防止在低k BEOL電介質330中形成微裂紋。
緩衝層350的另一個重要方面是填料的尺寸。圖3f示出了晶圓的橫截面圖300,闡述了與尺寸過大的填料392相關的問題。如圖所示,在晶圓上形成緩衝層350,位於低k BEOL電介質、具有用於暴露接觸墊342的開口的鈍化層344的上方。如圖所示,緩衝層350包括超過鋸片377寬度的填料392。如圖所示,尺寸過大的填料392比鋸片377寬。尺寸過大的填料可沿著晶圓的切割道320設置在緩衝層350中。當旋轉鋸片377下降到晶圓時,如箭頭D所示,將接觸尺寸過大的填料392。填料392具有比基底緩衝層的樹脂更高的拉伸強度。當鋸片377接觸尺寸過大的填料392時,會引起過度振動,在基礎緩衝層中產生微裂紋397,微裂紋397延伸到鈍化層344和下方的低k BEOL電介質中。這會顯著影響設備的可靠性。
然而,提供從大約0.5微米(um)到小於鋸片寬度的填料,例如鋸片寬度的1/2或1/3,可以避免這個問題。當鋸片切割基礎緩衝層,鋸片接觸填料時,可以很容易地被移除。此外,基底緩衝層可以吸收鋸片接觸填料的振動。這樣可以避免過度振動,從而防止形成微裂紋397。
圖4a示出了具有緩衝層的半導體晶圓401的實施例的簡化俯視圖。晶圓401可包括裸晶圓(bare wafer)。裸晶圓可以是輕摻雜的p型矽晶圓。也可以採用其他類型的晶圓。例如,晶圓401可以是矽(Si)、碳化矽(SiC)晶圓、氮化鎵(GaN)晶圓、砷化鎵(GaAs)晶圓或磷化銦(InP)晶圓。其他類型的晶圓亦可。
裝置410形成在晶圓的活性面402之上。例如,活性面402可以是晶圓401的頂面,而非活性面403可以是底面。裝置沿第一(x)方向成行佈置,沿第二(y)方向成列佈置。複合緩衝層設置在晶圓401的表面上,位於裝置上方。在晶圓401的處理完成之後,沿著x和y方向上的切割線449切割晶圓401,將裝置410分割成單獨的晶粒。
圖4b示出了晶圓401的一部分的俯視圖,沿著兩個相鄰裝置410a-b之間的切割道420。圖4c、4e和4g示出了已處理晶圓401(processed wafer)的各種實施例的部分的簡化截面圖,而圖4d、4f和4h示出了圖4c、4e和4g中晶圓401的晶粒的簡化截面圖。
參考圖4b、4c、4e和4g,包括兩個相鄰裝置410a-b部分的晶圓401的一部分,被切割道或切割通道420隔開。如圖所示,提供了具有活性面402和非活性面403的裸晶圓401。活性和非活性面402、403是相對的表面。例如,晶圓401是半導體晶圓,例如矽晶圓。其他類型的晶圓,例如碳化矽(SiC)、氮化((GaN)、砷化鎵(GaAs)或磷化銦(InP)。
裝置410的電路元件(未示出)形成在晶圓401的活性面402上。電路元件可以包括主動和被動電路元件。主動元件可以包括例如電晶體、二極體和三極體,而被動元件包括電壓元件、電容器、電阻器和電感器。也可包括其他類型的主動和被動元件。電路部件可以使用前段(front-end-of-line(FEOL))處理形成。例如,可透過使用摻雜(例如注入(implantation)或擴散(diffusion))、沉積(例如氧化、化學氣相沉積(CVD)、電鍍(plating)和濺鍍(sputtering))和圖案化(例如,光刻(lithography)和蝕刻(etching))。也可以採用其他技術來形成電路元件。
在晶圓401的活性面402上形成具有互連件(interconnects)(未示出)的後段(BEOL)電介質430。例如,BEOL電介質430可以覆蓋裝置410的電路元件和切割道,互連件被配置為互連電路元件並提供外部訪問。BEOL電介質430可以包括多個互連級(interconnect levels)。例如,可以在不同的BEOL介電層(layers)或級(levels)中提供耦合到通孔觸點的金屬線。BEOL介電層可以包括低k介電質、超低k介電質以及GaN和鍺(Ge)塗層材料。導線和通孔觸點可以由銅(Cu)或其合金(銅合金)形成,並透過鑲嵌技術(damascene techniques)形成,例如雙鑲嵌或單鑲嵌技術。其他技術,例如反應離子蝕刻(RIE),以及其他類型的導電材料,也可用來形成導線和觸點。關於第一觸點層級(first contact level),其中形成觸點以連接到電路部件,可以是鎢觸點。
頂部互連級(top interconnect level)可以是具有晶粒接觸墊442的墊級(pad level),用於提供外部連接。例如,接觸墊442或晶粒墊是鋁(Al)墊。其他類型的接觸墊亦可。例如,接觸墊442可以是銅(Cu)、鎳(Ni)、鈀(Pd)、金(Au)、鉻(Cr)以及鋁(Al)或其合金,例如Al-Cu。墊級可包括晶粒密封環443。晶粒密封環443例如圍繞晶粒活性區域。晶粒密封環443將切割道420與晶粒活性區域相分隔。
可提供鈍化層444。例如,鈍化層444可設置在BEOL電介質430的頂部。鈍化層444可以是鈍化疊層(passivation stack)。鈍化疊層可包括介電層的組合,例如氧化矽和氮化矽層。其他類型的介電層亦可。鈍化層444覆蓋接觸墊442和晶粒密封環443。如圖所示,鈍化層444包括墊開口448以暴露接觸墊442。在一個實施例中,使用掩模和蝕刻工藝進行墊開口448的圖案化。例如,諸如反應離子蝕刻(RIE)的各向異性蝕刻(anisotropic etch)用圖案化的光阻掩模來蝕刻鈍化層444,以形成墊開口448。因此,鈍化層444的墊開口448包括各向異性蝕刻側壁(anisotropically etched sidewalls),例如電漿或乾法各向異性蝕刻的側壁。可用於形成墊開口448的其他技術亦可,例如使用各向同性蝕刻(isotropic etch)(濕法或乾法)或雷射鑽孔(laser drilling)。不同的技術可導致不同形狀的側壁輪廓。例如,各向異性蝕刻側壁、濕法各向同性蝕刻側壁、濕法各向同性蝕刻側壁和雷射蝕刻側壁具有不同的輪廓。
在一個實施例中,鈍化層444包括在裝置的相鄰行和列之間的切割道420中的切割道開口(saw street opening)。切割道開口可在與形成墊開口448相同的過程中形成。或者,切割道開口可單獨形成。切割道開口暴露晶圓401的切割道420中的低k電介質。如圖所示,切割道420可包括虛設金屬結構(dummy metal structures)441。虛設金屬結構441可減少因切割晶圓401而產生的裂紋。虛設金屬結構441的寬度可比鋸片寬度更窄或更寬。
製備有電路部件、BEOL電介質、鈍化層以及切割道開口的晶圓可稱為已處理晶圓(processed wafer),其中鈍化層具有用於暴露接觸墊的墊開口。例如,已處理晶圓可以是來自外部供應商的來料已處理晶圓(incoming processed wafer)。例如,封裝供應商可以接受已處理晶圓。在某些情況下,來料已處理晶圓(incoming processed wafer)可能是來自內部的已處理晶圓,而不是來自外部客戶。已處理晶圓可進一步處理。例如,可對已處理晶圓進行下一步處理。
在一個實施例中,下一步處理包括在晶圓401上形成複合緩衝層450。例如,複合緩衝層450設置在已處理(processed)或來料(incoming)晶圓401上。如圖所示,複合緩衝層450設置在具有接觸墊442的BEOL電介質和具有墊開口448的圖案化鈍化層444的頂部,用於暴露接觸墊442和切割道420。在其他實施例中,已處理晶圓401可不具有鈍化層444。在這種情況下,複合緩衝層450可以是鈍化層444。
如上所述,複合緩衝層450防止BEOL電介質在切割期間產生破損和碎裂。緩衝層450具有楊氏模量和斷裂強度,以防止BEOL電介質在切割過程中產生破損和碎裂。在一個實施例中,楊氏模量約為10,000 – 25,000 MPa。在其他實施例中,楊氏模量約為14,000 – 25,000 MPa。在其他實施例中,楊氏模量約為15,000 – 25,000 MPa。在另一個實施例中,楊氏模量約為16,000 – 25,000 MPa。在另一個實施例中,楊氏模量約為15,000 - 20,000。在其他實施例中,楊氏模量約為20,000 – 25,000 MPa。
在一個實施例中,緩衝層的斷裂強度為約45 – 150 MPa。在其他實施例中,斷裂強度為約70 – 150 MPa。在另一個實施例中,斷裂強度為約70 – 120 MPa。在另一個實施例中,斷裂強度為約70 – 105 MPa。在另一個實施例中,緩衝層450的斷裂強度為約80 – 120 MPa。在又一實施例中,緩衝層450的斷裂強度為約90 – 120 MPa。例如,緩衝層450的熱膨脹係數(CTE)可以是大約6 – 20 ppm/K。緩衝層450在負值(-)65 – 正(+)300攝氏度(oC)的範圍內具有溫度穩定性。
緩衝層450的厚度可以是大約 10 – 100微米(um)、15 – 100微米(um)、20 – 100微米(um)、25 – 100微米(um)、45 – 100微米(um)或 60 – 100微米(um)。緩衝層450的公差可以是正負(±)1 – 5微米(um),這取決於緩衝層450的厚度。提供具有其他厚度的緩衝層450亦可。
在一個實施例中,複合緩衝層450包括具有填料或顆粒的基礎緩衝層。在一個實施例中,基礎緩衝層是透明基礎緩衝層,例如透明聚合物基礎緩衝層。各種類型的聚合物可用於基礎緩衝層。聚合物基礎緩衝層可以是熱固性塑膠或熱塑性塑膠,例如聚醯亞胺或樹脂。在一個實施例中,基礎緩衝層包括樹脂,例如環氧樹脂或氰酸酯。優選地,基底緩衝層是低粘度樹脂,例如聯苯環氧樹脂。
填料可以是有機基、無機基或其組合。例如,填料可包括二氧化矽(SiO2)填料、無定形氧化鋁(α-Al2O3)填料或其組合。其他類型的非導電填料亦可。例如,填料可以是二氧化矽、玻璃珠、沙子或其組合。例如,填料可以是球形填料。其他形狀的填料亦可。
填料可以是不均勻尺寸的填料。例如,基底緩衝層的填料具有不同的直徑。基底緩衝層的填料也可具有不同的形狀。提供具有不同尺寸的填料使緩衝層450能夠包含更高密度的填料。例如,較小尺寸的填料嵌套在較大尺寸填料之間的空隙中,從而為緩衝層450提供更高的填料負載(filler loading)。
填料的尺寸可取決於切割晶圓401時使用的鋸片寬度。在一實施例中,填料的尺寸小於切割中使用的鋸片寬度。例如,填料的尺寸可以小於或等於鋸片寬度的約1/2或約1/3。在一個實施例中,填料的尺寸可為約0.5微米(um)至鋸片寬度的約1/3。鋸片寬度通常約為30 – 36微米(um)。例如,在鋸片寬度為36微米(um)時,填料的尺寸可以是大約0.5 – 10微米(um);或在鋸片寬度為30微米(um)時,大約0.5 – 12微米(um)。
在一個實施例中,選擇基礎緩衝層中填料的濃度以將緩衝層的楊氏模量調節至約 10,000 – 25,000 MPa、14,000 – 25,000 MPa、15,000 – 25,000 MPa、16,000 – 25,000 MPa、15,000 – 20,000 MPa 或20,000 – 25,000 MPa;斷裂強度至約 45 – 150 MPa、70 – 150 MPa、70 -120 MPa、70 – 105 MPa、80 – 120 MPa、或90 – 100 MPa。在一個實施例中,基於複合緩衝層的總重量,複合緩衝層450中填料的濃度為約70 – 90重量百分比(wt%)。在另一個實施例中,複合緩衝層450中填料的濃度為約80 – 90重量百分比(wt%)。
為了形成緩衝層450,可以將成分組合在一起,以可流動的形式(flowable form)施加到晶圓401上。例如,包括環氧樹脂(epoxy resin)和添加劑(additives)(硬化劑(hardener))的液體,與填料(顆粒)結合或混合。將帶有填料的液體混合物施加到晶圓401上。在施加之後,晶圓401被固化以硬化具有填料的緩衝層450。例如,此類技術可包括旋塗(spin-coating)到晶圓401,或狹縫塗布(slit die)或印刷(printing)到晶圓401。用於在晶圓401上形成緩衝層450的其他技術亦可。例如,也可以採用壓塑或層壓,例如真空式或滾輥式層壓,在已處理晶片圓上形成複合緩衝層450。
如圖4c所示,在晶圓級完成對晶圓401的處理。然後切割晶圓401將晶圓401切割成單獨的晶粒410,如圖4d所示。
如圖所示,鈍化層444包括墊開口以暴露貼片墊(bond pad)。或者,鈍化層444不包括墊開口448。在其他實施例中,如所討論的,沒有提供鈍化層444。
在一些實施例中,如圖4e所示,在進行晶圓切割工藝之前,緩衝層450被圖案化,形成通孔開口452以暴露接觸墊442。通孔開口452可配置有傾斜(slanted)的或錐形(tapered)的側壁輪廓。在一實施例中,通孔開口452被配置為使通孔開口452的底表面積與通孔開口452的頂表面積之比為約60 – 90%。其他比率,例如約70 – 80%亦可。如圖所示,通孔開口452小於接觸墊442。在一個實施例中,通孔開口452的底部小於鈍化層444中的墊開口448。在優選實施例中,通孔開口452的底部定位成大約或盡可能靠近接觸墊442的中心部分。通孔開口452的其他配置亦可。
在一個實施例中,使用雷射蝕刻工藝形成通孔開口452。例如,緩衝層450的通孔具有雷射蝕刻的側壁。用於形成通孔開口452的其他工藝亦可。在優選實施例中,形成通孔開口452的蝕刻工藝被配置為防止損壞接觸墊442。在一個實施例中,緩衝層450的通孔開口452以多重蝕刻工藝(multi-etch process)形成以防止損壞接觸墊442。在一個實施例中,蝕刻工藝包括用於形成通孔開口452上部的大功率雷射蝕刻工藝(high power laser etch process),並且使用RIE或電感耦合電漿-RIE(inductively coupled plasma-RIE)工藝來形成通孔開口452的下部。在另一實施例中,大功率雷射蝕刻工藝可用於形成通孔開口452的上部,而小功率雷射蝕刻工藝可用於形成通孔開口452的下部。蝕刻工藝或用於形成通孔開口452的工藝可具有掩膜(masked)或不具有掩膜(maskless)。用於形成通孔開口452的蝕刻工藝的其他配置,例如其他數量的蝕刻步驟或掩模或無掩模蝕刻的組合亦可。
可選地,在鈍化層444不包括墊開口448的情況下,形成通孔開口452,然後蝕刻鈍化層444以暴露接觸墊442。在其他情況下,不提供鈍化層444。因此,通孔開口452暴露接觸墊442。
在一個實施例中,如圖4e所示,在晶圓級完成對晶圓的處理。然後切割晶圓401,將晶圓401切割成單獨的晶粒410,如圖4f所示。
在另一個實施例中,如圖4g所示,在複合緩衝層450中形成通孔開口452之後,繼續對晶圓401進行下一步處理。晶圓401處理形成再分佈層(RDL)結構。例如,RDL結構是在晶圓級形成的。在一實施例中,RDL結構包括在緩衝層450上的導電通孔觸點474(RDL通孔觸點)、圖案化導線476(RDL線)和柱(RDL柱)478。RDL線476可具有約10 – 100微米(um),而RDL柱478可以是大約15 – 100微米(um)。其他厚度亦可。至於RDL通孔觸點474,其高度可大約等於鈍化層444的厚度。
RDL通孔觸點474和RDL線476可在單個工藝中形成。例如,可在緩衝層450上形成導電層,例如銅或銅合金。在一個實施例中,導電層可透過電鍍形成。在這種情況下,種子層(未示出)加襯(line)複合緩衝層450,包括通孔開口452。種子層可以透過濺鍍(sputtering)形成。電鍍掩模(plating mask)(未示出)用於電鍍導電層,例如層壓在具有種子層的複合緩衝層450上的圖案化乾膜光阻(patterned dry film photoresist)。光阻透過雷射直接成像(LDI)圖案化。圖案化光阻層包括對應於RDL線476的開口,包括通孔開口452。電鍍工藝形成諸如銅或銅合金的導電層,填充掩模開口,包括通孔開口452,形成RDL線476,經過通孔開口452中的RDL通孔觸點474而耦合到接觸墊442。電鍍工藝在圖案化光阻層的高度以下稍停。另一乾膜光阻層壓在RDL線476和抗蝕掩模(resist mask)之上。光阻透過例如LDI而圖案化,在將要形成RDL柱478的RDL線476上形成柱開口。例如,圖案化抗蝕層(patterned resist layer)可以是電鍍掩模,用於在RDL線476上選擇性地形成RDL柱478。採用電鍍工藝在柱開口中形成RDL柱478,然後去除抗蝕膜。用於形成RDL結構的其他技術亦可。
RDL封裝層446設置在具有RDL結構的緩衝層450之上。RDL封裝層446例如是晶圓級RDL封裝層。在一個實施例中,RDL封裝層446是RDL複合封裝層。RDL複合封裝層446可類似於複合緩衝層450。例如,RDL複合封裝層446包括含有填料或顆粒的基礎RDL封裝層。
RDL封裝層446可預先形成封裝片(encapsulation sheet)再層壓到緩衝層450上,例如透過壓塑或真空層壓。用於形成RDL封裝層446的其他技術亦可。層壓RDL封裝層446覆蓋了緩衝層450和RDL結構。採用研磨工藝去除多餘的封裝材料以暴露RDL柱478。例如,研磨工藝可使RDL柱478和RDL封裝層446之間形成齊平表面。在一個實施例中,齊平表面可稱為第一封裝層表面,而和緩衝層450接觸的相對表面可稱為第二封裝層表面。
在一個實施例中,如圖4g所示,在晶圓級完成對晶圓401的進一步處理。例如,在形成具有RDL封裝層446的RDL結構之後,晶圓的後處理(post processing)就完成了。然後切割晶圓401,將晶圓401切割成單獨的晶粒410,如圖4h所示。在一些實施例中,可在將晶圓401切割成單獨的晶粒之前形成封裝觸點(未示出)。
可選地,在分割工藝之前,非活性晶圓面403可設置有非活性或背面晶圓面保護層(未示出)。例如,在非活性或背面晶圓面上形成晶圓背面保護層(wafer backside protection layer)。在優選實施例中,背面保護層類似於複合緩衝層450。例如,背面保護層(backside protection layer)是複合背面保護層。背面保護層防止在切割化過程中在晶圓背面產生晶圓碎裂。其他類型的背面保護層亦可。在形成背面保護層之後,晶圓401被分割成單獨的晶粒410。
圖5a示出了用於處理晶圓的一般工藝流程500的簡化實施例,例如來料(incoming)或已處理(processed)晶圓。例如,來料晶圓類似於圖4a和4b中描述的來料晶圓401。一般工藝考慮了各種選擇,包括形成扇入或扇出封裝,進行背面研磨或在背面研磨之前進行切割,以及形成背面保護層用於處理晶圓。
在505,工藝開始。例如,處理來料晶圓的工藝開始。例如,已處理晶圓可以是來由外部供應商提供的已處理晶圓。
例如,晶圓包括形成在其活性面或頂面上的裝置的電路元件。在一個實施例中,具有互連件的BEOL電介質形成在晶圓的活性面上,覆蓋了裝置的電路元件和切割道。BEOL電介質的互連件將電路元件互連,並提供外部訪問。BEOL電介質可包括多個互連層(interconnect levels)。例如,可在不同的BEOL介電層(layers)或級(levels)中提供耦合到通孔觸點的金屬線。BEOL介電層可包括低k介電層。最高的互連級(uppermost interconnect level)可以是具有接觸墊而提供外部連接的墊級(pad level)。例如,墊級可以是BEOL的頂部。在一個實施例中,鈍化層可以設置在墊級的上方,具有墊開口以暴露接觸墊。或者,來料或已處理晶圓可不包括鈍化層或沒有墊開口的鈍化層。
在510,該工藝在晶圓上形成緩衝層。在一個實施例中,該工藝在活性晶圓面上形成複合緩衝層。例如,在晶圓上形成複合緩衝層,覆蓋接觸墊和鈍化層。在一些實施例中,複合緩衝層形成在沒有鈍化層的接觸墊上。
在一個實施例中,複合緩衝層包括含有填料或顆粒的基礎緩衝層。例如,基礎緩衝層可以是透明的聚合物基礎緩衝層,而填料可以是有機的、無機的或其組合。例如,填料的尺寸可為約0.5 – 12微米(um)或約0.5 – 10微米(um)。
填料的尺寸可取決於切割晶圓時使用的鋸片寬度。在一個實施例中,填料的尺寸小於切割中使用的鋸片寬度。例如,填料的尺寸可以小於或等於用於切割的鋸片寬度的約1/2或約1/3。在一個實施例中,填料的尺寸可為約0.5微米(um)至鋸片寬度的約1/3。鋸片的寬度通常約為30 – 36微米(um)。例如,在鋸片寬度為36微米(um)時,填料的尺寸可以是大約0.5 – 10微米(um);或在鋸片寬度為30微米(um)時,大約0.5 – 12微米(um)。在一個實施例中,基於複合緩衝層的總重量,緩衝層中填料的濃度為約70 – 90重量百分比(wt%)。在另一個實施例中,複合緩衝層中的填料的濃度為約80 – 90重量百分比(wt%)。
如上所述,複合緩衝層防止或減少切割期間BEOL電介質中的破損和碎裂。複合基礎緩衝層,在一個實施例中,具有楊氏模量和斷裂強度,可防止切割過程中BEOL電介質破損和碎裂。在一個實施例中,楊氏模量為約10,000 – 25,000 MPa、14,000 – 25,000 MPa、15,000 – 25,000 MPa、16,000 – 25,000 MPa、15,000 – 20,000 MPa 或20,000 – 25,000 MPa和斷裂強度至約45 – 150 MPa、約70 – 150 MPa、約70 – 120 MPa、約70 – 105 MPa、約80 – 120 MPa 或約90 – 100 MPa。例如,緩衝層的熱膨脹係數(CTE)可以是大約6 – 20 ppm/K。緩衝層在負(-)65 – 正(+)300攝氏度(oC)的範圍內具有溫度穩定性。緩衝層的厚度可為約10 – 100微米(um)、15 – 100微米(um)、20 – 100微米(um)、25 – 100微米(um)、45 – 100微米(um)或60 – 100微米(um)。緩衝層的公差可以是正負(±)1 – 5微米(um),這取決於緩衝層的厚度。提供具有其他厚度的緩衝層亦可。
複合緩衝層可透過壓塑或層壓形成,例如真空型或滾輥型層壓。用於形成複合緩衝層的其他技術亦可。例如,複合緩衝層可以透過旋塗(spin-coating)、狹縫塗布(slit die)或印刷(printing)、或其他類型的印刷技術(printing techniques)而形成。
在520處,該工藝確定是否要在緩衝層上形成通孔開口。如果不形成通孔開口,則工藝繼續到530。另一方面,如果要形成通孔,則工藝繼續到522。在522處,在緩衝層中形成通孔開口,暴露來料晶圓的接觸墊。例如,通孔開口包括錐形側壁。可以採用各種技術來形成通孔開口。例如,可採用雷射蝕刻工藝或掩模和蝕刻工藝形成通孔開口。在一些實施例中,可以採用多重蝕刻工藝來防止對接觸墊的損壞。用於形成通孔開口的其他技術亦可。如所討論的,通孔開口可以形成有鈍化層,帶有或不帶有墊開口,或不帶有鈍化層。
在形成通孔開口之後,工藝進行到530。在530處,工藝確定是否為晶圓的每個晶粒形成RDL結構。如果不形成RDL結構,則工藝繼續到535。如果要形成RDL結構,則工藝繼續到532。
在一個實施例中,RDL結構用於沒有塑封的扇入式封裝。為了形成RDL結構,在一個實施例中,可以在晶圓面上形成種子層。例如,種子層加襯(line)具有通孔開口的複合緩衝層的表面。例如,種子層是透過濺鍍形成的鈦銅(Ti-Cu)種子層。
在一個實施例中,電鍍掩模(plating mask)形成在具有種子層的緩衝層之上。例如,將乾膜光阻層壓到具有通孔開口的緩衝層上。透過LDI圖案化光阻以形成圖案化抗蝕膜(patterned resist film),可以是電鍍掩模。例如,圖案化抗蝕膜包括開口,對應於具有通孔開口的RDL線。執行電鍍工藝以填充掩模開口和緩衝層中的通孔開口,形成經過RDL通孔觸點而耦合到接觸墊的RDL線。用於形成RDL線的其他技術亦可。
形成RDL線之後,將乾膜光阻層壓在RDL線和電鍍掩模之上。乾膜光阻被圖案化,在將形成RDL柱位置的RDL線上形成柱開口。例如,圖案化光阻可以是電鍍掩模,用於在RDL線上選擇性地形成RDL柱。採用電鍍工藝在柱開口中形成RDL柱。用於形成RDL柱的其他工藝亦可。去除用於形成RDL線和RDL柱的乾光阻膜。
RDL封裝層(RDL encapsulation layer)設置在具有RDL結構的緩衝層之上。RDL封裝層覆蓋RDL線和RDL柱,並填充兩者之間的間隙。RDL封裝層例如是類似於複合緩衝層的介電層。例如,RDL封裝層包括具有填料的基礎RDL封裝層。在一個實施例中,RDL封裝層被層壓到晶圓面上。用於形成RDL封裝層的其他技術亦可。研磨晶圓面以去除多餘的RDL封裝材料,暴露RDL柱的表面。這導致與RDL柱和RDL封裝層形成平齊的表面。
在一個實施例中,形成封裝觸點。在一個實施例中,封裝觸點形成在RDL柱上。封裝觸點包括焊料或封裝凸塊。封裝凸塊可透過焊料凸塊技術(solder bump technology)形成。例如,焊料凸塊技術可包括焊料滴落(solder drop)和回流(reflow)以形成焊球。例如,焊料凸塊可用於球柵陣列(BGA)封裝。其他類型的封裝觸點亦可,例如LGA和QFN封裝。例如,封裝觸點可以是鍍金屬觸點,例如鍍錫觸點。電鍍觸點可透過例如亞光電鍍(matt plating)工藝形成在柱上。其他技術,例如亦可ENIG和NiAu電鍍。工藝進行到535。
在535處,該工藝確定是否執行研磨前切割(dicing before grinding(DBG))。如果未執行DBG,則工藝繼續到540。如果執行了DBG,則工藝繼續到542,開始DBG。在542處,晶圓被部分切割。在一個實施例中,沿著切割線切割晶圓至大約等於單個晶粒(singulated die)最終厚度的深度。在某些情況下,該深度比單個晶粒最終厚度稍深,以解決工藝變化。這可能比單個晶粒最終厚度大10 – 30 % 或 10 – 20 %。例如,在晶粒最終厚度約為80微米(um)的情況下,部分切割可約為100微米(um)。其他深度也可用於部分切割。
在部分切割晶圓之後,該工藝進行到552處,進行背面晶圓研磨(backside wafer grinding)。例如,研磨晶圓的背面,將晶圓減薄至最終晶粒厚度。研磨過程將晶圓切割成單個晶粒。切割後,該過程在590處終止。
如上所述,如果未執行DBG,則工藝進行到540。在540處,工藝確定是否執行背面晶圓研磨。如果不進行背面晶圓研磨,則過程進行到560。如果需進行背面晶圓研磨,則工藝進行到550。在550處,研磨晶圓的背面。例如,執行背面研磨,減小晶圓厚度。移除的晶圓量(amount of wafer removed)導致晶圓具有最終晶圓厚度。例如,晶圓的最終厚度可大約等於晶粒的最終厚度。例如,晶粒的最終厚度未考慮可能隨後形成在晶圓背面上的背面保護層。背面研磨完成後,繼續進行560。
在560處,該工藝確定是否在晶圓的背面(例如,非活性晶圓面)上施加背面保護層。如果不形成背面保護層,則進行580。另一方面,如果形成背面保護層,則進行570。在570處,在非活性晶圓面上形成背面保護層。例如,背面保護層與在510處形成的複合緩衝層相同或相似。背面保護層可包括具有填料的背面基底保護層(backside base protection layer),透過層壓形成。工藝進行到580處,進行晶圓切割。在將晶圓切割成單個晶粒之後,該工藝在590處終止。
圖5b示出了工藝流程500的實施例,用於處理晶圓,例如來料或已處理晶圓,形成沒有塑封層的扇入式封裝。例如,採用該工藝流程,形成BGA封裝。在505處,過程開始。例如,開始處理來料晶圓(incoming wafer)。例如,已處理晶圓(processed wafer)可以是來自外部供應商的來料已處理晶圓(incoming processed wafer)。
例如,晶圓包括具有接觸墊的活性面。在一些實施例中,鈍化層可設置有墊開口以暴露接觸墊。在510處,該工藝在晶圓的活性面上形成複合緩衝層。例如,在晶圓上形成複合緩衝層,覆蓋接觸墊或覆蓋BEOL電介質的頂部、接觸墊和鈍化層。複合緩衝層包括含有填料或顆粒的基礎緩衝層。複合緩衝層可防止切割過程中BEOL電介質破損和碎裂。複合緩衝層可透過壓塑或層壓形成。用於形成複合緩衝層的其他技術亦可。
在520處,該工藝在緩衝層中形成通孔開口,暴露來料晶圓的接觸墊。例如,通孔開口包括錐形側壁。在一個實施例中,通孔開口是透過雷射蝕刻形成的。用於形成通孔開口的其他技術亦可。
在形成通孔開口之後,工藝進行到530。在530處,工藝在緩衝層上形成RDL結構。RDL結構可如前所述而形成。例如,可如前所述形成包括RDL通孔觸點、RDL線和RDL柱的RDL結構。用於形成RDL結構的其他技術亦可。
在540處,RDL封裝層設置在具有RDL結構的緩衝層之上。RDL封裝層覆蓋了RDL線和RDL柱,並填充兩者之間的間隙。在一實施例中,RDL封裝層與複合緩衝層相同或相似。RDL封裝層可層壓到晶圓面之上。用於形成RDL封裝層的其他技術亦可。研磨晶圓面,去除多餘的RDL封裝材料以暴露RDL柱的表面。這將會使RDL柱和RDL封裝層共平面。
在一個實施例中,在550處形成封裝觸點。在一個實施例中,封裝觸點形成在RDL柱上。封裝觸點包括焊料凸塊或封裝凸塊。封裝凸塊可透過焊料凸塊技術(solder bump technology(SBT))形成。用於形成封裝觸點的其他類型或技術亦可。
在形成封裝觸點之後,在560處,研磨晶圓背面,將晶圓減薄至最終晶圓厚度。例如,最終晶圓厚度可等於沒有背面保護層(backside protection layer)的切割後晶粒的最終厚度。其他最終晶圓厚度亦可。背面研磨完成後,繼續進行570。
在570處,形成背面保護層。例如,背面保護層與在510處形成的複合緩衝層相同或相似。透過層壓形成背面保護層,其可包括具有填料的基底背面保護層(base backside protection layer)。該過程進行到580處,將晶圓切割成單獨的封裝。該過程在590處終止。
圖5c示出了用於處理晶圓的工藝流程500的實施例,例如來料或已處理晶圓。特別地,該工藝流程形成具有複合緩衝層的已處理晶圓。在505處,過程開始。例如,開始處理來料晶圓。例如,已處理晶圓可以是來自外部供應商的來料已處理晶圓。
例如,晶圓包括具有接觸墊的活性面。在一些實施例中,鈍化層可設置有墊開口(pad opening)以暴露接觸墊。或者,鈍化層也可不包括墊開口。在其他實施例中,沒有鈍化層。在510處,該過程在晶圓的活性面上形成複合緩衝層。複合緩衝層包括含有填料或顆粒的基礎緩衝層。複合緩衝層被配置為在切割期間防止BEOL電介質破損和碎裂。複合緩衝層可透過壓塑(compression molding)或層壓(lamination)形成。用於形成複合緩衝層的其他技術亦可。
在520處,該工藝在緩衝層中形成通孔開口,暴露來料晶圓的接觸墊。例如,通孔開口包括錐形側壁。在一個實施例中,通孔開口是透過雷射蝕刻形成的。用於形成通孔開口的其他技術亦可。在形成通孔開口後,該過程在530處終止。例如,具有複合緩衝層及通孔開口的已處理晶圓可隨後與晶圓載體上的其他已處理晶圓並行處理。
在一些實施例中,如果跳過在複合緩衝層中形成通孔開口的步驟,將導致具有複合緩衝層的已處理晶圓沒有通孔開口。當隨後並行處理晶圓載體上的晶圓時,可形成通孔開口。
圖6a示出了工藝600的實施例的俯視圖,用於在晶圓載體或面板上並行處理晶圓;而圖6b至6j示出了工藝600的實施例的截面圖,用於在晶圓面板上並行處理晶圓。例如,在2019年12月5日提交的題為“Packaging Method, Panel Assembly, Wafer Package and Chip Package”的美國專利申請(USSN 16703887)中描述了在晶圓面板上並行處理晶圓,該專利申請在此併入本文並用於所有參考目的。
參考圖6a至6b,提供晶圓載體或面板670,在其主表面上具有面板粘合層674。例如,面板粘合層674設置在晶圓面板670的處理面或頂面上。晶圓面板670應該具有足夠的剛性,使貼附到其上的晶圓601得到處理。優選地,晶圓面板670能夠在處理過程中透過磁力保持。在一個實施例中,晶圓面板670是金屬的。其他類型的面板亦可,例如玻璃。對於非金屬面板,可採用其他技術將其在處理過程中牢固地保持,例如透過真空壓力。在一個實施例中,面板粘合層674是熱釋放(heat release)粘合層。例如,面板粘合層674是熱釋放膠帶。對面板670進行熱處理使晶圓601能夠從面板670上分離或釋放。在處理過程中可暫時將晶圓601保持在適當位置的其他類型的粘合劑亦可。面板粘合層674可層壓到晶圓面板670的處理面上。其他技術,例如印刷、噴塗和塗覆,也可用於在處理面上形成面板粘合層674。
如圖所示,晶圓面板670可具有矩形形狀。如圖所示,晶圓面板670保持四個晶圓601,進行並行處理。例如,晶圓面板670保持4個12英寸晶圓601。提供保持其他數量晶圓601的晶圓面板670亦可。例如,晶圓面板670可被設計成保持9個8英寸晶圓。晶圓面板670的其他配置亦可。
晶圓腔模層(wafer cavity mold)660設置在處理面上。例如,腔模層660設置在面板粘合層674上。腔模層660包括用於容納晶圓601的腔模開口(cavity mold opening)。例如,每個腔模開口可容納一個晶圓601。腔模開口的形狀應與晶圓601的形狀大致相同,只是尺寸稍大。當在晶圓面板670上安裝晶圓601時,在腔模層660和晶圓601之間留有間隙676。腔模層660可以是玻璃增強環氧樹脂層(glass reinforced epoxy layer),例如FR-4,或薄金屬片,例如銅箔。其他類型的材料也可用於腔模層660。腔模層660可以層壓到晶圓面板670之上。例如,腔模層660包括層壓之前的開口。晶圓面板670還可包括晶圓定位標記或構件(未示出),用於將晶圓601對準腔模層660的開口。
在一個實施例中,晶圓601貼附到晶圓面板670。例如,晶圓601貼附到面板粘合層674。在腔模層660的每個腔模開口中安裝一個晶圓601,並在腔模層660和晶圓601之間留下一個間隙676。透過晶圓面板670上的對準構件(未示出)可以幫助將晶圓601安裝在晶圓面板670上。例如,晶圓601是具有複合緩衝層650的已處理晶圓,複合緩衝層650具有通孔開口652,如圖5c中所述。或者,已處理晶圓包括沒有通孔開口652的複合緩衝層650。
在圖6c中,晶圓鎖定構件678形成在腔模開口與晶圓601之間的間隙中。例如,晶圓鎖定構件678形成在腔模層660和晶圓601之間的間隙中。例如,晶圓鎖定構件678可以是粘合劑,例如UV粘合劑。例如,將粘合劑分配到晶圓601和腔模層660之間的間隙中。分配之後,粘合劑透過暴露於UV輻射或熱而固化和硬化。例如,這將形成晶圓面板組件,其具有在晶圓面板670之上的晶圓601。
如圖所示,晶圓601上的複合緩衝層650包括通孔開口652以暴露接觸墊。在一些實施例中,複合緩衝層650不包括通孔開口。在這種情況下,該工藝在複合緩衝層650中形成通孔開口652以暴露接觸墊。例如,可使用雷射蝕刻來形成通孔開口652。用於形成通孔開口652的其他技術亦可,例如使用抗蝕劑掩模的電漿蝕刻。在一些情況下,多重蝕刻工藝亦可,例如在高功率雷射蝕刻之後採用電漿蝕刻或在高功率雷射蝕刻之後採用低功率雷射蝕刻,使用掩模或不使用掩模。在鈍化層不包括墊開口的情況下,形成通孔開口652的工藝還包括形成墊開口以暴露接觸墊。
參照圖6d,在晶圓面板組件上開始形成RDL結構的工藝。在一個實施例中,形成種子層,例如銅鈦(Cu-Ti)。種子層將緩衝層650與通孔開口652連接起來。在組件上形成電鍍掩模692。在一個實施例中,電鍍掩模692是圖案化的乾膜光阻(patterned dry film photoresist)。使用例如LDI形成圖案化乾膜光阻,從而形成對應於RDL線656的開口。開口還暴露組件的緩衝層650中的通孔開口652。執行電鍍工藝以形成RDL層,例如銅(Cu)或銅合金,填充掩模開口,包括通孔開口。這形成了透過RDL通孔觸點654而耦合到墊(pad)的RDL線656。
在圖6e中,RDL柱658形成在RDL線656之上。為了形成RDL柱658,乾膜光阻694層壓在RDL線656和電鍍掩模692之上。光阻膜被圖案化,例如,採用LDI形成柱開口(stud opening),在將形成RDL柱658的位置暴露RDL線656。例如,圖案化光阻層694可以是用於在RDL線656上選擇性地形成RDL柱658的電鍍掩模692。採用電鍍工藝在柱開口中形成RDL柱658。形成RDL柱658之後,去除電鍍掩模692和乾膜光阻694。對於晶圓之晶粒,RDL通孔觸點654、RDL線656和RDL柱658形成了RDL結構653。
參照圖6f,在晶圓面板組件上形成RDL封裝層646,覆蓋了位於複合緩衝層650上方的RDL結構653。例如,RDL封裝層646覆蓋RDL線656和RDL柱658,並填補兩者之間的間隙。RDL封裝層646例如是類似於複合緩衝層650的介電層。例如,RDL封裝層646包括具有填料(filler)的基礎RDL封裝層646。在一實施例中,RDL封裝層646被層壓到晶圓面之上。用於形成RDL封裝層646的其他技術亦可。如圖所示,RDL封裝層646的頂面(暴露面)設置在RDL結構653的上方。
如圖6g所示,將具有晶圓601的晶圓面板組件從晶圓面板和面板粘合層674上釋放。在一個實施例中,將具有腔模層、晶圓鎖定構件和晶圓601的晶圓面板組件從晶圓面板上的粘合帶(adhesion tape)上釋放。為了釋放晶圓面板組件,需要經過熱處理,例如在200攝氏度(oC)之下。分離之後,晶圓面板組件被分割成單獨的晶圓601。例如,晶圓面板組件被雷射切割,將晶圓面板組件分割成單獨的晶圓601。雷射可切割膠水(鎖定構件)以釋放晶圓601。
參照圖6h,去除RDL結構653上方多餘的RDL封裝層646。在一個實施例中,透過研磨去除多餘的RDL封裝層646。形成RDL封裝層646的平坦頂面,從而暴露RDL柱658。例如,RDL柱658的頂面和RDL封裝層646的頂面是共平面的。
在一個實施例中,如圖6i所示,封裝觸點679形成在RDL柱658的暴露面上。封裝觸點679可包括焊料凸塊或封裝凸塊。封裝凸塊可透過焊料凸塊技術(solder bump technology(SBT))形成。例如,焊料凸塊可用於球柵陣列(BGA)封裝。其他類型的封裝觸點679亦可。例如,封裝觸點679可以是鍍金屬觸點,例如鍍錫觸點。電鍍觸點可透過例如亞光電鍍(matt plating)工藝形成在RDL柱658上。用於形成電鍍觸點的其他技術亦可。
形成封裝觸點679後,對晶圓601進行背面晶圓研磨。例如,研磨晶圓背面以減小晶圓601的厚度。移除部分晶圓601可使晶圓601具有最終晶圓厚度T D
在一個實施例中,如圖6j所示,在晶圓非活性面上形成背面保護層681。例如,背面保護層681可與複合緩衝層650相同或相似。背面保護層681可包括具有填料的基底背面保護層,透過層壓形成。用於形成背面保護層的其他類型或技術亦可。背面保護層681的厚度例如可為約25 – 200微米(um)、約25 – 150微米(um)或約50 – 120微米(um)。背面保護層681的其他厚度亦可。
形成背面保護層681之後,晶圓601被切割以形成單獨的封裝。背面保護層681防止或減少晶圓601背面上產生碎屑而切割晶圓601,如圖2a所示。例如,封裝是扇入型(fan-in type)封裝。
圖7示出了用於處理晶圓的工藝流程700的實施例,例如來料或已處理晶圓。特別地,該工藝流程可生產具有複合緩衝層的單個晶粒。隨後處理晶粒以形成具有模制層的封裝。例如,處理晶粒以形成6面或5面扇入或扇出封裝。在705處,過程開始。例如,開始處理來料晶圓。例如,已處理晶圓可以是來自外部供應商的來料已處理晶圓。
例如,晶圓包括具有接觸墊的活性面。在一些實施例中,鈍化層可設置有墊開口(pad opening)以暴露接觸墊。在710處,該過程在晶圓活性面上形成複合緩衝層。例如,在晶圓上形成複合緩衝層,覆蓋接觸墊;或覆蓋BEOL電介質的頂部、接觸墊和鈍化層。複合緩衝層包括含有填料或顆粒的基礎緩衝層,如上所述。複合緩衝層可防止或減少切割過程中BEOL電介質的破損和碎裂。複合緩衝層可以透過壓塑(compression molding)或層壓(lamination)形成。用於形成複合緩衝層的其他技術亦可。
在720處,該工藝在緩衝層中形成通孔開口以暴露來料晶圓的接觸墊。例如,通孔開口包括錐形側壁。在一個實施例中,通孔開口是透過雷射蝕刻形成的。用於形成通孔開口的其他技術亦可,例如多重蝕刻工藝。
在形成通孔開口之後,工藝進行到730。在730處,工藝繼續在晶圓上進行DBG處理。例如,晶圓被部分切割。在一個實施例中,晶圓沿著切割線進行切割,直至深度大約等於單獨晶粒的最終厚度。在某些情況下,深度比單個晶粒的最終厚度略深,以解決工藝變化。其可比單個晶粒的最終厚度大約10 – 30 %或大約10 – 20 %。
在部分切割晶圓之後,工藝繼續到740,進行背面晶圓研磨。例如,在沒有複合背面保護層的情況下,研磨晶圓背面以將晶圓減薄至最終晶粒厚度。研磨過程將晶圓切割成單獨的晶粒。例如,單個晶粒可與圖4f中描述的晶粒類似。在一些情況下,複合緩衝層可不包括通孔開口。在這種情況下,晶粒與圖4d中描述的晶粒類似。分割之後,該過程在750處終止。
圖8a示出了工藝800的實施例的俯視圖,用於在晶粒載體或面板上並行處理晶粒;而圖8b至8j示出了工藝800的實施例的截面圖,用於在晶粒載體或面板上並行處理晶粒。例如,在2021年6月14 日提交的題為“Method of Packaging Chip and Chip Package Structure”的美國專利申請(USSN 17/346,310)中描述了在晶粒面板上並行處理晶粒,該專利申請已包含在本文中供所有用途參考。
參考圖8a至8b,示出了晶粒載體或面板801。在一個實施例中,晶粒面板被配置為容納多個將進行處理的晶粒810。例如,晶粒810可用複合緩衝層850進行處理。複合緩衝層850可以包括如圖4f中所描述的通孔開口,或者沒有如圖4d中所描述的通孔開口。如圖所示,複合緩衝層850包括通孔開口852。例如,在圖7中描述了用於處理晶圓,生產具有複合緩衝層的單個晶粒的工藝。也可以採用其他工藝來處理晶圓,生產具有複合緩衝層的單個晶粒。
晶粒面板801應該足夠剛性,從而能夠處理貼附到其上的晶粒810。在一個實施例中,晶粒面板801可由具有較低膨脹係數(CTE)的材料製成。例如,面板的CTE可以等於或小於8 ppm/K。例如,低熱膨脹係數材料可包括合金42(熱膨脹係數3 - 4.5 ppm/K)或合金46(熱膨脹係數7 - 8 ppm/K)。優選地,晶粒面板801可被磁力固定,例如金屬面板,使得面板能夠被牢固地固定。其他類型的面板亦可,例如玻璃。
面板粘合層805形成在其主表面上。例如,面板粘合層805設置在晶粒面板801的處理面或頂面上。面板粘合層805可用於保持位於晶粒面板801的處理面上的晶粒810。在一個實施例中,面板粘合層805是熱釋放(heat release)粘合層。例如,面板粘合層805是熱釋放膠帶(heat release tape)。面板經受熱處理後,可使晶粒面板組件或重構晶圓860能夠從晶粒面板801上分離或釋放。在形成晶粒面板組件860的加工期間,可暫時將晶粒810保持在適當位置的其他類型的粘合劑亦可。面板粘合層805可層壓到晶粒面板801的處理面上。其他技術,例如印刷(printing)或噴塗(spray coating),也可用於在加工面上形成粘附層。
如圖所示,晶粒面板801是矩形面板。其他形狀亦可。晶粒810貼附到晶粒面板801之上。在一個實施例中,透過面板粘合層的輔助,晶粒810面朝下粘合在晶粒面板801的處理面上。例如,晶粒810的晶粒活性面朝向晶粒面板801,而晶粒非活性面812遠離晶粒面板801。可使用晶粒貼片機(die bonder)來拾取和貼片晶粒面板801上的晶粒810。可包括對準標記以輔助將晶粒810在晶粒面板801上準確定位。例如,用於貼片晶粒810的晶粒區域(die region)可包括局部對準(local alignment)。可將晶粒810對準晶粒區域的其他技術亦可。
在一個實施例中,晶粒810被佈置在具有晶粒810的行和列的晶粒矩陣(die matrix)中。在一個實施例中,晶粒810被分成四個晶粒模組或晶粒矩陣8061-4。晶粒面板801上的晶粒810可具有其他配置。例如,面板801上的晶粒810可配置其他數量的模組,包括1個模組。優選地,當面板801包括1個以上的模組時,模組的數量為偶數。將晶粒810分割成塊可同時處理更多的晶粒810,同時減少晶粒810的位置誤差。這提高了加工中的晶粒810位置的精度。
晶粒810面朝下貼片至晶粒面板801之後,進行模塑工藝(molding process)可使模塑化合物(mold compound)或模塑層(mold layer)890封裝晶粒。如圖所示,模塑層890也填充晶粒之間的間隙,並覆蓋晶粒810的非活性面812,同時封裝晶粒810。模塑工藝例如可以是壓塑(compression molding)工藝。其他類型的模塑工藝亦可,例如高溫模塑工藝。具有模塑層890的晶粒810形成晶粒面板組件或重構晶圓860。模塑層890的暴露面892可被稱為非活性模塑層或模塑層底面。模塑層890的相對面可以稱為模塑層活性面或模塑層頂面891。
形成晶粒面板組件860之後,將其從晶粒面板801上分離。例如,具有晶粒面板組件860的晶粒面板801經熱處理,導致面板粘合層805失去粘合特性。可使晶粒面板801與晶粒面板組件860相分離。
在一些實施例中,從晶粒面板801上釋放晶粒面板組件860之前,進行研磨工藝以將模塑層890的高度減小到最終高度。在一個實施例中,如圖所示,模塑層890的最終高度在晶粒810的非活性面812的上方。在其他實施例中,研磨工藝去除了晶粒810的非活性面812的上方的多餘模塑層890。例如,研磨工藝去除多餘的模塑材料,暴露晶粒810的非活性面812。
在圖8c中,晶粒面板組件860安裝在組件載體802之上。如圖所示,模塑層非活性面892安裝在組件載體802之上。組件載體粘附層806形成在組件載體802的處理面上,用於輔助晶粒面板組件860進行臨時貼片。組件載體粘附層806例如是熱分離粘附層,與將晶粒810貼附到晶粒面板801的面板粘合層805類似。模塑層活性面891和晶粒810的活性面暴露或背離組件載體802。
當複合緩衝層850不包括通孔時,在複合緩衝層850中形成通孔。例如,可採用雷射蝕刻在複合緩衝層850中形成通孔以暴露晶粒接觸墊。亦可採用其他技術,例如多重蝕刻技術。多重蝕刻技術可包括高功率雷射蝕刻,然後是低功率雷射蝕刻。在其他實施例中,多重蝕刻技術可包括高功率雷射蝕刻,然後是電漿蝕刻。在鈍化層不包括墊開口(pad opening)的情況下,形成通孔的工藝還包括在鈍化層中形成墊開口。
如圖8d至8e所示,該工藝繼續形成RDL線876和RDL通孔觸點874。RDL線876和RDL通孔觸點874可透過電鍍工藝形成,如前所述。例如,電鍍工藝包括在緩衝層850上形成種子層,再層壓乾膜光阻894,並透過LDI進行圖案化,形成對應於RDL線876和通孔開口852的開口。電鍍工藝形成填充掩模開口(mask opening)和通孔開口的導電層,形成RDL線876,經過通孔開口中的RDL通孔觸點874耦合到接觸墊。如圖8e所示,RDL線876被圖案化而設置在晶粒區域內。在一個實施例中,RDL線876用於扇入封裝。亦可形成扇出封裝。在這種情況下,RDL線876可延伸超出晶粒區域,但仍位於晶粒的封裝區域(package footprint)之內。
在一個實施例中,RDL柱878形成在RDL線876之上,如圖8f所示。透過在RDL線876上層壓乾膜光阻896,並對乾膜光阻894進行圖案化,從而形成RDL柱878。光阻膜894透過例如LDI圖案化而形成開口,可在即將形成RDL柱的位置將RDL線876暴露。採用電鍍工藝在柱開口中形成RDL柱878,然後去除圖案化光阻膜894、896。RDL通孔觸點874、RDL線876和柱878形成了晶粒的RDL結構873。
參照圖8g,在晶粒面板組件860上形成RDL封裝層846。如圖所示,RDL封裝層846覆蓋複合緩衝層850上方的RDL結構873。例如,RDL封裝層846覆蓋RDL線876和RDL柱878,並填充兩者之間的間隙。RDL封裝層846可與複合緩衝層850的介電層類似。例如,RDL封裝層846包括具有填料的基礎RDL封裝層。封裝層可以是環氧塑封化合物(epoxy mold compound)層。在一個實施例中,RDL封裝層846可包括20 – 60微米(um)的填料。其他尺寸的填料亦可。
在一個實施例中,RDL封裝層846被層壓到晶圓面上。用於形成RDL封裝層846的其他技術亦可。例如,RDL封裝層846可透過薄膜成型(film molding)而形成。用於形成RDL封裝層846的其他技術亦可。如圖所示,RDL封裝層846的頂面(暴露面)設置在RDL結構873的上方。
晶粒面板組件860包括多個晶粒810的模組或矩陣,並可從晶粒面板801上釋放。例如,晶粒面板組件860經熱處理,從組件載體802上釋放晶粒面板組件860。然後處理晶粒面板組件860,將其切割成晶粒810的單獨模組。例如,晶粒面板組件860被切割成單獨的模組面板組件(block panel assemblies)。可使用雷射切割將晶粒面板組件860分成模組面板組件。或者,可透過鋸片切割晶粒面板組件860。用於將晶粒面板組件860分割成模組面板組件的其他技術亦可。然後使用諸如熱分離粘合層的塊板粘合層(block panel adhesion layer)將模組面板組件貼附到模組面板載體(block panel carrier)。在晶粒面板組件860僅包括一個模組的情況下,不需要從組件載體802上釋放晶粒面板組件860。
如圖8h所示,處理晶粒面板組件860,去除RDL結構873上方多餘的RDL封裝層846。例如,當面板組件被切割成模組組件(block assemblies)時,晶粒面板組件860可以是模組面板組件(block panel assembly)。在一個實施例中,透過研磨去除多餘的RDL封裝層846。這形成了RDL封裝層846的平坦頂面,可將RDL柱878暴露。例如,RDL柱878的頂面和RDL封裝層846的頂面是共平面的。
在一個實施例中,如圖8i所示,封裝觸點879形成在RDL柱878的暴露面上。封裝觸點879可包括焊料凸塊或封裝凸塊。封裝凸塊可由焊料凸塊技術(solder bump technology(SBT))形成。例如,焊料凸塊可用於晶片級封裝(CSP)。形成其他類型的封裝觸點亦可。
形成封裝觸點879之後,晶粒面板組件860從面板載體上釋放。如圖8j所示,晶粒面板組件被分割而產生單獨封裝811。例如,單獨封裝是具有6面壁保護(6-sided wall protection)的晶片級封裝(CSP)。
在替代實施例中,該工藝可被配置為形成扇出(fan-out)封裝。例如,如圖8d中所描述,RDL層可被圖案化以形成RDL線876,可延伸超出晶粒810的區域。該過程可如上所述而繼續進行。
在一個實施例中,可形成封裝觸點,如圖8i中所述。例如,該工藝為球柵陣列(BGA)封裝形成封裝凸塊。或者,封裝觸點879可包括在柱表面上的電鍍觸點。電鍍觸點可透過亞光鍍錫(matt tin plating)形成。例如,電鍍觸點用於形成LGA或QFN封裝。在形成封裝觸點879之後,該過程繼續進行,從面板載體釋放晶粒面板組件860,並分割晶粒面板組件860而產生單獨封裝811。如上所述,該過程形成6面保護的扇出封裝(6-sided protected fan-out package)。亦可形成6面保護的扇入封裝(6-sided protected fan-in package)。
如上所述,RDL結構包括一個線級(line level)。例如,RDL結構包括一個重佈線層(rewiring level),該重佈線層包括RDL線876。亦可形成具有多個重佈線層的RDL結構。
圖9示出了工藝流程900的實施例,用於形成RDL結構。該工藝流程可應用於載體上的單個晶圓、晶圓載體上的多個晶圓,如圖6a至6j;或者晶粒面板組件,如圖8a至8j。該工藝在910開始。例如,工藝開始以形成RDL結構。
在複合緩衝層包括通孔開口的情況下,工藝繼續到920,形成RDL層。如果複合緩衝層不包括通孔開口,則在複合緩衝層中形成通孔開口以暴露晶粒的接觸墊。通孔開口可以透過雷射蝕刻(有掩模或無掩模)或使用抗蝕劑掩模(resist mask)的電漿蝕刻形成。在其他實施例中,可以使用多重蝕刻工藝形成通孔開口。通孔開口暴露晶粒的接觸墊。在形成通孔開口之後,工藝進行到920。
在920處,形成RDL結構的重佈線層。例如,形成RDL結構的第一重佈線層(i=1)。RDL結構可具有x個重佈線層,其中x是大於或等於1的整數。通常,RDL結構可以具有1 – 5個重佈線層。
重佈線層可以是例如銅(Cu)或銅合金層。在一個實施例中,重佈線層透過電鍍形成。在電鍍之前,可在面板組件上形成諸如鈦銅(Ti-Cu)之類的種子層,為複合緩衝層和通孔開口形成襯裡(lining)。種子層可透過濺鍍形成。在形成種子層之後,可形成圖案化掩膜層。例如,乾膜光阻透過LDI層壓和圖案化而形成對應於RDL線的開口,其中包括通孔開口。例如,圖案化的抗蝕膜可以是第i重佈線層的電鍍掩模。
在930處,電鍍諸如銅(Cu)或銅合金的導電重佈線層,用於填充緩衝層中的掩模開口和通孔開口。透過晶粒的複合緩衝層的通孔開口中的RDL通孔觸點,形成了耦合到晶粒的接觸墊的RDL線。例如,RDL導電線是第一重佈線級(x=1)的導電線。RDL線可以是扇入或扇出RDL線。在形成RDL線之後,工藝進行到940。
在940處,該工藝可確定是否需要形成更多的重佈線層。如果需要形成更多的重佈線層,則繼續到950。例如,如果i≠x,則繼續到950。另一方面,如果i=x,則表明不需要更多的重佈線層,工藝繼續到955。
在950處,當存在更多的重佈線層或級(level)的情況下,去除用於第i個重佈線層的電鍍掩模。形成RDL封裝層。例如,形成與第i個重佈線層對應的第i個封裝層。例如,封裝層可與複合緩衝層類似的介電層。例如,RDL封裝層包括具有填料的基礎封裝層。RDL封裝層的填料尺寸可以為20 – 60微米(um)。其他填料尺寸亦可。其他類型的RDL封裝層亦可,例如預先形成的RDL封裝層或環氧塑封化合物(epoxy mold compound)層。RDL封裝層覆蓋重佈線層的導電線。封裝層的厚度考慮了覆蓋RDL導電線以及隨後形成的RDL通孔觸電的下一個重佈線層 (i = i +1)。
在一個實施例中,RDL封裝層被層壓到晶圓面之上。用於形成RDL封裝層的其他技術亦可。例如,RDL封裝層可透過薄膜成型形成。
在960處,在RDL封裝層中形成通孔開口,在將形成通孔觸電的位置處將其下方的導電線的部分暴露。通孔開口可透過雷射鑽孔形成。例如,所採用的雷射器被配置用於對不可光成像層(non-photoimageable layer)進行鑽孔。用於形成通孔開口的其他技術亦可。
在970處,形成RDL結構的下一級(i=i+1)重佈線層。例如,重佈線層可以是透過電鍍形成的銅(Cu)或銅合金層。其他類型的導電重佈線層亦可。在一個實施例中,形成諸如銅鈦(Cu-Ti)之類的種子層,從而對封裝層和通孔開口進行加襯(line)。在種子層上形成圖案化掩膜層。例如,乾膜光阻透過LDI層壓和圖案化以形成對應於RDL線的開口,包括通孔開口。例如,圖案化光阻膜可作為第i+1重佈線層的電鍍掩膜。進行電鍍工藝以形成導電重佈線層,例如銅或銅合金。重佈線層填充封裝層的掩模開口和通孔開口。透過封裝層的通孔開口中的RDL通孔觸點,形成耦合到第i條RDL線的第i+1條RDL線。工藝返回到940,確定是否需要形成更多的重佈線層。該工藝繼續並重複,直到形成所有的重佈線層。例如,i = x,其中x是重佈線層的數目或RDL結構的級(level)的數目。
如果不再需要形成重佈線層,則工藝進行到955以形成RDL柱。在一個實施例中,RDL柱透過電鍍而選擇性地形成。為了形成RDL柱,採用圖案化掩模,例如圖案化乾膜光阻。例如,乾膜光阻透過LDI層壓和圖案化,形成開口在即將形成RDL柱的位置將RDL線暴露。採用電鍍工藝在柱開口中形成RDL柱,然後去除電鍍掩模。
工藝進行到965,形成RDL封裝層。封裝層與950中描述的類似。例如,RDL封裝層是具有填料的複合RDL封裝層。其他類型的RDL封裝層亦可。RDL封裝層覆蓋RDL線和柱。
在一個實施例中,RDL封裝層被層壓到晶圓面之上。用於形成RDL封裝層的其他技術亦可。例如,RDL封裝層可以透過薄膜成型形成。用於形成RDL封裝層的其他技術亦可。
在形成最終RDL封裝層之後,從晶圓組件或晶粒面板組件上釋放載體。在載體釋放後,在存在多個晶圓的情況下將晶圓進行分割。在一個實施例中,當面板組件具有多個模組時,可被分割成單獨的模組。
工藝進行到975。在975處,研磨最終RDL封裝層以去除過量的封裝材料,從而將導電RDL柱暴露。在980處,封裝觸點形成在暴露的RDL柱上。封裝觸點可以是CSP封裝的焊料凸塊或LGA/QFN封裝的電鍍觸點。在形成封裝觸點之後,工藝在990處終止。
根據RDL結構形成在晶圓上還是形成在面板組件上,可進行不同的下游處理。例如,在晶圓的情況下,可研磨晶圓的背面以減薄晶圓。背面保護層可形成在晶圓的非活性面上,然後進行晶圓切割以形成單獨的封裝。在面板組件的情況下,可被分割以形成單獨的封裝。
實驗資料
進行實驗,測試複合緩衝層(composite buffer layer)防止在晶圓切割過程中產生裂紋和碎裂的有效性。實驗是在兩塊具有低k的BEOL電介質的12英寸晶圓上進行的,一塊具有複合緩衝層,另一塊不具有複合緩衝層。晶圓1(不具有複合緩衝層)和晶圓2(具有複合緩衝層)在下表1中描述:
Figure 02_image001
複合緩衝層根據下表2配置:
Figure 02_image003
圖10a示出了切割前在X切割道和Y切割道處的晶圓1和晶圓2的圖像。晶圓1從正面進行直接切割(direct sawing),晶圓2採用研磨前切割(dice before grind)。圖10b顯示了切割後晶圓1和晶圓2在X和Y切割道上的圖像。對於晶圓1,觀察到尺寸超過50微米的碎屑。另一方面,在晶圓2上沒有觀察到碎屑。例如,觀察到小於3微米的碎屑,這是可以忽略的。圖10c顯示了晶圓1和晶圓2背面在X和Y切割道上的圖像。對於晶圓1,觀察到超過80微米的碎屑。對於晶圓2,觀察到小於5微米的碎屑,這是可以忽略的。在晶圓背面提供複合緩衝層可進一步減少晶圓背面的碎屑。實驗結果表明,本發明的複合緩衝層可有效地減少或防止由晶圓切割工藝引起的碎裂。
使用與表1中晶圓2相似的晶圓進行另一個實驗。然而,使用了具有不同楊氏模量和斷裂強度的3個複合緩衝層。複合緩衝層1、複合緩衝層2和複合緩衝層3在下表3中描述:
Figure 02_image005
採用研磨前切割(dice before grind)來切割晶圓。在帶有複合緩衝層1的晶圓上觀察到切割道上的碎屑。至於複合緩衝層2和複合緩衝層3,沒有觀察到碎屑。實驗結果表明,具有更高楊氏模量(例如 10 – 25 GPa)和更高斷裂強度(例如 50 – 100 MPa)的複合緩衝層可有效減少晶圓在切割過程中產生碎屑。
在不脫離本公開的精神或本質特徵的情況下,本公開可以其他具體形式實施。因此,前述實施例在所有方面都被認為是說明性的,而不是限制在此描述的本發明。因此,本發明的保護範圍由申請專利範圍所界定,並且包括申請專利範圍的文義以及均等範圍所能涵蓋的所有變化。
100a:切割工藝 100b:工藝 100c:工藝 101:晶圓 120:切割道 122:晶圓切割帶 126:雷射凹槽 130:後段電介質 177:鋸片 179:金剛石磨粒 189:裂紋 192:雷射 200:(扇入型)半導體封裝 201:晶圓 204:(緩衝層的)放大部分 210:晶粒 211:第一主晶粒面/活性晶粒面 212:第二主晶粒面/非活性晶粒面 213:側晶粒面 221:旋塗機 222:可旋轉台 226:分配器 230:狹縫塗層印刷機 232:平臺 234:(細長)狹縫塗層機 236:容器罐 242:(導電晶粒)接觸墊 244:介電鈍化層 246:再分佈(複合)封裝層/RDL封裝層 250:(複合)緩衝層 252:通孔開口 258:背面保護層/非活性面保護層 270:再分佈層(RDL)結構 271:種子層 274:再分佈層(RDL)通孔觸點 276:再分佈層(RDL)線 278:再分佈層(RDL)柱 279:封裝觸點 290:塑封層 291:基礎緩衝層 292:填料或顆粒 300:簡化側視圖或截面圖 301:晶圓 320:切割道 322:切割帶 330:低k BEOL電介質 342:接觸墊 344:鈍化層 350:(複合)緩衝層 376:鋸片 377:鋸片 379:金剛石磨粒 392:(尺寸過大的)填料 397:微裂紋 401:(半導體)晶圓 402:活性面 403:非活性面/非活性晶圓面 410:裝置/晶粒 410a:裝置 410b:裝置 420:切割道/切割通道 430:後段(BEOL)電介質 441:虛設金屬結構 442:(晶粒)接觸墊 443:晶粒密封環 444:鈍化層 446:RDL封裝層 448:墊開口 449:切割線 450:(複合)緩衝層 452:通孔開口 474:導電通孔觸點/RDL通孔觸點 476:圖案化導線/RDL線 478:柱(RDL柱) 500:工藝流程 505~590:步驟 600:工藝 601:晶圓 646:RDL封裝層 650:(複合)緩衝層 652:通孔開口 653:RDL結構 654:再分佈層(RDL)通孔觸點 656:RDL線 658:RDL柱 660:晶圓腔模層/腔膜層 670:晶圓載體/面板/晶圓面板 674:面板粘合層 676:間隙 678:晶圓鎖定構件 692:電鍍掩模 694:乾膜光阻 679:封裝觸點 681:背面保護層 700:工藝流程 705~750:步驟 800:工藝 802:組件載體 801:晶粒載體或面板 805:面板粘合層 806:組件載體粘附層 8061-4:晶粒模組/晶粒矩陣 810:晶粒 811:單獨封裝 812:(晶粒)非活性面 846:RDL封裝層 850:(複合)緩衝層 852:通孔開口 860:晶粒面板組件/重構晶圓 873:RDL結構 874:再分佈層(RDL)通孔觸點 876:RDL線 878:RDL柱 879:封裝觸點 890:模塑化合物/模塑層 891:模塑層活性面/模塑層頂面 892:模塑層暴露面/模塑層非活性面 894:乾膜光阻/光阻膜 896:乾膜光阻 900:工藝流程 910~990:步驟 A:軸線 D:箭頭 T D:晶圓厚度
圖1a示出了透過機械鋸沿切割道切割晶圓的簡化圖; 圖1b至1c示出了使用雷射開槽和機械鋸沿切割道切割晶圓; 圖2a至2e示出了半導體封裝的各種實施例的簡化截面圖; 圖2f示出了具有緩衝層(buffer layer)的晶圓的俯視圖的圖像和所述緩衝層部分的放大圖的圖像; 圖2g至2h示出了形成複合緩衝層(composite buffer layer)的工藝的實施例; 圖3a示出了應力/應變曲線; 圖3b至3c顯示了沿切割道切割晶圓的橫截面圖; 圖3d示出了對不具有垂直於切割道的緩衝層的常規晶圓進行切割的截面圖; 圖3e示出了對具有垂直於切割道的緩衝層的晶圓進行切割的截面圖; 圖3f示出了對具有超大填料的緩衝層的晶圓進行切割的效果; 圖4a示出了經處理的半導體晶圓的簡化俯視圖; 圖4b示出了部分晶圓上沿著兩個晶粒之間的切割道的簡化頂視圖; 圖4c、4e和4g示出了部分已處理晶圓的各種實施例的簡化截面圖 圖4d、4f和4h示出了對應於圖4c、4e和4g中晶圓的晶粒的簡化截面圖; 圖5a至5c示出了用於對晶圓進行處理的各種工藝流程; 圖6a示出了用於處理多個晶圓的工藝的俯視圖; 圖6b至6j示出了用於處理多個晶圓的工藝的截面圖; 圖7示出了用於處理晶圓的工藝流程的實施例; 圖8a示出了處理面板組件的俯視圖; 圖8b至8j示出了用於處理面板組件的工藝的截面圖; 圖9示出了形成再分佈層(RDL)結構的工藝流程 圖10a至10c示出了切割前後具有和不具有複合緩衝層的晶圓的圖像。
200:(扇入型)半導體封裝
210:晶粒
211:第一主晶粒面/活性晶粒面
212:第二主晶粒面/非活性晶粒面
213:側晶粒面
242:(導電晶粒)接觸墊
244:介電鈍化層
246:再分佈(複合)封裝層/RDL封裝層
250:(複合)緩衝層
252:通孔開口
258:背面保護層
270:再分佈層(RDL)結構
271:種子層
274:再分佈層(RDL)通孔觸點
276:再分佈層(RDL)線
278:再分佈層(RDL)柱
279:封裝觸點
290:塑封層

Claims (20)

  1. 一種半導體封裝,包括:一晶粒,具有活性面、非活性面和側面,且所述晶粒的側面位於所述晶粒的活性面與非活性面之間,其中所述活性面包括在後段(BEOL)電介質上的晶粒墊;一緩衝層,設置在所述晶粒的活性面上,且所述緩衝層的側面與所述晶粒的側面齊平,其中所述緩衝層包括減振組合物,用於防止後段(BEOL)電介質在晶圓切割過程中產生破裂;多個通孔開口,位於所述緩衝層之中,用於暴露所述晶粒墊;以及多個通孔觸點,設置在所述通孔開口上,用於提供電連接至所述晶粒墊。
  2. 如請求項1所述的半導體封裝,還包括:一再分佈(RDL)結構,其進一步包括:多個通孔觸點;多個圖案化導線,耦合至所述通孔觸點;以及一再分佈(RDL)封裝層,覆蓋所述再分佈(RDL)結構,其中所述再分佈(RDL)結構設置在一晶粒區域之內。
  3. 如請求項2所述的半導體封裝,還包括一封裝區域,其尺寸約等於所述晶粒區域。
  4. 如請求項2所述的半導體封裝,還包括一背面保護層,設置在所述晶粒的非活性面上,其中所述背面保護層可防止所述晶粒碎裂。
  5. 如請求項2所述的半導體封裝,還包括一封裝層,覆蓋並包圍所述晶粒;其中所述再分佈(RDL)封裝層與所述封裝層的尺寸大致相同。
  6. 如請求項2所述的半導體封裝,還包括一封裝層,覆蓋並包圍所述晶粒,其中,所述封裝層具有一頂部封裝層表面,與所述晶粒的非活性面平齊,以及所述再分佈(RDL)封裝層與所述封裝層的尺寸大致相同。
  7. 如請求項1所述的半導體封裝,還包括一再分佈(RDL)結構,其進一步包括:多個通孔觸點;多個圖案化導線,連接到所述通孔觸點;以及一再分佈(RDL)封裝層,覆蓋所述再分佈(RDL)結構,其中所述再分佈(RDL)結構設置在一晶粒區域之外。
  8. 如請求項7所述的半導體封裝,還包括一封裝層,覆蓋並包圍所述晶粒;其中所述再分佈(RDL)封裝層與所述封裝層的尺寸大致相同。
  9. 如請求項2所述的半導體封裝,還包括一封裝層, 覆蓋並包圍所述晶粒,其中,所述封裝層具有一頂部封裝層表面,與所述晶粒的非活性面平齊,以及所述再分佈(RDL)封裝層與所述封裝層的尺寸大致相同。
  10. 如請求項1所述的半導體封裝,其中所述緩衝層包括一複合緩衝層,所述複合緩衝層進一步包括:一基底緩衝層;以及設置在所述基底緩衝層內的填料。
  11. 如請求項10所述的半導體封裝,其中所述基底緩衝層包括一聚合物基底緩衝層。
  12. 如請求項10所述的半導體封裝,其中所述複合緩衝層中的填料包括有機填料、無機填料或其組合。
  13. 如請求項10所述的半導體封裝,其中所述填料的尺寸在約0.5微米至約12微米的範圍內。
  14. 如請求項10所述的半導體封裝,其中所述填料的尺寸為所述晶圓切割過程中使用的鋸片寬度的1/3至1/2。
  15. 如請求項10所述的半導體封裝,其中所述複合緩衝層的厚度約為10-100微米。
  16. 如請求項10所述的半導體封裝,其中所述複合緩衝層包括一預製複合緩衝層。
  17. 如請求項1所述的半導體封裝,其中所述緩衝層的 楊氏模量在約10,000-25,000MPa的範圍內。
  18. 一種半導體封裝,包括:一晶粒,具有活性面和非活性面,其中所述活性面包括在後段(BEOL)電介質上的晶粒墊;一緩衝層,設置在所述晶粒的活性面上,其中所述緩衝層包括楊氏模量和斷裂強度,用於防止後段(BEOL)電介質在晶圓切割過程中產生破裂,且可在所述晶圓切割過程中引導用於切割的鋸片;多個通孔開口,位於所述緩衝層之中,用於暴露所述晶粒墊;以及多個設置在所述通孔開口上的通孔觸點,用於提供電連接至所述晶粒墊。
  19. 一種處理半導體晶圓的方法,包括:提供具有活性面的半導體晶圓,其中,所述活性面經處理具有多個晶粒,所述多個晶粒的頂部晶粒表面包括位於後段(BEOL)電介質上的晶粒墊;以及形成位於所述半導體晶圓上的緩衝層,覆蓋所述頂部晶粒表面,其中所述緩衝層包括減振組合物,用於防止後段(BEOL)電介質在晶圓切割過程中產生破裂,且可在所述晶圓切割過程中引導用於切割的鋸片。
  20. 如請求項19所述的方法,其中所述緩衝層包括: 楊氏模量約為10,000-25,000MPa;以及斷裂強度約為50-100MPa。
TW110126139A 2020-07-15 2021-07-15 具有緩衝層的半導體裝置及處理半導體晶圓的方法 TWI783577B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
SG10202006768U 2020-07-15
SG10202006768U 2020-07-15
US202063114536P 2020-11-17 2020-11-17
US63/114,536 2020-11-17

Publications (2)

Publication Number Publication Date
TW202221866A TW202221866A (zh) 2022-06-01
TWI783577B true TWI783577B (zh) 2022-11-11

Family

ID=79556153

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126139A TWI783577B (zh) 2020-07-15 2021-07-15 具有緩衝層的半導體裝置及處理半導體晶圓的方法

Country Status (3)

Country Link
CN (1) CN115280489A (zh)
TW (1) TWI783577B (zh)
WO (1) WO2022015245A1 (zh)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006054606A1 (ja) * 2004-11-16 2006-05-26 Rohm Co., Ltd. 半導体装置および半導体装置の製造方法
US20170098628A1 (en) * 2015-10-05 2017-04-06 Mediatek Inc. Semiconductor package structure and method for forming the same
US20180166396A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
TW202008535A (zh) * 2018-07-26 2020-02-16 鈺橋半導體股份有限公司 具有調節件及防裂結構之導線架基板及其覆晶組體
CN110828394A (zh) * 2018-08-10 2020-02-21 三星电子株式会社 半导体封装件
US20200168506A1 (en) * 2018-11-26 2020-05-28 Lbsemicon Inc. Methods of fabricating semiconductor package
US20200203188A1 (en) * 2017-11-29 2020-06-25 Pep Innovation Pte. Ltd. Chip packaging method and package structure

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201508477VA (en) * 2014-10-13 2016-05-30 Utac Headquarters Pte Ltd Methods for singulating semiconductor wafer
US10790161B2 (en) * 2018-03-27 2020-09-29 Amkor Technology, Inc. Electronic device with adaptive vertical interconnect and fabricating method thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006054606A1 (ja) * 2004-11-16 2006-05-26 Rohm Co., Ltd. 半導体装置および半導体装置の製造方法
US20170098628A1 (en) * 2015-10-05 2017-04-06 Mediatek Inc. Semiconductor package structure and method for forming the same
US20180166396A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US20200203188A1 (en) * 2017-11-29 2020-06-25 Pep Innovation Pte. Ltd. Chip packaging method and package structure
TW202008535A (zh) * 2018-07-26 2020-02-16 鈺橋半導體股份有限公司 具有調節件及防裂結構之導線架基板及其覆晶組體
CN110828394A (zh) * 2018-08-10 2020-02-21 三星电子株式会社 半导体封装件
US20200168506A1 (en) * 2018-11-26 2020-05-28 Lbsemicon Inc. Methods of fabricating semiconductor package

Also Published As

Publication number Publication date
WO2022015245A1 (en) 2022-01-20
TW202221866A (zh) 2022-06-01
CN115280489A (zh) 2022-11-01

Similar Documents

Publication Publication Date Title
US10867960B2 (en) Device package including molding compound having non-planar top surface around a die and method of forming same
CN112447646B (zh) 半导体器件、封装件及其形成方法
KR101821478B1 (ko) Pop 패키지에서 개구부 크기를 조정함으로써 균열 감소
US7459774B2 (en) Stacked chip package using photosensitive polymer and manufacturing method thereof
US11764165B2 (en) Supporting InFO packages to reduce warpage
US20130217188A1 (en) Structures and Formation Methods of Packages with Heat Sinks
US11749651B2 (en) Semiconductor package and manufacturing method thereof
US11133282B2 (en) COWOS structures and methods forming same
US10504858B2 (en) Package structure and method of fabricating the same
KR20170052466A (ko) 캐비티를 갖는 폴리머-기반 반도체 구조체
US10629559B2 (en) Semiconductor package and manufacturing method thereof
TWI783577B (zh) 具有緩衝層的半導體裝置及處理半導體晶圓的方法
US20210343549A1 (en) Semiconductor device with buffer layer
US11569183B2 (en) Package structure and method of fabricating the same
US20230282558A1 (en) Package structure and method of fabricating the same
US20220336364A1 (en) Package structure and method of fabricating the same