CN115280489A - 具有缓冲层的半导体器件 - Google Patents

具有缓冲层的半导体器件 Download PDF

Info

Publication number
CN115280489A
CN115280489A CN202180021470.4A CN202180021470A CN115280489A CN 115280489 A CN115280489 A CN 115280489A CN 202180021470 A CN202180021470 A CN 202180021470A CN 115280489 A CN115280489 A CN 115280489A
Authority
CN
China
Prior art keywords
rdl
layer
buffer layer
wafer
die
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180021470.4A
Other languages
English (en)
Inventor
H.S.赵
S.K.穆尼拉提南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Pep Innovation Pte Ltd
Original Assignee
Pep Innovation Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Pep Innovation Pte Ltd filed Critical Pep Innovation Pte Ltd
Publication of CN115280489A publication Critical patent/CN115280489A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Dicing (AREA)
  • Recrystallisation Techniques (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

公开了一种晶圆级缓冲层。所述晶圆级缓冲层被配置为防止在晶圆切割过程中后段(BEOL)电介质产生碎裂。晶圆级缓冲层是一种具有减振剂的复合晶圆级缓冲层。所述减振剂包括具有填料的聚合物基底层。所述阻尼剂在切割过程中吸收或抑制锯条的振动,用于防止后段(BEOL)电介质产生碎裂。

Description

具有缓冲层的半导体器件
交叉引用
本申请为2021年7月14日提交的第17/346,310号的美国专利申请的继续申请(continuation-in-part),后者为2017年11月29日提交的第15/826,268号的美国专利申请,现为美国专利号11,049,734的分案申请。本申请还要求2020年7月15日提交的第10202006768U号新加坡申请和2020年11月27日提交的第63/114,536号美国临时申请的优先权。所有公开内容均通过引用整体并入本文。
技术领域
本公开总体上涉及集成电路(IC)。更具体地,本公开涉及在晶圆分割期间防止或减少IC的碎裂。
背景技术
集成电路(IC)需要互连件(interconnects)以提供到内部组件的外部连接。通常,IC中的互连件形成在多个介电层(dielectric layer)之中。对低成本和高性能的持续需求,例如更快的运行速度、更低的互连延迟、更小的特征尺寸以及更高的密度或功能,正在推动使用铜作为互连件和低k或超低k的电介质(例如,介电常数(dielectric constant)k小于3.0)用作绝缘体。例如,65纳米(nm)或更短的技术节点使用铜线和具有低k或超低k电介质的通孔(vias)作为绝缘体以实现电气绝缘。此外,为了满足这一需求,IC使用更厚的层间电介质堆叠(inter-layer dielectric stack)和更高的金属密度。
然而,我们已注意到,仅能在现场测试(field testing)和封装可靠性测试(package reliability testing)中才能检测到采用较厚的低k电介质器件的可靠性问题。通过调查,我们发现故障的原因是由于晶粒密封环(die seal ring)内的活性晶粒区域(active die region)中的低k介电层中存在微裂纹。例如,晶粒密封环将晶粒(die)的活性区域与切割道(saw street)隔离开。由于隐藏在晶圆(wafer)的上表面之下,微裂纹(micro-cracks)或毛发状缺陷(hair-like defects)几乎不可能被检测到。
尽管不受理论束缚,但普遍认为,由于半导体晶圆(semiconductor wafer)上的低k电介质具有脆性本质,所以在活性晶粒区域中会出现微裂纹。低k电介质的脆性会导致微裂纹,这种微裂纹起源于将晶圆切割为晶粒的切割过程,在晶粒密封环下方传播并进入活性晶粒区域。例如,源自切割道的裂纹会在晶粒密封环下方传播并进入活性晶粒区域,从而导致晶粒级互连件(die-level interconnect)的故障,对良率产生负面影响。
图1a是描绘晶圆上的切割工艺(dicing process)100a的简化图。如图所示,晶圆101附接到晶圆切割带(wafer dicing tape)122。例如,晶圆在其活性面上形成了电路组件(circuit components)和具有多个金属层的后段(back-end-of-line)电介质130,所述多个金属层具有低k层间(inter-layer)和/或层内(intra-layer)电介质,以及金属线和通孔触点(via contacts)。
如图所示,锯条(saw blade)177旋转并降低到晶圆的边缘上,在x或y方向沿锯道或切割道开始切割过程。锯条包括嵌入其圆周用于切割晶圆的金刚石磨粒179(diamondgrits)。锯条的初始接触点位于BEOL电介质上。随着锯条旋转并继续下降到晶圆之中,将沿着锯道切割晶圆。来自锯条的振动导致在BEOL电介质中形成裂纹189。如上所述,即使仅发生在晶圆的晶粒边缘,裂纹189也会对产量和封装可靠性产生负面影响。
为了防止裂纹189,可以首先采用激光沿着晶圆的锯道形成凹槽(groove)。图1b示出了用于沿着晶圆101的锯道120形成激光凹槽(laser groove)126的工艺100b的简化图。具有低k的BEOL电介质130的晶圆安装在晶圆切割带122上。激光凹槽126由激光192形成。激光凹槽126穿透BEOL电介质130并进入晶圆101。由于激光是非机械的,因此不会产生振动而在具有低k的BEOL电介质130中产生裂纹189。在形成激光凹槽126之后,工艺100b继续通过使用金刚石锯条177完成切割晶圆101,如图1c的工艺100c所示。
尽管激光凹槽可以减少低k的BEOL电介质130中的裂纹189,但在设备成本和生产时间方面,采用激光将显著增加制造成本。例如,激光设备价格昂贵,且形成激光凹槽的过程比仅使用锯条177要慢得多。同时使用激光凹槽和机械锯切会显著延长每个晶圆101的加工时间。在某些情况下,即使同时使用激光凹槽和机械锯条,仍会在晶粒边缘观察到晶圆碎裂(wafer chipping)。
因此,基于上述讨论,本公开将提供一种较低成本的解决方案,用于在将晶圆切割为单个器件的过程中,防止在器件的BEOL电介质中产生裂纹。
发明内容
本公开总体上涉及半导体器件或集成电路(IC)。更具体地,本公开涉及在晶圆分割过程中防止或减少IC的碎裂。
在一实施例中,一种半导体封装包括一晶粒,具有活性面和非活性面。所述活性面包括在后段(BEOL)电介质上的晶粒垫。一缓冲层设置在所述晶粒的活性面上。所述缓冲层包括减振组合物,用于防止所述后段(BEOL)电介质在晶圆切割过程中产生破裂。所述缓冲层具有多个通孔开孔,用于暴露所述晶粒垫。多个通孔触点,设置在所述通孔开口上,用于提供至所述晶粒垫的电连接。
在另一实施例中,一种半导体封装包括一晶粒,具有活性面和非活性面。所述活性面包括在后段(BEOL)电介质上的晶粒垫。一缓冲层,设置在晶粒的活性面上。所述缓冲层包括杨氏模量和断裂强度,用于防止所述后段(BEOL)电介质在晶圆切割过程中产生破裂;所述缓冲层具有多个通孔开孔,位于所述缓冲层中,用于暴露所述晶粒垫。多个设置在所述通孔开口上的通孔触点,用于提供至所述晶粒垫的电连接。
在另一实施例中,一种处理半导体晶圆的方法,包括提供具有活性面的半导体晶圆。所述活性面经处理具有多个晶粒,所述多个晶粒的顶部晶粒面包括位于所述后段(BEOL)电介质上的晶粒垫。所述方法还包括形成位于所述半导体晶圆上的缓冲层,覆盖所述顶部晶粒面。所述缓冲层包括减振组合物,用于防止所述后段(BEOL)电介质在晶圆切割过程中产生破裂。
通过参考以下描述和附图,本文所公开的实施例的及其优点和特征将变得显而易见。此外,应当理解,这里描述的各种实施例的特征不是相互排斥的,其可以各种组合和排列存在。
附图说明
附图并入并形成说明书的一部分,其中相同数字表示相同部分,显示了本公开的优选实施例,并且与附图描述一起用于解释本公开的各种实施例的原理。
图1a示出了通过机械锯条沿切割道切割晶圆的简化图;
图1b-1c示出了使用激光开槽和机械锯条沿切割道切割晶圆;
图2a-2e示出了半导体封装的各种实施例的简化截面图;
图2f示出了具有缓冲层(buffer layer)的晶圆的俯视图的图像和所述缓冲层部分的放大图的图像;
图2g-2h示出了形成复合缓冲层(composite buffer layer)的工艺的实施例;
图3a示出了应力/应变曲线;
图3b-3c显示了沿切割道切割晶圆的横截面图;
图3d示出了对不具有垂直于切割道的缓冲层的常规晶圆进行切割的截面图;
图3e示出了对具有垂直于切割道的缓冲层的晶圆进行切割的截面图;
图3f示出了对具有具有超大填料的缓冲层的晶圆进行切割的效果;
图4a示出了经处理的半导体晶圆的简化俯视图;
图4b示出了部分晶圆上沿着两个晶粒之间的切割道的简化顶视图;
图4c、4e和4g示出了部分已处理晶圆的各种实施例的简化截面图;
图4d、4f和4h示出了对应于图4c、4e和4g中晶圆的晶粒的简化截面图;
图5a-5c示出了用于对晶圆进行处理的各种工艺流程;
图6a示出了用于处理多个晶圆的工艺的俯视图;
图6b-6j示出了用于处理多个晶圆的工艺的截面图;
图7示出了用于处理晶圆的工艺流程的实施例;
图8a示出了处理面板组件的俯视图;
图8b-8j示出了用于处理面板组件的工艺的截面图;
图9示出了形成再分布层(RDL)结构的工艺流程
图10a-10c示出了切割前后具有和不具有复合缓冲层的晶圆的图像。
具体实施方式
实施例一般涉及器件,例如半导体器件或集成电路(IC)。特别地,本公开涉及一种缓冲层,用于防止或减少晶圆上由切割工艺导致的低k的BEOL(back-end-of-line)电介质的破损和碎裂(cracking and chipping)。
图2a-2e示出了半导体封装200的各种实施例的简化截面图。其中,图2a-2c示出了扇入型(fan-in)半导体封装的不同实施例的简化截面图;图2d-2e示出了扇出型(fan-out)封装的不同实施例的简化截面图。参考图2a-2e,半导体封装200包括晶粒210。晶粒210包括第一和第二主晶粒面211和212以及侧晶粒面213。第一主晶粒面211可以被称为活性晶粒面。而第二主晶粒面212可以被称为非活性晶粒面。例如,晶粒是从具有多个晶粒的已处理晶圆上,例如硅晶圆上,分离出来的。其他类型的晶圆亦可。例如,晶圆可以是碳化硅(SiC)晶圆、氮化镓(GaN)晶圆、砷化镓(GaAs)晶圆或磷化铟(InP)晶圆。其他类型的晶圆亦可。裸片或未处理的晶圆可作为晶粒的基板(即晶粒基板(die substrate))。
晶粒基板可以用电路组件或元件进行处理。电路组件可包括主动和被动电路元件。主动元件可以包括例如晶体管、二极管和三极管,而被动元件包括电压元件、电容器、电阻器和电感器。也可以包括其他类型的主动和被动元件。电路元件可以通过掺杂(例如注入或扩散)、沉积(例如氧化、化学气相沉积(CVD)、电镀和溅射)和图案化(例如光刻和蚀刻)等一系列工艺形成。亦可采用其他技术来形成电路元件。
在晶粒基板上形成具有多层互连级(interconnect levels)的BEOL电介质,所述互连级具有耦合到通孔触点(via contacts)的导电线。例如,BEOL电介质覆盖具有电路组件的晶粒基板的表面。在一个实施例中,BEOL电介质包括用于绝缘位于不同层互连级的导电线的低k电介质或电介质层。低k介电层还可包括超低k介电层。低k电介质或电介质层可指低k电介质或电介质层和超低k电介质或电介质层。其他类型的介电层亦可。
BEOL电介质的顶部可包括介电钝化层(dielectric passivation layer)244,其具有垫开口(pad opening),用于将导电晶粒接触垫(conductive die contact pad)242暴露。接触垫也可以被称为晶粒垫(die pad)或贴片垫(bond pad)。例如,接触垫可以是铝(Al)接触垫。其他类型的接触垫亦可,例如铜(Cu)、镍(Ni)、钯(Pd)、金(Au)、铬(Cr)或其组合或合金,例如Al-Cu。
介电钝化层244可以是具有多个介电层(dielectric layer)的钝化堆叠(passivation stack)。例如,钝化堆叠可包括介电层的组合,例如氧化硅(silicon oxide)和氮化硅(silicon nitride)层。其他类型的介电层亦可。在一个实施例中,垫开口小于接触垫。例如,介电钝化层244的上表面位于接触垫的上表面之上,且垫开口小于接触垫。如图所示,介电钝化层244覆盖接触垫的边缘部分。垫开口可通过例如各向异性蚀刻(anisotropic etch)形成,例如反应离子蚀刻(reactive ion etch)。亦可使用其他类型的蚀刻来形成垫开口。
在一个实施例中,BEOL电介质、钝化层和接触垫的上表面的暴露部分构成了晶圆活性面。在某些情况下,晶圆活性面可包括BEOL电介质的顶部和接触垫,但不包括钝化层224。
在一个实施例中,缓冲层250设置在晶粒的晶粒活性面上。例如,缓冲层覆盖了具有接触垫和钝化层的晶粒活性面。在晶粒活性面不包括钝化层的情况下,缓冲层250覆盖了晶粒活性面和接触垫。例如,缓冲层250可以被称为晶圆级(wafer-level)缓冲层。例如,在进行晶圆切割工艺之前,缓冲层250可设置在具有多个晶粒的晶圆活性面上。
缓冲层250防止或减少切割过程中BEOL电介质中产生破损和碎裂。缓冲层的机械性能对于减少或防止晶圆切割过程中BEOL电介质的破裂也很重要。特别地,缓冲层的杨氏模量(Young’s Modulus)和断裂强度(Breaking Strength)对于减少或防止晶圆切割过程中的破损和碎裂很重要。
在一个实施例中,缓冲层250是透明的。提供非透明缓冲层亦可。在某些情况下,材料可配置为透明或不透明。在一个实施例中,缓冲层是不可感光成像的(non-photoimageable)。例如,缓冲层250是非光敏的(non-photosensitive)。可通过例如激光蚀刻(laser etching)、掩模(mask)和蚀刻(etch)等技术或其组合来实现缓冲层250的图案化。
在一个实施例中,缓冲层250是可调(tunable)缓冲层。例如,缓冲层250的杨氏模量和断裂强度是可调的。可调整缓冲层250的杨氏模量和断裂强度,以防止BEOL电介质产生开裂。在一个实施例中,杨氏模量约为10,000-25,000MPa。在其他实施例中,杨氏模量约为14,000-25,000MPa。在其他实施例中,杨氏模量约为15,000-25,000MPa。在另一个实施例中,杨氏模量约为16,000-25,000MPa。在另一个实施例中,杨氏模量约为15,000-20,000MPa。在其他实施例中,杨氏模量约为20,000-25,000MPa。
断裂强度可以是大约45–150MPa。在其他实施例中,断裂强度为约70–150MPa。在另一个实施例中,断裂强度为约70–120MPa。在另一个实施例中,断裂强度为约70–105MPa。在另一个实施例中,缓冲层250的断裂强度为约80–120MPa。在又一实施例中,缓冲层250的断裂强度为约90–120MPa。缓冲层的热膨胀系数(CTE)例如可以是大约6-20ppm/K。缓冲层250可以在负(-)65–正(+)300摄氏度(℃)的范围内具有温度稳定性。
在一个实施例中,可调缓冲层是可调复合(tunable composite)缓冲层。可调复合缓冲层包括减振组合物(vibration damping composition)或阻尼剂(damping agent)。例如,减振组合物包括含有填料(fillers)或颗粒(granules)的基底层(base layer),以减少切割过程中的振动。
在一个实施例中,可调复合缓冲层的基底缓冲层是有机聚合物基质材料(organicpolymer matrix material)。各种类型的聚合物可用于基底缓冲层。例如,聚合物可包括热固性塑料(thermosetting plastics)或热塑性塑料(thermoplastics),例如聚酰亚胺(polyimides)、环氧树脂(epoxy resins)以及其他类型的聚合物。在一个实施例中,基底缓冲层包括树脂(resin),例如环氧树脂(epoxy)或氰酸酯(cyanate esters)。优选地,基底缓冲层是低粘度(low viscosity)树脂,例如联苯环氧树脂(biphenyl epoxy resin)。缓冲层的厚度可为约10–100微米(um)、约15–100微米(um)、约20–100微米(um)、约25–100微米(um)、约45–100微米(um)或约60–100微米(um)。缓冲层的公差(tolerance)可以是正负(±)1–5微米(um),具体取决于缓冲层的厚度。亦可采用具有其他厚度的缓冲层。
基底缓冲层的填料可以是有机基、无机基或其组合。例如,填料可包括二氧化硅(SiO2)填料、无定形氧化铝(α-Al2O3)填料或其组合。其他类型的非导电填料亦可。例如,填料可以是二氧化硅(silica)、玻璃珠(glass beads)、沙子(sand)或其组合。例如,填料可以是球形填料。球形填料可具有约0.5–12微米(um)的直径。在其他实施例中,球形填料可具有约0.5–10微米(um)的直径。其他尺寸的填料亦可,包括纳米尺寸的填料。此外,填料可以具有任何形状。优选地,填料可以是不均匀尺寸的填料。例如,基底缓冲层的填料具有不同的直径。基底缓冲层的填料也可具有不同的形状。提供具有不同尺寸的填料可使缓冲层中的填料密度更高。例如,较小尺寸的填料可填充较大尺寸的填料之间的间隙中。
填料的尺寸可取决于切割晶圆时使用的锯条的宽度。在一个实施例中,填料的尺寸小于切割中使用的锯条宽度。例如,填料的尺寸可小于或等于锯条宽度的约1/2或约1/3。在一个实施例中,填料的尺寸可在约0.5微米(um)到锯条宽度的约1/3的范围内。锯条宽度通常约为30–36微米(um)。例如,当锯条宽度为36微米(um)时,填料的尺寸可以是大约0.5–10微米(um);或当锯条宽度为30微米(um)时,大约0.5–12微米(um)。在一个实施例中,基于复合缓冲层的总重量,复合缓冲层中的填料浓度为约70-90重量百分比(wt%)。在另一个实施例中,复合缓冲层中的填料浓度为约80-90重量百分比(wt%)。
在一个实施例中,可通过选择合适的基底缓冲层材料、填料材质、填料浓度或其组合来调节复合缓冲层,使其具有选定或定义的杨氏模量和断裂强度。此外,这些因素也可能影响热膨胀系数(CTE)以及温度稳定性。
在一个实施例中,复合缓冲层是层压(laminated)到晶圆或晶粒上的预成型层(preformed layer)。例如,复合缓冲层被预先形成片材(sheet),再层压到晶圆上。在其他实施例中,复合缓冲层可形成在晶圆上。复合缓冲层也可具有其他配置。
缓冲层包括通孔开口252,用于暴露接触垫242。通孔开口252可以通过激光蚀刻形成。例如,通孔开口包括激光蚀刻(laser etching)的通孔侧壁。其他可形成通孔开口的技术亦可。例如,通孔开口可使用图案化光刻胶掩模(patterned photoresist mask)通过等离子蚀刻(plasma etch)形成,例如反应离子蚀刻(reactive ion etch)。在这种情况下,通孔开口包括等离子蚀刻的侧壁。在其他实施例中,使用多重蚀刻(multi-etch)工艺形成通孔开口,例如首先进行高功率激光蚀刻(high-power laser etch),再进行低功率激光蚀刻(low-power laser etch);或首先进行高功率激光蚀刻,再进行等离子蚀刻。例如,激光器是用于非感光成像图案化(non-photoimageable patterning)的激光器组件。两重蚀刻避免了大功率激光蚀刻对铝垫的损坏。两重蚀刻工艺可导致通孔开口的上部具有激光蚀刻侧壁,而其下部可以是激光蚀刻侧壁或等离子蚀刻侧壁。
如图所示,缓冲层的通孔开口的底部小于钝化层的垫开口。例如,在形成缓冲层之前形成垫开口,而在形成缓冲层之后形成通孔开口。通孔开口的底部可以设置在垫开口的大约中心处。或者,通孔开口和垫开口的底部具有相同的尺寸。例如,通孔开口和垫开口大约同时形成。在一些实施例中,当形成缓冲层时,钝化层不包括垫开口。首先形成通孔开口,然后再形成垫开口。
再分布层(redistribution layer(RDL))结构270形成在封装之上。RDL结构270提供了与接触垫242的互连(interconnections)。在一个实施例中,RDL结构包括RDL通孔触点274、RDL线276和RDL柱278。RDL结构的各种部件可以是铜或铜合金RDL部件。例如,RDL通孔触点、RDL线和RDL柱由铜或铜合金形成。其他类型的导电金属亦可。
RDL部件可通过使用种子层271电镀形成。例如,种子层对缓冲层250进行加衬(line),包括通孔开口的侧壁和底部。种子层可以是通过溅射(sputtering)形成的钛铜(titanium copper(TiCu))种子层。可采用电镀掩模(plating mask),例如通过激光直接成像(laser direct imaging),进行图案化而形成图案化光刻胶层(patterned photoresistlayer),用于电镀RDL层。例如,将干膜光刻胶层(dry film photoresist)层压在种子层之上,并采用可感光成像图案化的激光组件,进行激光直接成像将其图案化。图案化光刻胶层包括对应于RDL线的开口,包括通孔开口。电镀工艺采用例如铜的导电层填充掩模开口(mask openings),包括通孔开口,形成RDL线,其经过通孔开口的RDL通孔触点而耦合到接触垫。电镀工艺在略低于图案化光刻胶层的高度上停止。在形成RDL线之后,可保留图案化光刻胶层。另一干膜光刻胶(dry film photoresist)层压在RDL线和掩模上。光刻胶膜通过例如激光直接成像而图案化,在RDL线上将形成RDL柱的位置形成柱开口(stud opening)。例如,图案化抗蚀层(patterned resist layer)可以是电镀掩模(plating mask),用于在RDL线276上选择性地形成RDL柱278。采用电镀工艺在柱开口中形成RDL柱278,然后去除光刻胶膜。
再分布(RDL)封装层246设置在具有RDL结构270的缓冲层250上方。RDL封装层246具有第一面,与RDL柱的表面平齐。例如,RDL封装层填充了RDL线276和RDL柱278之间的间隙,使RDL柱的表面暴露。
在一个实施例中,RDL封装层是RDL复合封装层。RDL复合封装层246可以类似于复合缓冲层250。例如,RDL复合封装层246包括含有填料(fillers)或颗粒(granules)的基底RDL封装层。RDL复合封装层中的填料可以大于复合缓冲层250中的填料。
例如,RDL复合封装层248可预先形成封装片材(encapsulation sheet),并层压到缓冲层上,例如通过薄膜成型(film molding)、压塑成型(compression molding)、真空层压(vacuum lamination)或辊压(roller lamination)。其他技术亦可用于形成RDL复合封装层。层压的RDL复合封装层覆盖了缓冲层250和RDL结构270。
如图所示,RDL封装层246的表面和RDL柱的表面是平齐的。RDL封装层和RDL柱278的平齐面可以被称为第一面、顶面或暴露面。当形成RDL封装层248时,其可以覆盖RDL柱278的顶面。可进行研磨工艺(grinding process)或平坦化(planarizing process)工艺,例如化学机械抛光(chemical mechanical polish)以去除多余的再分布(RDL)封装材料,从而形成RDL柱278和RDL封装层248的平齐表面。
在一个实施例中,封装触点279设置在RDL柱278之上。如图所示,封装触点包括焊料凸块(solder bump)。焊料凸块可通过焊料凸块技术(solder bump technology)形成。例如,焊料凸块技术可包括焊料滴落(solder drop)和回流(reflow)以形成焊球(solderball)。例如,焊料凸块可用于球栅阵列(ball grid array)封装。其他类型的封装触点亦可,例如LGA和QFN封装。例如,封装触点可以是金属电镀(metal-plated)触点,例如镀锡触点。金属电镀触点可以通过例如亚光电镀(matt plating)工艺形成在RDL柱之上。其他可用于形成电镀触点的技术亦可。
如图所示,RDL结构包括一个RDL级(RDL level)。例如,RDL结构包括一个RDL线级(RDL line level),其中RDL线(RDL line)通过RDL通孔触点耦合到接触垫,并设置在RDL线级上的最终柱级(final stud level)。在其他实施例中,RDL线级可包括多个线级(从1到x级),具有RDL通孔触点、RDL线和设置在最上层的RDL线级(第i级=x)上的最终柱级(finalstud level)。在这种情况下,RDL封装层可具有多个RDL封装级(RDL encapsulationlevel)。除了最后一级外,在形成每个RDL线级之后再形成RDL封装层。对于最上层线级,封装层覆盖了最上层RDL线级的RDL线和最终柱级的RDL柱。
如图2a所示,封装是扇入型(fan-in type)半导体封装200。对于扇入型半导体封装,RDL结构包括RDL线和RDL柱,位于晶粒的区域之内。RDL结构和RDL封装层分别为晶圆级RDL结构和晶圆级RDL封装层。例如,切割之前RDL结构和RDL封装层在晶圆上形成。在这种情况下,晶粒侧面、复合缓冲层和RDL封装层在分割过程中齐平或对齐。
在一个实施例中,非活性晶粒面212还提供了非活性或背面保护层258。例如,在晶粒的非活性面或背面上提供背面保护层258。在优选实施例中,背面保护层类似于复合缓冲层250。例如,背面保护层是复合背面保护层。背面保护层防止在切割过程中,晶圆背面产生晶圆碎裂(chipping of the wafer)。其他类型的背面保护层亦可。类似于复合缓冲层250,复合背面保护层为晶圆级层。在切割之前,背面保护层施加到晶圆背面。因此,背面保护层的侧表面也与晶粒侧表面齐平。背面保护层的厚度可为约25-200微米(um)、约25-150um或约50–120微米(um)。背面保护层的其他厚度亦可。
图2b-2c示出了扇入型半导体封装200的其他实施例。在一个实施例中,RDL结构和RDL封装层为面板级RDL结构和封装层。例如,当晶圆切割成单独晶粒并形成面板组件之后,RDL结构和RDL封装层在面板级形成。例如,面板组件包括排列成矩阵的多个晶粒,并由塑封层(mold layer)290封装。因此,RDL封装层246延伸超出晶粒210的区域。例如,RDL封装的侧面不与晶粒和缓冲层的侧面齐平。如图所示,晶粒210和缓冲层250的侧面比RDL封装层的侧面凹进。
塑封层290封装了晶粒。例如,塑封层是环氧塑封化合物(epoxy mold compound)。其他类型的塑封层亦可。例如,塑封层可以由液体塑封化合物形成。塑封层覆盖了晶粒的侧面并设置在RDL封装层246的顶部。RDL封装层的侧面和塑封层的侧面齐平,并且RDL封装层顶部之上的底部塑封层表面(bottom mold layer surface)与缓冲层的底部表面(bottomsurface of the buffer layer)齐平。
如图2b所示,塑封层覆盖晶粒的顶部。例如,顶部塑封层表面(top mold layersurface)设置在非活性晶粒面212的上方。这样的封装可以被称为六面扇入式封装(sixsided fan-in package),其中晶粒的所有六个面都受到保护。在另一个实施例中,如图2c所示,顶部塑封层表面(top mold layer surface)和非活性晶粒面平齐。这种封装可以称为五面扇入封装(five sided fan-in package)。
在其他实施例中,封装是扇出(fan-out)封装,如图2d-2e所示。对于扇出型半导体封装,RDL结构270,包括RDL线276和RDL柱278,延伸超出晶粒210的区域。RDL结构和RDL封装层246分别是面板级RDL结构和RDL封装层。例如,RDL结构和RDL封装层在晶圆切割后形成在面板级。在这种情况下,RDL封装层的侧面与晶粒侧面和缓冲层侧面不齐平。
塑封层290封装晶粒。塑封层覆盖了晶粒的侧面,并设置在RDL封装层246的顶部。RDL封装层的侧面和塑封层的侧面齐平,并且封装层顶部之上的底部塑封层表面(bottommold layer surface)和缓冲层的底部表面(bottom surface of the buffer layer)齐平。如图2d所示,塑封层覆盖了晶粒的顶部。例如,顶部塑封层表面设置在非活性晶粒面的上方。这种封装可以称为六面扇出封装(six sided fan-out package)。在另一个实施例中,如图2e所示,顶部塑封层表面(top mold layer surface)和非活性晶粒面是平齐的。这种封装可以称为五面扇出封装(five sided fan-out package)。
图2f示出了实施例中具有缓冲层250的晶圆201的俯视图的图像。还包括缓冲层的放大部分204的图像。如上所述,缓冲层可防止或减少切割期间BEOL电介质中的破损和碎裂。缓冲层包括减振剂(vibration damping agent)。在一个实施例中,缓冲层具有特定的杨氏模量和断裂强度,以防止切割过程中BEOL电介质破损和碎裂。在一个实施例中,杨氏模量约为10,000-25,000MPa。在其他实施例中,杨氏模量约为14,000-25,000MPa。在其他实施例中,杨氏模量约为15,000-25,000MPa。在另一个实施例中,杨氏模量约为16,000-25,000MPa。在另一个实施例中,杨氏模量约为15,000-20,000MPa。在其他实施例中,杨氏模量约为20,000-25,000MPa。
在一个实施例中,缓冲层的断裂强度为约45–150MPa。在其他实施例中,断裂强度为约70–150MPa。在另一个实施例中,断裂强度为约70–120MPa。在另一个实施例中,断裂强度为约70–105MPa。在另一个实施例中,缓冲层的断裂强度为约80–120MPa。在另一实施例中,缓冲层的断裂强度为约90–120MPa。缓冲层的热膨胀系数(CTE)例如可以是大约6–20ppm/K。缓冲层可以在负(-)65–正(+)300摄氏度(℃)的范围内具有温度稳定性。
缓冲层的厚度可以是大约10–100微米(um)、15–100微米(um)、20–100微米(um)、25–100微米(um)、45–100微米(um)或60–100微米(um)。缓冲层的公差可以是正负(±)1-5微米(um),这取决于缓冲层的厚度。提供具有其他厚度的缓冲层亦可。
在一个实施例中,缓冲层是复合缓冲层,具有包含填料或颗粒292的基础缓冲层291。在一个实施例中,基础缓冲层是透明基础缓冲层,例如透明聚合物基础缓冲层。各种类型的聚合物可用于基础缓冲层。聚合物基础缓冲层可以是热固性塑料(thermosettingplastic)或热塑性塑料(thermoplastic),例如聚酰亚胺(polyimide)或树脂(resins)。在一个实施例中,基础缓冲层包括树脂,例如环氧树脂(epoxy)或氰酸酯(cyanate esters)。优选地,基底缓冲层是低粘度(low viscosity)树脂,例如联苯环氧树脂(biphenyl epoxyresin)。
填料可以是有机基、无机基或其组合。例如,填料可包括二氧化硅(SiO2)填料、无定形氧化铝(α-Al2O3)填料或其组合。其他类型的非导电填料亦可。例如,填料可以是二氧化硅、玻璃珠、沙子或其组合。例如,填料可以是球形填料。其他形状的填料亦可。优选地,填料的直径约为0.5–12微米(um)。
填料的尺寸可取决于切割晶圆时使用的锯条宽度。在一个实施例中,填料的尺寸小于切割中使用的锯条宽度。例如,填料的尺寸可以小于或等于锯条宽度的约1/2或约1/3。在一个实施例中,填料的尺寸可为约0.5微米(um)至锯条宽度的约1/3。锯条宽度通常约为30–36微米(um)。例如,在锯条宽度为36微米(um)时,填料的尺寸可约为0.5–10微米(um);或在锯条宽度为30微米(um)时,填料的尺寸可约为0.5–12微米(um)。在一个实施例中,基于复合缓冲层的总重量,复合缓冲层中填料的浓度为约70–90重量百分比(wt%)。在另一个实施例中,复合缓冲层中填料的浓度为约80–90重量百分比(wt%)。
为了形成缓冲层,可将组分组合在一起,以可流动的形式(flowable form)施加到晶圆上。例如,包括环氧树脂(epoxy resin)和添加剂(additives)(硬化剂(hardener))的液体,与填料(颗粒)结合或混合。将带有填料的液体混合物施加到晶圆上。在施加之后,晶圆被固化以硬化具有填料的缓冲层。例如,此类技术可包括旋涂(spin-coating)到晶圆,或狭缝涂布(slit die)或印刷(printing)到晶圆。
图2g说明通过旋涂(spin-coating)形成缓冲层。如图所示,旋涂机(spin coater)221包括可旋转台(rotatable table)222,其上安装有晶圆201。例如,晶圆可通过真空吸盘安装到可旋转台上。分配器(dispenser)226将可流动的缓冲层组合物(树脂、填料和硬化剂)分配到晶圆上,进行涂层。涂层之后,晶圆被加热以固化具有填料的缓冲层。
图2h示出了通过狭缝涂布(slit die)或印刷(printing)形成缓冲层。如图所示,狭缝涂布印刷机(slit die printer)230包括平台232,其上安装晶圆201。晶圆可通过例如真空压力(vacuum press)安装到平台上。细长狭缝涂布机(elongated slit die coater)234沿y方向设置在平台上方。容器罐236将可流动缓冲层供给狭缝涂布机。狭缝涂布机被配置为沿平台在例如x方向上平移。当平移穿过晶圆时,狭缝涂布机上的涂布头(coatinghead)用缓冲层250对晶圆表面进行涂层。涂层后,晶圆被加热以固化具有填料的缓冲层。
其他可在晶圆上形成缓冲层的技术亦可。在一个实施例中,缓冲层可通过压塑(compression molding)形成。例如,将缓冲层(树脂、添加剂和填料)的液体溶液注入载有晶圆的压塑工具中。在其他实施例中,缓冲层可以通过真空型(vacuum type)或滚辊型(roller type)层压方式来层压到晶圆上。例如,缓冲层可被预制成片材(sheet)。可使用真空型层压方式将其切割并层压到晶圆表面上。或者,可将预制片材卷成滚辊(roller),并使用滚辊型层压方式将其层压到晶圆表面上。将片材压在晶圆上并固化,在晶圆上形成缓冲层。
本公开发现杨氏模量和断裂强度对于减少切割期间BEOL电介质的开裂很重要。杨氏模量定义为应力与相应应变的比值,定义如下:
杨氏模量=应力/应变。
图3a示出了任意材料的一般应力/应变曲线的示例。曲线包括两部分。第一或初始部分代表材料的弹性模量(modulus of resilience);第二或最后部分代表材料的韧性模量(modulus of toughness)。
弹性模量是每单位体积的材料可吸收并仍恢复到其原始形状的最大能量。这是材料的弹性阶段(elastic stage)。例如,应力/应变曲线的初始部分描述了材料在载荷(应变)下抵抗弹性变形的能力。它表明材料保持形状的倾向,即使当被变形,例如被拉伸、拉动、扭曲或压缩。弹性阶段的极限是屈服点(yield point)或弹性极限(elastic limit)。屈服点(yield point)表示如果继续施加力,材料保持永久变形之前的极限。
韧性模量表示材料在塑性变形(plastic deformation)中吸收能量的能力。它是材料在断裂前可以吸收的应变能量密度(strain energy density)。这是材料的塑性阶段(plastic stage)。塑性阶段包括应变硬化部分(strain hardening part),从材料的屈服点到极限强度点(ultimate strength point),以及颈缩部分(necking part),从极限强度点到材料的断裂点(fracture point)。
材料的应力/应变曲线可用于确定其特性。例如,刚性材料(stiffer material)在弹性阶段表现出更陡峭的斜率,脆性材料(brittle material)缺乏塑性区域,而更坚固材料(stronger material)则显示出更高的极限抗拉强度(ultimate tensile strength)。
在一个实施例中,我们发现如所上述的缓冲层具有杨氏模量约为10,000-25,000MPa,14,000-25,000MPa,15,000-25,000MPa,16,000-25,000MPa,15,000-20,000MPa或20,000-25,000MPa,可有效防止晶圆切割期间在脆性的低k的BEOL电介质上产生裂纹。此外,缓冲层可具有的断裂强度约为45-150MPa,70-150MPa,70-120MPa,70-105MPa,80-120MPa或90-100MPa。缓冲层的热膨胀系数(CTE)例如可以是大约6–20ppm/K。缓冲层可以在负(-)65–正(+)300摄氏度(℃)的范围内具有温度稳定性。
不受任何理论的束缚,缓冲层表现出良好的拉伸强度(tensile strength)、弹性特性(elastic properties)以及刚度(stiffness)。例如,基础缓冲层提供良好的弹性性能,而填料提供刚度和良好的拉伸强度,导致较高的断裂强度,例如约50-100MPa,70-100MPa或80-100MPa。
通过在低k电介质上提供缓冲层,锯条的初始接触点是缓冲层而不是低k的BEOL电介质。图3b-3c示出了晶圆301的简化侧视图或截面图300来说明这一点。参考图3b,在切割带(dicing tape)322上提供具有低k的BEOL电介质330的晶圆301。例如,BEOL电介质的顶部包括钝化层,具有可暴露晶粒接触垫(未显示)的开口。从切割道去除钝化层以暴露BEOL电介质。缓冲层350设置在晶圆上方,例如覆盖BEOL电介质、钝化层和接触垫。特别地,缓冲层350覆盖了位于晶圆的切割道中的BEOL电介质。
当具有金刚石磨粒(diamond grits)379的旋转锯条377下降以切割晶圆时,锯条的初始接触点在缓冲层上。旋转锯条在晶圆初始接触点发生振动,所产生的力被缓冲层吸收。这与传统的切割工艺不同,在传统切割工艺中,脆性低k电介质是初始接触点,导致形成微裂纹。如图3c所示,当锯条继续切割晶圆时,通过使用缓冲层抑制切割振动,可以避免在低k的BEOL电介质中形成微裂纹。缓冲层不仅吸收振动,而且还通过层消散振动以防止形成微裂纹。
为了减少切割过程中锯条的振动,缓冲层可以是切割过程中的锯条引导件(sawblade guide)。图3d-3e示出了穿过切割道320的晶圆301的简化截面图300。
参照图3d,示出了常规晶圆。例如,晶圆301具有电路元件和其上的低k的BEOL电介质330。例如,BEOL电介质的顶部包括钝化层344,具有开口以暴露下方的接触垫342。从切割道去除钝化层以暴露其中的BEOL电介质。如图所示,在切割过程中,旋转锯条376下降到晶圆上,如箭头D所示。锯条绕轴线A旋转。然而,锯条相对于旋转轴线可能存在一些间隙或移动,如箭头所示。例如,这种间隙或移动会导致锯条的自由度过大,从而导致切割过程中发生振动。因此,振动会导致低k的BEOL电介质中出现微裂纹。
相比之下,图3e示出了晶圆301,具有设置在低k的BEOL电介质330上的复合缓冲层350。除了在切割期间吸收和消散来自锯条376的振动之外,复合缓冲层350也可作为锯条引导件。例如,当锯条下降时,如箭头D所示,复合缓冲层容纳锯条,防止其具有过大的自由度。例如,锯条具有的自由度仅限于锯条下降的方向。这减少了切割过程中锯条的振动,从而防止在低k的BEOL电介质中形成微裂纹。
缓冲层350的另一个重要方面是填料的尺寸。图3f示出了晶圆的横截面图300,阐述了与尺寸过大的填料392相关的问题。如图所示,在晶圆上形成缓冲层350,位于低k的BEOL电介质、具有用于暴露接触垫342的开口的钝化层344的上方。如图所示,缓冲层350包括超过(旋转)锯条377宽度的填料392。如图所示,尺寸过大的填料392比锯条宽。尺寸过大的填料可沿着晶圆的切割道320设置在缓冲层350中。当旋转锯条下降到晶圆时,如箭头D所示,将接触尺寸过大的填料。填料具有比基底缓冲层的树脂更高的拉伸强度。当锯条接触尺寸过大的填料时,会引起过度振动,在基础缓冲层中产生微裂纹397,微裂纹延伸到钝化层和下方的低k的BEOL电介质中。这会显着影响设备的可靠性。
然而,提供从大约0.5微米(um)到小于锯条宽度的填料,例如锯条宽度的1/2或1/3,可以避免这个问题。当锯条切割基础缓冲层,锯条接触填料时,可以很容易地被移除。此外,基底缓冲层可以吸收锯条接触填料的振动。这样可以避免过度振动,从而防止形成微裂纹。
图4a示出了具有缓冲层的半导体晶圆401的实施例的简化俯视图。晶圆可以包括裸晶圆(bare wafer)。裸晶圆可以是轻掺杂的p型硅晶圆。也可以采用其他类型的晶圆。例如,晶圆可以是硅(Si)、碳化硅(SiC)晶圆、氮化镓(GaN)晶圆、砷化镓(GaAs)晶圆或磷化铟(InP)晶圆。其他类型的晶圆亦可。
晶粒410形成在晶圆的活性面402之上。例如,活性面可以是晶圆的顶面,而非活性面可以是底面。器件沿第一(x)方向成行布置,沿第二(y)方向成列布置。复合缓冲层设置在晶圆的表面上,位于器件上方。在晶圆的处理完成之后,沿着x和y方向上的切割线449切割晶圆,将器件分割成单独的晶粒。
图4b示出了晶圆401的一部分的俯视图,沿着两个相邻晶粒410a-b之间的切割道420。图4c、4e和4g示出了已处理晶圆(processed wafer)的各种实施例的部分的简化截面图,而图4d、4f和4h示出了图4c、4e和4g中晶圆的晶粒的简化截面图。
参考图4b、4c、4e和4g,包括两个相邻晶粒410a-b部分的晶圆的一部分,被切割道或切割通道420隔开。如图所示,提供了具有活性面402和非活性面403的裸晶圆401。活性和非活性面是相对的表面。例如,晶圆是半导体晶圆,例如硅晶圆。其他类型的晶圆,例如碳化硅(SiC)、氮化((GaN)、砷化镓(GaAs)或磷化铟(InP)。
器件的电路组件(未示出)形成在晶圆的活性面402上。电路组件可以包括主动和被动电路元件。主动组件可以包括例如晶体管、二极管和三极管,而被动元件包括电压元件、电容器、电阻器和电感器。也可包括其他类型的主动和被动元件。电路部件可以使用前段(front-end-of-line(FEOL))处理形成。例如,可通过使用掺杂(例如注入(implantation)或扩散(diffusion))、沉积(例如氧化、化学气相沉积(CVD)、电镀(plating)和溅射(sputtering))和图案化(例如,光刻(lithography)和蚀刻(etching))。也可以采用其他技术来形成电路组件。
在晶圆的活性面上形成具有互连件(interconnects)(未示出)的后段(BEOL)电介质430。例如,BEOL电介质可以覆盖器件的电路组件和切割道,互连件被配置为互连电路组件并提供外部访问。BEOL电介质可以包括多个互连级(interconnect levels)。例如,可以在不同的BEOL介电层(layers)或级(levels)中提供耦合到通孔触点的金属线。BEOL介电层可以包括低k介电质、超低k介电质以及GaN和锗(Ge)涂层材料。导线和通孔触点可以由铜(Cu)或其合金(铜合金)形成,并通过镶嵌技术(damascene techniques)形成,例如双镶嵌或单镶嵌技术。其他技术,例如反应离子蚀刻(RIE),以及其他类型的导电材料,也可用来形成导线和触点。关于第一触点层级(first contact level),其中形成触点以连接到电路部件,可以是钨触点。
顶部互连级(top interconnect level)可以是具有晶粒接触垫442的垫级(padlevel),用于提供外部连接。例如,接触垫或晶粒垫是铝(Al)垫。其他类型的接触垫亦可。例如,接触垫可以是铜(Cu)、镍(Ni)、钯(Pd)、金(Au)、铬(Cr)以及铝(Al)或其合金,例如Al-Cu。垫级可包括晶粒密封环443。晶粒密封环例如围绕晶粒活性区域。晶粒密封环将切割道与晶粒活性区域相分隔。
可提供钝化层444。例如,钝化层444可设置在BEOL电介质的顶部。钝化层可以是钝化叠层(passivation stack)。钝化叠层可包括介电层的组合,例如氧化硅和氮化硅层。其他类型的介电层亦可。钝化层覆盖接触垫和晶粒密封环。如图所示,钝化层444包括垫开口448以暴露接触垫。在一个实施例中,使用掩模和蚀刻工艺进行垫开口的图案化。例如,诸如反应离子蚀刻(RIE)的各向异性蚀刻(anisotropic etch)用图案化的光刻胶掩模来蚀刻钝化层,以形成垫开口。因此,钝化层的垫开口包括各向异性蚀刻侧壁(anisotropicallyetched sidewalls),例如等离子或干法各向异性蚀刻的侧壁。可用于形成垫开口的其他技术亦可,例如使用各向同性蚀刻(isotropic etch)(湿法或干法)或激光钻孔(laserdrilling)。不同的技术可导致不同形状的侧壁轮廓。例如,各向异性蚀刻侧壁、湿法各向同性蚀刻侧壁、湿法各向同性蚀刻侧壁和激光蚀刻侧壁具有不同的轮廓。
在一个实施例中,钝化层444包括在器件的相邻行和列之间的切割道中的切割道开口(saw street opening)。切割道开口可在与形成垫开口相同的过程中形成。或者,切割道开口可单独形成。切割道开口暴露晶圆的切割道中的低k电介质。如图所示,切割道可包括虚设金属结构(dummy metal structures)441。虚设金属结构可减少因切割晶圆而产生的裂纹。虚设金属结构441的宽度可比锯条宽度更窄或更宽。
制备有电路部件、BEOL电介质、钝化层以及切割道开口的晶圆可称为已处理晶圆(processed wafer),其中钝化层具有用于暴露接触垫的垫开口。例如,已处理晶圆可以是来自外部供应商的外来已处理晶圆(incoming processed wafer)。例如,封装供应商可以接受已处理晶圆。在某些情况下,外来已处理晶圆(incoming processed wafer)可能是来自内部的已处理晶圆,而不是来自外部客户。已处理晶圆可进一步处理。例如,可对已处理晶圆进行下一步处理。
在一个实施例中,下一步处理包括在晶圆上形成复合缓冲层450。例如,复合缓冲层设置在已处理(processed)或外来(incoming)晶圆上。如图所示,复合缓冲层设置在具有接触垫442的BEOL电介质和具有垫开口448的图案化钝化层444的顶部,用于暴露接触垫和切割道420。在其他实施例中,已处理晶圆可不具有钝化层。在这种情况下,复合缓冲层可以是钝化层。
如上所述,复合缓冲层450防止BEOL电介质在切割期间产生破损和碎裂。缓冲层450具有杨氏模量和断裂强度,以防止BEOL电介质在切割过程中产生破损和碎裂。在一个实施例中,杨氏模量约为10,000-25,000MPa。在其他实施例中,杨氏模量约为14,000-25,000MPa。在其他实施例中,杨氏模量约为15,000-25,000MPa。在另一个实施例中,杨氏模量约为16,000-25,000MPa。在另一个实施例中,杨氏模量约为15,000-20,000。在其他实施例中,杨氏模量约为20,000-25,000MPa。
在一个实施例中,缓冲层的断裂强度为约45–150MPa。在其他实施例中,断裂强度为约70–150MPa。在另一个实施例中,断裂强度为约70–120MPa。在另一个实施例中,断裂强度为约70–105MPa。在另一个实施例中,缓冲层的断裂强度为约80–120MPa。在又一实施例中,缓冲层的断裂强度为约90–120MPa。例如,缓冲层的热膨胀系数(CTE)可以是大约6–20ppm/K。缓冲层在负值(-)65–正(+)300摄氏度(℃)的范围内具有温度稳定性。
缓冲层的厚度可以是大约10–100微米(um)、15-100微米(um)、20–100微米(um)、25–100微米(um)、45–100微米(um)或60–100微米(um)。缓冲层的公差可以是正负(±)1-5微米(um),这取决于缓冲层的厚度。提供具有其他厚度的缓冲层亦可。
在一个实施例中,复合缓冲层450包括具有填料或颗粒的基础缓冲层。在一个实施例中,基础缓冲层是透明基础缓冲层,例如透明聚合物基础缓冲层。各种类型的聚合物可用于基础缓冲层。聚合物基础缓冲层可以是热固性塑料或热塑性塑料,例如聚酰亚胺或树脂。在一个实施例中,基础缓冲层包括树脂,例如环氧树脂或氰酸酯。优选地,基底缓冲层是低粘度树脂,例如联苯环氧树脂。
填料可以是有机基、无机基或其组合。例如,填料可包括二氧化硅(SiO2)填料、无定形氧化铝(α-Al2O3)填料或其组合。其他类型的非导电填料亦可。例如,填料可以是二氧化硅、玻璃珠、沙子或其组合。例如,填料可以是球形填料。其他形状的填料亦可。
填料可以是不均匀尺寸的填料。例如,基底缓冲层的填料具有不同的直径。基底缓冲层的填料也可具有不同的形状。提供具有不同尺寸的填料使缓冲层能够包含更高密度的填料。例如,较小尺寸的填料嵌套在较大尺寸填料之间的空隙中,从而为缓冲层提供更高的填料负载(filler loading)。
填料的尺寸可取决于切割晶圆时使用的锯条宽度。在一实施例中,填料的尺寸小于切割中使用的锯条宽度。例如,填料的尺寸可以小于或等于锯条宽度的约1/2或约1/3。在一个实施例中,填料的尺寸可为约0.5微米(um)至锯条宽度的约1/3。锯条宽度通常约为30–36微米(um)。例如,在锯条宽度为36微米(um)时,填料的尺寸可以是大约0.5–10微米(um);或在锯条宽度为30微米(um)时,大约0.5–12微米(um)。
在一个实施例中,选择基础缓冲层中填料的浓度以将缓冲层的杨氏模量调节至约10,000-25,000MPa、14,000-25,000MPa、15,000-25,000MPa、16,000-25,000MPa、15,000-20,000MPa或20,000–25,000MPa;断裂强度至约45-150MPa、70-150MPa、70-120MPa、70-105MPa、80-120MPa、或90-100MPa。在一个实施例中,基于复合缓冲层的总重量,复合缓冲层中填料的浓度为约70-90重量百分比(wt%)。在另一个实施例中,复合缓冲层中填料的浓度为约80-90重量百分比(wt%)。
为了形成缓冲层,可以将组分组合在一起,以可流动的形式(flowable form)施加到晶圆上。例如,包括环氧树脂(epoxy resin)和添加剂(additives)(硬化剂(hardener))的液体,与填料(颗粒)结合或混合。将带有填料的液体混合物施加到晶圆上。在施加之后,晶圆被固化以硬化具有填料的缓冲层。例如,此类技术可包括旋涂(spin-coating)到晶圆,或狭缝涂布(slit die)或印刷(printing)到晶圆。用于在晶圆上形成缓冲层的其他技术亦可。例如,也可以采用压塑或层压,例如真空式或滚辊式层压,在已处理晶片圆上形成复合缓冲层。
如图4c所示,在晶圆级完成对晶圆的处理。然后切割晶圆将晶圆分割成单独的晶粒410,如图4d所示。
如图所示,钝化层包括垫开口以暴露贴片垫(bond pad)。或者,钝化层不包括垫开口。在其他实施例中,如所讨论的,没有提供钝化层。
在一些实施例中,如图4e所示,在进行晶圆分割工艺之前,缓冲层450被图案化,形成通孔开口452以暴露接触垫442。通孔开口可配置有倾斜(slanted)的或锥形(tapered)的侧壁轮廓。在一实施例中,通孔开口被配置为使通孔开口的底表面积与通孔开口的顶表面积之比为约60-90%。其他比率,例如约70-80%亦可。如图所示,通孔开口小于接触垫。在一个实施例中,通孔开口的底部小于钝化层中的垫开口。在优选实施例中,通孔开口的底部定位成大约或尽可能靠近接触垫的中心部分。通孔开口的其他配置亦可。
在一个实施例中,使用激光蚀刻工艺形成通孔开口。例如,缓冲层的通孔具有激光蚀刻的侧壁。用于形成通孔开口的其他工艺亦可。在优选实施例中,形成通孔开口的蚀刻工艺被配置为防止损坏接触垫。在一个实施例中,缓冲层的通孔开口以多重蚀刻工艺(multi-etch process)形成以防止损坏接触垫。在一个实施例中,蚀刻工艺包括用于形成通孔开口上部的大功率激光蚀刻工艺(high power laser etch process),并且使用RIE或电感耦合等离子体-RIE(inductively coupled plasma-RIE)工艺来形成通孔开口的下部。在另一实施例中,大功率激光蚀刻工艺可用于形成通孔开口的上部,而小功率激光蚀刻工艺可用于形成通孔开口的下部。蚀刻工艺或用于形成通孔开口的工艺可具有掩膜(masked)或不具有掩膜(maskless)。用于形成通孔开口的蚀刻工艺的其他配置,例如其他数量的蚀刻步骤或掩模或无掩模蚀刻的组合亦可。
可选地,在钝化层不包括垫开口的情况下,形成通孔开口,然后蚀刻钝化层以暴露接触垫。在其他情况下,不提供钝化层。因此,通孔开口暴露接触垫。
在一个实施例中,如图4e所示,在晶圆级完成对晶圆的处理。然后切割晶圆,将晶圆分割成单独的晶粒410,如图4f所示。
在另一个实施例中,如图4g所示,在复合缓冲层450中形成通孔开口之后,继续对晶圆进行下一步处理。晶圆处理形成再分布层(RDL)结构。例如,RDL结构是在晶圆级形成的。在一实施例中,RDL结构包括在缓冲层450上的导电通孔触点474(RDL通孔触点)、图案化导线476(RDL线)和柱(RDL柱)478。RDL线476可具有约10–100微米(um),而RDL柱478可以是大约15–100微米(um)。其他厚度亦可。至于RDL通孔触点,其高度可大约等于钝化层的厚度。
RDL通孔触点和RDL线可在单个工艺中形成。例如,可在缓冲层上形成导电层,例如铜或铜合金。在一个实施例中,导电层可通过电镀形成。在这种情况下,种子层(未示出)加衬(line)复合缓冲层,包括通孔开口。种子层可以通过溅射(sputtering)形成。电镀掩模(plating mask)(未示出)用于电镀导电层,例如层压在具有种子层的复合缓冲层上的图案化干膜光刻胶(patterned dry film photoresist)。光刻胶通过激光直接成像(LDI)图案化。图案化光刻胶层包括对应于RDL线的开口,包括通孔开口。电镀工艺形成诸如铜或铜合金的导电层,填充掩模开口,包括通孔开口,形成RDL线476,经过通孔开口中的RDL通孔触点474而耦合到接触垫。电镀工艺在图案化光刻胶层的高度以下稍停。另一干膜光刻胶层压在RDL线476和抗蚀掩模(resist mask)之上。光刻胶通过例如LDI而图案化,在将要形成RDL柱的RDL线上形成柱开口。例如,图案化抗蚀层(patterned resist layer)可以是电镀掩模,用于在RDL线476上选择性地形成RDL柱。采用电镀工艺在柱开口中形成RDL柱478,然后去除抗蚀膜。用于形成RDL结构的其他技术亦可。
RDL封装层446设置在具有RDL结构的缓冲层之上。RDL封装层例如是晶圆级RDL封装层。在一个实施例中,RDL封装层是RDL复合封装层。RDL复合封装层可类似于复合缓冲层450。例如,RDL复合封装层包括含有填料或颗粒的基础RDL封装层。
RDL封装层446可预先形成封装片(encapsulation sheet)再层压到缓冲层上,例如通过压塑或真空层压。用于形成RDL封装层的其他技术亦可。层压RDL封装层覆盖了缓冲层和RDL结构。采用研磨工艺去除多余的封装材料以暴露RDL柱。例如,研磨工艺可使RDL柱和RDL封装层之间形成齐平表面。在一个实施例中,齐平表面可称为第一封装层表面,而和缓冲层接触的相对表面可称为第二封装层表面。
在一个实施例中,如图4g所示,在晶圆级完成对晶圆的进一步处理。例如,在形成具有RDL封装层的RDL结构之后,晶圆的后处理(post processing)就完成了。然后切割晶圆,将晶圆分割成单独的晶粒410,如图4h所示。在一些实施例中,可在将晶圆分割成单独的晶粒之前形成封装触点(未示出)。
可选地,在分割工艺之前,非活性晶圆面403可设置有非活性或背面晶圆面保护层(未示出)。例如,在非活性或背面晶圆面上形成晶圆背面保护层(wafer backsideprotection layer)。在优选实施例中,背面保护层类似于复合缓冲层450。例如,背面保护层(backside protection layer)是复合背面保护层。背面保护层防止在切割化过程中在晶圆背面产生晶圆碎裂。其他类型的背面保护层亦可。在形成背面保护层之后,晶圆被分割成单独的晶粒410。
图5a示出了用于处理晶圆的一般工艺流程500的简化实施例,例如外来(incoming)或已处理(processed)晶圆。例如,外来晶圆类似于图4a和4b中描述的外来晶圆401。一般工艺考虑了各种选择,包括形成扇入或扇出封装,进行背面研磨或在背面研磨之前进行切割,以及形成背面保护层用于处理晶圆。
在505,工艺开始。例如,处理外来晶圆的工艺开始。例如,已处理晶圆可以是来由外部供应商提供的已处理晶圆。
例如,晶圆包括形成在其活性面或顶面上的器件的电路组件。在一个实施例中,具有互连件的BEOL电介质形成在晶圆的活性面上,覆盖了器件的电路组件和切割道。BEOL电介质的互连件将电路组件互连,并提供外部访问。BEOL电介质可包括多个互连层(interconnect levels)。例如,可在不同的BEOL介电层(layers)或级(levels)中提供耦合到通孔触点的金属线。BEOL介电层可包括低k介电层。最高的互连级(uppermostinterconnect level)可以是具有接触垫而提供外部连接的垫级(pad level)。例如,垫级可以是BEOL的顶部。在一个实施例中,钝化层可以设置在垫级的上方,具有垫开口以暴露接触垫。或者,外来或已处理晶圆可不包括钝化层或没有垫开口的钝化层。
在510,该工艺在晶圆上形成缓冲层。在一个实施例中,该工艺在活性晶圆面上形成复合缓冲层。例如,在晶圆上形成复合缓冲层,覆盖接触垫和钝化层。在一些实施例中,复合缓冲层形成在没有钝化层的接触垫上。
在一个实施例中,复合缓冲层包括含有填料或颗粒的基础缓冲层。例如,基础缓冲层可以是透明的聚合物基础缓冲层,而填料可以是有机的、无机的或其组合。例如,填料的尺寸可为约0.5–12微米(um)或约0.5–10微米(um)。
填料的尺寸可取决于切割晶圆时使用的锯条宽度。在一个实施例中,填料的尺寸小于切割中使用的锯条宽度。例如,填料的尺寸可以小于或等于用于切割的锯条宽度的约1/2或约1/3。在一个实施例中,填料的尺寸可为约0.5微米(um)至锯条宽度的约1/3。锯条的宽度通常约为30–36微米(um)。例如,在锯条宽度为36微米(um)时,填料的尺寸可以是大约0.5–10微米(um);或在锯条宽度为30微米(um)时,大约0.5–12微米(um)。在一个实施例中,基于复合缓冲层的总重量,缓冲层中填料的浓度为约70-90重量百分比(wt%)。在另一个实施例中,复合缓冲层中的填料的浓度为约80-90重量百分比(wt%)。
如上所述,复合缓冲层防止或减少切割期间BEOL电介质中的破损和碎裂。复合基础缓冲层,在一个实施例中,具有杨氏模量和断裂强度,可防止切割过程中BEOL电介质破损和碎裂。在一个实施例中,杨氏模量为约10,000-25,000MPa、14,000-25,000MPa、15,000-25,000MPa、16,000-25,000MPa、15,000-20,000MPa或20,000–25,000MPa和断裂强度至约45-150MPa、约70-150MPa、约70-120MPa、约70-105MPa、约80-120MPa或约90-100MPa。例如,缓冲层的热膨胀系数(CTE)可以是大约6–20ppm/K。缓冲层在负(-)65–正(+)300摄氏度(℃)的范围内具有温度稳定性。缓冲层的厚度可为约10–100微米(um)、15–100微米(um)、20–100微米(um)、25–100微米(um)、45–100微米(um)或60–100微米(um)。缓冲层的公差可以是正负(±)1-5微米(um),这取决于缓冲层的厚度。提供具有其他厚度的缓冲层亦可。
复合缓冲层可通过压塑或层压形成,例如真空型或滚辊型层压。用于形成复合缓冲层的其他技术亦可。例如,复合缓冲层可以通过旋涂(spin-coating)、狭缝涂布(slitdie)或印刷(printing)、或其他类型的印刷技术(printing techniques)而形成。
在520处,该工艺确定是否要在缓冲层上形成通孔开口。如果不形成通孔开口,则工艺继续到530。另一方面,如果要形成通孔,则工艺继续到522。在522处,在缓冲层中形成通孔开口,暴露外来晶圆的接触垫。例如,通孔开口包括锥形侧壁。可以采用各种技术来形成通孔开口。例如,可采用激光蚀刻工艺或掩模和蚀刻工艺形成通孔开口。在一些实施例中,可以采用多重蚀刻工艺来防止对接触垫的损坏。用于形成通孔开口的其他技术亦可。如所讨论的,通孔开口可以形成有钝化层,带有或不带有垫开口,或不带有钝化层。
在形成通孔开口之后,工艺进行到530。在530处,工艺确定是否为晶圆的每个晶粒形成RDL结构。如果不形成RDL结构,则工艺继续到535。如果要形成RDL结构,则工艺继续到532。
在一个实施例中,RDL结构用于没有塑封的扇入式封装。为了形成RDL结构,在一个实施例中,可以在晶圆面上形成种子层。例如,种子层加衬(line)具有通孔开口的复合缓冲层的表面。例如,种子层是通过溅射形成的钛铜(Ti-Cu)种子层。
在一个实施例中,电镀掩模(plating mask)形成在具有种子层的缓冲层之上。例如,将干膜光刻胶层压到具有通孔开口的缓冲层上。通过LDI图案化光刻胶以形成图案化抗蚀膜(patterned resist film),可以是电镀掩模。例如,图案化抗蚀膜包括开口,对应于具有通孔开口的RDL线。执行电镀工艺以填充掩模开口和缓冲层中的通孔开口,形成经过RDL通孔触点而耦合到接触垫的RDL线。用于形成RDL线的其他技术亦可。
形成RDL线之后,将干膜光刻胶层压在RDL线和电镀掩模之上。干膜光刻胶被图案化,在将形成RDL柱位置的RDL线上形成柱开口。例如,图案化光刻胶可以是电镀掩模,用于在RDL线上选择性地形成RDL柱。采用电镀工艺在柱开口中形成RDL柱。用于形成RDL柱的其他工艺亦可。去除用于形成RDL线和RDL柱的干光刻胶膜。
RDL封装层(RDL encapsulation layer)设置在具有RDL结构的缓冲层之上。RDL封装层覆盖RDL线和RDL柱,并填充两者之间的间隙。RDL封装层例如是类似于复合缓冲层的介电层。例如,RDL封装层包括具有填料的基础RDL封装层。在一个实施例中,RDL封装层被层压到晶圆面上。用于形成RDL封装层的其他技术亦可。研磨晶圆面以去除多余的RDL封装材料,暴露RDL柱的表面。这导致与RDL柱和RDL封装层形成平齐的表面。
在一个实施例中,形成封装触点。在一个实施例中,封装触点形成在RDL柱上。封装触点包括焊料或封装凸块。封装凸块可通过焊料凸块技术(solder bump technology)形成。例如,焊料凸块技术可包括焊料滴落(solder drop)和回流(reflow)以形成焊球。例如,焊料凸块可用于球栅阵列(BGA)封装。其他类型的封装触点亦可,例如LGA和QFN封装。例如,封装触点可以是镀金属触点,例如镀锡触点。电镀触点可通过例如亚光电镀(mattplating)工艺形成在柱上。其他技术,例如亦可ENIG和NiAu电镀。工艺进行到535。
在535处,该工艺确定是否执行研磨前切割(dicing before grinding(DBG))。如果未执行DBG,则工艺继续到540。如果执行了DBG,则工艺继续到542,开始DBG。在542处,晶圆被部分切割。在一个实施例中,沿着切割线切割晶圆至大约等于单个晶粒(singulateddie)最终厚度的深度。在某些情况下,该深度比单个晶粒最终厚度稍深,以解决工艺变化。这可能比单个晶粒最终厚度大10–30%或10–20%。例如,在晶粒最终厚度约为80微米(um)的情况下,部分切割可约为100微米(um)。其他深度也可用于部分切割。
在部分切割晶圆之后,该工艺进行到552处,进行背面晶圆研磨(backside wafergrinding)。例如,研磨晶圆的背面,将晶圆减薄至最终晶粒厚度。研磨过程将晶圆分割成单个晶粒。切割后,该过程在590处终止。
如上所述,如果未执行DBG,则工艺进行到540。在540处,工艺确定是否执行背面晶圆研磨。如果不进行背面晶圆研磨,则过程进行到560。如果需进行背面晶圆研磨,则工艺进行到550。在550处,研磨晶圆的背面。例如,执行背面研磨,减小晶圆厚度。移除的晶圆量(amount of wafer removed)导致晶圆具有最终晶圆厚度。例如,晶圆的最终厚度可大约等于晶粒的最终厚度。例如,晶粒的最终厚度未考虑可能随后形成在晶圆背面上的背面保护层。背面研磨完成后,继续进行560。
在560处,该工艺确定是否在晶圆的背面(例如,非活性晶圆面)上施加背面保护层。如果不形成背面保护层,则进行580。另一方面,如果形成背面保护层,则进行570。在570处,在非活性晶圆面上形成背面保护层。例如,背面保护层与在510处形成的复合缓冲层相同或相似。背面保护层可包括具有填料的背面基底保护层(backside base protectionlayer),通过层压形成。工艺进行到580处,进行晶圆切割。在将晶圆分割成单个晶粒之后,该工艺在590处终止。
图5b示出了工艺流程500的实施例,用于处理晶圆,例如外来或已处理晶圆,形成没有塑封层的扇入式封装。例如,采用该工艺流程,形成BGA封装。在505处,过程开始。例如,开始处理外来晶圆(incoming wafer)。例如,已处理晶圆(processed wafer)可以是来自外部供应商的外来已处理晶圆(incoming processed wafer)。
例如,晶圆包括具有接触垫的活性面。在一些实施例中,钝化层可设置有垫开口以暴露接触垫。在510处,该工艺在晶圆的活性面上形成复合缓冲层。例如,在晶圆上形成复合缓冲层,覆盖接触垫或覆盖BEOL电介质的顶部、接触垫和钝化层。复合缓冲层包括含有填料或颗粒的基础缓冲层。复合缓冲层可防止切割过程中BEOL电介质破损和碎裂。复合缓冲层可通过压塑或层压形成。用于形成复合缓冲层的其他技术亦可。
在520处,该工艺在缓冲层中形成通孔开口,暴露外来晶圆的接触垫。例如,通孔开口包括锥形侧壁。在一个实施例中,通孔开口是通过激光蚀刻形成的。用于形成通孔开口的其他技术亦可。
在形成通孔开口之后,工艺进行到530。在530处,工艺在缓冲层上形成RDL结构。RDL结构可如前所述而形成。例如,可如前所述形成包括RDL通孔触点、RDL线和RDL柱的RDL结构。用于形成RDL结构的其他技术亦可。
在540处,RDL封装层设置在具有RDL结构的缓冲层之上。RDL封装层覆盖了RDL线和RDL柱,并填充两者之间的间隙。在一实施例中,RDL封装层与复合缓冲层相同或相似。RDL封装层可层压到晶圆面之上。用于形成RDL封装层的其他技术亦可。研磨晶圆面,去除多余的RDL封装材料以暴露RDL柱的表面。这将会使RDL柱和RDL封装层共平面。
在一个实施例中,在550处形成封装触点。在一个实施例中,封装触点形成在RDL柱上。封装触点包括焊料凸块或封装凸块。封装凸块可通过焊料凸块技术(solder bumptechnology(SBT))形成。用于形成封装触点的其他类型或技术亦可。
在形成封装触点之后,在560处,研磨晶圆背面,将晶圆减薄至最终晶圆厚度。例如,最终晶圆厚度可等于没有背面保护层(backside protection layer)的切割后晶粒的最终厚度。其他最终晶圆厚度亦可。背面研磨完成后,继续进行570。
在570处,形成背面保护层。例如,背面保护层与在510处形成的复合缓冲层相同或相似。通过层压形成背面保护层,其可包括具有填料的基底背面保护层(base backsideprotection layer)。该过程进行到580处,将晶圆切割成单独的封装。该过程在590处终止。
图5c示出了用于处理晶圆的工艺流程500的实施例,例如外来或已处理晶圆。特别地,该工艺流程形成具有复合缓冲层的已处理晶圆。在505处,过程开始。例如,开始处理外来晶圆。例如,已处理晶圆可以是来自外部供应商的外来已处理晶圆。
例如,晶圆包括具有接触垫的活性面。在一些实施例中,钝化层可设置有垫开口(pad opening)以暴露接触垫。或者,钝化层也可不包括垫开口。在其他实施例中,没有钝化层。在510处,该过程在晶圆的活性面上形成复合缓冲层。复合缓冲层包括含有填料或颗粒的基础缓冲层。复合缓冲层被配置为在切割期间防止BEOL电介质破损和碎裂。复合缓冲层可通过压塑(compression molding)或层压(lamination)形成。用于形成复合缓冲层的其他技术亦可。
在520处,该工艺在缓冲层中形成通孔开口,暴露外来晶圆的接触垫。例如,通孔开口包括锥形侧壁。在一个实施例中,通孔开口是通过激光蚀刻形成的。用于形成通孔开口的其他技术亦可。在形成通孔开口后,该过程在530处终止。例如,具有复合缓冲层及通孔开口的已处理晶圆可随后与晶圆载体上的其他已处理晶圆并行处理。
在一些实施例中,如果跳过在复合缓冲层中形成通孔开口的步骤,将导致具有复合缓冲层的已处理晶圆没有通孔开口。当随后并行处理晶圆载体上的晶圆时,可形成通孔开口。
图6a示出了工艺600的实施例的俯视图,用于在晶圆载体或面板上并行处理晶圆;而图6b-6j示出了工艺600的实施例的截面图,用于在晶圆面板上并行处理晶圆。例如,在2019年12月5日提交的题为“Packaging Method,Panel Assembly,Wafer Package andChip Package”的美国专利申请(USSN 16703887)中描述了在晶圆面板上并行处理晶圆,该专利申请在此并入本文并用于所有参考目的。
参考图6a-6b,提供晶圆载体或面板670,在其主表面上具有面板粘合层674。例如,面板粘合层674设置在晶圆面板670的处理面或顶面上。晶圆面板670应该具有足够的刚性,使贴附到其上的晶圆601得到处理。优选地,晶圆面板670能够在处理过程中通过磁力保持。在一个实施例中,晶圆面板670是金属的。其他类型的面板亦可,例如玻璃。对于非金属面板,可采用其他技术将其在处理过程中牢固地保持,例如通过真空压力。在一个实施例中,面板粘合层674是热释放(heat release)粘合层。例如,面板粘合层674是热释放胶带。对面板进行热处理使晶圆能够从面板上分离或释放。在处理过程中可暂时将晶圆保持在适当位置的其他类型的粘合剂亦可。面板粘合层674可层压到晶圆面板670的处理面上。其他技术,例如印刷、喷涂和涂覆,也可用于在处理面上形成面板粘合层674。
如图所示,晶圆面板670可具有矩形形状。如图所示,晶圆面板670保持四个晶圆601,进行并行处理。例如,晶圆面板670保持4个12英寸晶圆601。提供保持其他数量晶圆的晶圆面板亦可。例如,晶圆面板670可被设计成保持9个8英寸晶圆。晶圆面板的其他配置亦可。
晶圆腔模层(wafer cavity mold)660设置在处理面上。例如,腔模层660设置在粘附层674上。腔模层660包括用于容纳晶圆601的腔模开口(cavity mold opening)。例如,每个腔模开口可容纳一个晶圆601。腔模开口的形状应与晶圆601的形状大致相同,只是尺寸稍大。当在晶圆面板670上安装晶圆601时,在腔模层660和晶圆601之间留有间隙676。腔模层660可以是玻璃增强环氧树脂层(glass reinforced epoxy layer),例如FR-4,或薄金属片,例如铜箔。其他类型的材料也可用于腔模层。腔模层660可以层压到晶圆面板670之上。例如,腔模层660包括层压之前的开口。晶圆面板670还可包括晶圆定位标记或构件(未示出),用于将晶圆601对准腔模层660的开口。
在一个实施例中,晶圆601贴附到晶圆面板670。例如,晶圆贴附到面板粘合层674。在腔模层660的每个腔模开口中安装一个晶圆,并在腔模层660和晶圆601之间留下一个间隙676。通过晶圆面板上的对准构件(未示出)可以帮助将晶圆安装在晶圆面板上。例如,晶圆601是具有复合缓冲层650的已处理晶圆,复合缓冲层650具有通孔开口652,如图5c中所述。或者,已处理晶圆包括没有通孔开口的复合缓冲层650。
在图6c中,晶圆锁定构件678形成在腔模开口与晶圆601之间的间隙中。例如,晶圆锁定构件678形成在腔模层660和晶圆601之间的间隙中。例如,晶圆锁定构件678可以是粘合剂,例如UV粘合剂。例如,将粘合剂分配到晶圆601和腔模层660之间的间隙中。分配之后,粘合剂通过暴露于UV辐射或热而固化和硬化。例如,这将形成晶圆面板组件,其具有在晶圆面板670之上的晶圆601。
如图所示,晶圆上的复合缓冲层650包括通孔开口652以暴露接触垫。在一些实施例中,复合缓冲层650不包括通孔开口。在这种情况下,该工艺在复合缓冲层650中形成通孔开口652以暴露接触垫。例如,可使用激光蚀刻来形成通孔开口652。用于形成通孔开口的其他技术亦可,例如使用抗蚀剂掩模的等离子蚀刻。在一些情况下,多重蚀刻工艺亦可,例如在高功率激光蚀刻之后采用等离子蚀刻或在高功率激光蚀刻之后采用低功率激光蚀刻,使用掩模或不使用掩模。在钝化层不包括垫开口的情况下,形成通孔开口652的工艺还包括形成垫开口以暴露接触垫。
参照图6d,在晶圆面板组件上开始形成RDL结构的工艺。在一个实施例中,形成种子层,例如铜钛(Cu-Ti)。种子层将缓冲层与通孔开口连接起来。在组件上形成电镀掩模692。在一个实施例中,电镀掩模是图案化的干膜光刻胶(patterned dry filmphotoresist)。使用例如LDI形成图案化干膜光刻胶,从而形成对应于RDL线的开口。开口还暴露组件的缓冲层中的通孔开口。执行电镀工艺以形成RDL层,例如铜(Cu)或铜合金,填充掩模开口,包括通孔开口。这形成了通过RDL通孔触点654而耦合到垫(pad)的RDL线656。
在图6e中,RDL柱658形成在RDL线656之上。为了形成RDL柱658,干膜光刻胶694层压在RDL线656和电镀掩模692之上。光刻胶膜被图案化,例如,采用LDI形成柱开口(studopening),在将形成RDL柱的位置暴露RDL线656。例如,图案化光刻胶层694可以是用于在RDL线656上选择性地形成RDL柱658的电镀掩模。采用电镀工艺在柱开口中形成RDL柱658。形成RDL柱658之后,去除电镀掩模692和干膜光刻胶694。对于晶圆之晶粒,RDL通孔触点654、RDL线656和RDL柱658形成了RDL结构653。
参照图6f,在晶圆面板组件上形成RDL封装层646,覆盖了位于复合缓冲层650上方的RDL结构653。例如,RDL封装层646覆盖RDL线656和RDL柱658,并填补两者之间的间隙。RDL封装层646例如是类似于复合缓冲层650的介电层。例如,RDL封装层包括具有填料(filler)的基础RDL封装层。在一实施例中,RDL封装层被层压到晶圆面之上。用于形成RDL封装层的其他技术亦可。如图所示,RDL封装层的顶面(暴露面)设置在RDL结构653的上方。
如图6g所示,将具有晶圆601的晶圆面板组件从晶圆面板和面板粘合层上释放。在一个实施例中,将具有腔模层、晶圆锁定构件和晶圆的晶圆面板组件从晶圆面板上的粘合带(adhesion tape)上释放。为了释放晶圆面板组件,需要经过热处理,例如在200摄氏度(℃)之下。分离之后,晶圆面板组件被分割成单独的晶圆601。例如,晶圆面板组件被激光切割,将晶圆面板组件分割成单独的晶圆。激光可切割胶水(锁定构件)以释放晶圆。
参照图6h,去除RDL结构653上方多余的RDL封装层646。在一个实施例中,通过研磨去除多余的RDL封装层。形成RDL封装层646的平坦顶面,从而暴露RDL柱658。例如,RDL柱658的顶面和RDL封装层646的顶面是共平面的。
在一个实施例中,如图6i所示,封装触点679形成在RDL柱658的暴露面上。封装触点679可包括焊料凸块或封装凸块。封装凸块可通过焊料凸块技术(solder bumptechnology(SBT))形成。例如,焊料凸块可用于球栅阵列(BGA)封装。其他类型的封装触点亦可。例如,封装触点可以是镀金属触点,例如镀锡触点。电镀触点可通过例如亚光电镀(matt plating)工艺形成在RDL柱上。用于形成电镀触点的其他技术亦可。
形成封装触点679后,对晶圆601进行背面晶圆研磨。例如,研磨晶圆背面以减小晶圆的厚度。移除部分晶圆可使晶圆具有最终晶圆厚度TD
在一个实施例中,如图6j所示,在晶圆非活性面上形成背面保护层681。例如,背面保护层681可与复合缓冲层650相同或相似。背面保护层可包括具有填料的基底背面保护层,通过层压形成。用于形成背面保护层的其他类型或技术亦可。背面保护层的厚度例如可为约25–200微米(um)、约25–150微米(um)或约50–120微米(um)。背面保护层的其他厚度亦可。
形成背面保护层之后,晶圆被切割以形成单独的封装。背面保护层防止或减少晶圆背面上产生碎屑而切割晶圆,如图2a所示。例如,封装是扇入型(fan-in type)封装。
图7示出了用于处理晶圆的工艺流程700的实施例,例如外来或已处理晶圆。特别地,该工艺流程可生产具有复合缓冲层的单个晶粒。随后处理晶粒以形成具有模制层的封装。例如,处理晶粒以形成6面或5面扇入或扇出封装。在705处,过程开始。例如,开始处理外来晶圆。例如,已处理晶圆可以是来自外部供应商的外来已处理晶圆。
例如,晶圆包括具有接触垫的活性面。在一些实施例中,钝化层可设置有垫开口(pad opening)以暴露接触垫。在710处,该过程在晶圆活性面上形成复合缓冲层。例如,在晶圆上形成复合缓冲层,覆盖接触垫;或覆盖BEOL电介质的顶部、接触垫和钝化层。复合缓冲层包括含有填料或颗粒的基础缓冲层,如上所述。复合缓冲层可防止或减少切割过程中BEOL电介质的破损和碎裂。复合缓冲层可以通过压塑(compression molding)或层压(lamination)形成。用于形成复合缓冲层的其他技术亦可。
在720处,该工艺在缓冲层中形成通孔开口以暴露外来晶圆的接触垫。例如,通孔开口包括锥形侧壁。在一个实施例中,通孔开口是通过激光蚀刻形成的。用于形成通孔开口的其他技术亦可,例如多重蚀刻工艺。
在形成通孔开口之后,工艺进行到730。在730处,工艺继续在晶圆上进行DBG处理。例如,晶圆被部分切割。在一个实施例中,晶圆沿着切割线进行切割,直至深度大约等于单独晶粒的最终厚度。在某些情况下,深度比单个晶粒的最终厚度略深,以解决工艺变化。其可比单个晶粒的最终厚度大约10–30%或大约10–20%。
在部分切割晶圆之后,工艺继续到740,进行背面晶圆研磨。例如,在没有复合背面保护层的情况下,研磨晶圆背面以将晶圆减薄至最终晶粒厚度。研磨过程将晶圆分割成单独的晶粒。例如,单个晶粒可与图4f中描述的晶粒类似。在一些情况下,复合缓冲层可不包括通孔开口。在这种情况下,晶粒与图4d中描述的晶粒类似。分割之后,该过程在750处终止。
图8a示出了工艺800的实施例的俯视图,用于在晶粒载体或面板上并行处理晶粒;而图8b-8j示出了工艺800的实施例的截面图,用于在晶粒载体或面板上并行处理晶粒。例如,在2021年6月14日提交的题为“Method ofPackaging Chip and Chip PackageStructure”的美国专利申请(USSN17/346,310)中描述了在晶粒面板上并行处理晶粒,该专利申请已包含在本文中供所有用途参考。
参考图8a-8b,示出了晶粒载体或面板801。在一个实施例中,晶粒面板被配置为容纳多个将进行处理的晶粒810。例如,晶粒可用复合缓冲层850进行处理。复合缓冲层可以包括如图4f中所描述的通孔开口,或者没有如图4d中所描述的通孔开口。如图所示,复合缓冲层850包括通孔开口852。例如,在图7中描述了用于处理晶圆,生产具有复合缓冲层的单个晶粒的工艺。也可以采用其他工艺来处理晶圆,生产具有复合缓冲层的单个晶粒。
晶粒面板801应该足够刚性,从而能够处理贴附到其上的晶粒810。在一个实施例中,晶粒面板可由具有较低膨胀系数(CTE)的材料制成。例如,面板的CTE可以等于或小于8ppm/K。例如,低热膨胀系数材料可包括合金42(热膨胀系数3-4.5ppm/K)或合金46(热膨胀系数7-8ppm/K)。优选地,晶粒面板801可被磁力固定,例如金属面板,使得面板能够被牢固地固定。其他类型的面板亦可,例如玻璃。
面板粘合层805形成在其主表面上。例如,面板粘附层805设置在晶粒面板801的处理面或顶面上。粘附层805可用于保持位于晶粒面板的处理面上的晶粒。在一个实施例中,面板粘合层805是热释放(heat release)粘合层。例如,粘合层是热释放胶带(heatrelease tape)。面板经受热处理后,可使晶粒面板组件或重构晶圆860能够从晶粒面板801上分离或释放。在形成晶粒面板组件的加工期间,可暂时将晶粒保持在适当位置的其他类型的粘合剂亦可。粘合层805可层压到晶粒面板的处理面上。其他技术,例如印刷(printing)或喷涂(spray coating),也可用于在加工面上形成粘附层。
如图所示,晶粒面板801是矩形面板。其他形状亦可。晶粒810贴附到晶粒面板801之上。在一个实施例中,通过粘合层的辅助,晶粒801面朝下粘合在晶粒面板801的处理面上。例如,晶粒810的晶粒活性面朝向晶粒面板801,而晶粒非活性面812远离晶粒面板。可使用晶粒贴片机(die bonder)来拾取和贴片晶粒面板上的晶粒。可包括对准标记以辅助将晶粒在晶粒面板上准确定位。例如,用于贴片晶粒的晶粒区域(die region)可包括局部对准(local alignment)。可将晶粒对准晶粒区域的其他技术亦可。
在一个实施例中,晶粒810被布置在具有晶粒810的行和列的晶粒矩阵(diematrix)中。在一个实施例中,晶粒810被分成四个晶粒模块或晶粒矩阵8061-4。晶粒面板801上的晶粒810可具有其他配置。例如,面板801上的晶粒810可配置其他数量的模块,包括1个模块。优选地,当面板801包括1个以上的模块时,模块的数量为偶数。将晶粒分割成块可同时处理更多的晶粒,同时减少晶粒的位置误差。这提高了加工中的晶粒位置的精度。
晶粒810面朝下贴片至晶粒面板801之后,进行模塑工艺(molding process)可使模塑化合物(mold compound)或模塑层(mold layer)890封装晶粒。如图所示,模塑层890也填充晶粒之间的间隙,并覆盖晶粒810的非活性面812,同时封装晶粒810。模塑工艺例如可以是压塑(compression molding)工艺。其他类型的模塑工艺亦可,例如高温模塑工艺。具有模塑层890的晶粒810形成晶粒面板组件或重构晶圆860。模塑层890的暴露面892可被称为非活性模塑层或模塑层底面。模塑层的相对面可以称为模塑层活性面或模塑层顶面891。
形成晶粒面板组件860之后,将其从晶粒面板801上分离。例如,具有晶粒面板组件的晶粒面板经热处理,导致粘合层失去粘合特性。可使晶粒面板801与晶粒面板组件860相分离。
在一些实施例中,从晶粒面板801上释放晶粒面板组件860之前,进行研磨工艺以将模塑层890的高度减小到最终高度。在一个实施例中,如图所示,模塑层的最终高度在晶粒810的非活性面812的上方。在其他实施例中,研磨工艺去除了晶粒810的非活性面812的上方的多余模塑层890。例如,研磨工艺去除多余的模塑材料,暴露晶粒810的非活性面812。
在图8c中,晶粒面板组件860安装在组件载体802之上。如图所示,模塑层非活性面892安装在组件载体802之上。组件载体粘附层806形成在组件载体802的处理面上,用于辅助晶粒面板组件860进行临时贴片。载体粘附层806例如是热分离粘附层,与将晶粒贴附到晶粒面板的粘附层类似。模塑层活性面891和晶粒810的活性面暴露或背离组件载体802。
当复合缓冲层850不包括通孔时,在复合缓冲层850中形成通孔。例如,可采用激光蚀刻在复合缓冲层850中形成通孔以暴露晶粒接触垫。亦可采用其他技术,例如多重蚀刻技术。多重蚀刻技术可包括高功率激光蚀刻,然后是低功率激光蚀刻。在其他实施例中,多重蚀刻技术可包括高功率激光蚀刻,然后是等离子蚀刻。在钝化层不包括垫开口(padopening)的情况下,形成通孔的工艺还包括在钝化层中形成垫开口。
如图8d至8e所示,该工艺继续形成RDL线876和RDL通孔触点874。RDL线876和RDL通孔触点874可通过电镀工艺形成,如前所述。例如,电镀工艺包括在缓冲层850上形成种子层,再层压干膜光刻胶894,并通过LDI进行图案化,形成对应于RDL线和通孔开口的开口。电镀工艺形成填充掩模开口(mask opening)和通孔开口的导电层,形成RDL线876,经过通孔开口中的RDL通孔触点874耦合到接触垫。如图8e所示,RDL线876被图案化而设置在晶粒区域内。在一个实施例中,RDL线876用于扇入封装。亦可形成扇出封装。在这种情况下,RDL线876可延伸超出晶粒区域,但仍位于晶粒封装区域内。
在一个实施例中,RDL柱878形成在RDL线876之上,如图8f所示。通过在RDL线876上层压干膜光刻胶896,并对干膜光刻胶894进行图案化,从而形成RDL柱878。光刻胶膜894通过例如LDI图案化而形成开口,可在即将形成RDL柱的位置将RDL线876暴露。采用电镀工艺在柱开口中形成RDL柱878,然后去除图案化光刻胶膜894,896。RDL通孔触点874、RDL线876和柱878形成了晶粒的RDL结构873。
参照图8g,在晶粒面板组件860上形成RDL封装层846。如图所示,RDL封装层846覆盖复合缓冲层850上方的RDL结构873。例如,RDL封装层846覆盖RDL线876和RDL柱878,并填充两者之间的间隙。RDL封装层846可与复合缓冲层850的介电层类似。例如,RDL封装层846包括具有填料的基础RDL封装层。封装层可以是环氧塑封化合物(epoxy mold compound)层。在一个实施例中,RDL封装层可包括20–60微米(um)的填料。其他尺寸的填料亦可。
在一个实施例中,RDL封装层846被层压到晶圆面上。用于形成RDL封装层846的其他技术亦可。例如,RDL封装层846可通过薄膜成型(filmmolding)而形成。用于形成RDL封装层的其他技术亦可。如图所示,RDL封装层846的顶面(暴露面)设置在RDL结构873的上方。
晶粒面板组件860包括多个晶粒的模块或矩阵,并可从晶粒面板上释放。例如,晶粒面板组件经热处理,从组件载体802上释放晶粒面板组件860。然后处理晶粒面板组件860,将其切割成晶粒810的单独模块。例如,晶粒面板组件860被切割成单独的块面板组件(block panel assemblies)。可使用激光切割将晶粒面板组件分成块面板组件。或者,可通过锯条切割晶粒面板组件860。用于将晶粒面板组件860分割成块面板组件的其他技术亦可。然后使用诸如热分离粘合层的块板粘合层(block panel adhesion layer)将块面板组件贴附到块面板载体(block panel carrier)。在晶粒面板组件仅包括一个模块的情况下,不需要从组件载体802上释放晶粒面板组件860。
如图8h所示,处理晶粒面板组件860,去除RDL结构873上方多余的RDL封装层846。例如,当面板组件被切割成模块组件(block assemblies)时,晶粒面板组件860可以是模块面板组件(block panel assembly)。在一个实施例中,通过研磨去除多余的RDL封装层846。这形成了RDL封装层846的平坦顶面,可将RDL柱878暴露。例如,RDL柱878的顶面和RDL封装层846的顶面是共平面的。
在一个实施例中,如图8i所示,封装触点879形成在RDL柱878的暴露面上。封装触点879可包括焊料凸块或封装凸块。封装凸块可由焊料凸块技术(solder bump technology(SBT))形成。例如,焊料凸块可用于芯片级封装(CSP)。形成其他类型的封装触点亦可。
形成封装触点879之后,晶粒面板组件860从面板载体上释放。如图8j所示,晶粒面板组件被分割而产生单独封装811。例如,单独封装是具有6面壁保护(6-sided wallprotection)的芯片级封装(CSP)。
在替代实施例中,该工艺可被配置为形成扇出(fan-out)封装。例如,如图8d中所描述,RDL层可被图案化以形成RDL线876,可延伸超出晶粒810的区域。该过程可如上所述而继续进行。
在一个实施例中,可形成封装触点,如图8i中所述。例如,该工艺为球栅阵列(BGA)封装形成封装凸块。或者,封装触点可包括在柱表面上的电镀触点。电镀触点可通过亚光镀锡(matt tin plating)形成。例如,电镀触点用于形成LGA或QFN封装。在形成封装触点之后,该过程继续进行,从面板载体释放晶粒面板组件,并分割晶粒面板组件而产生单独封装811。如上所述,该过程形成6面保护的扇出封装(6-sided protected fan-out package)。亦可形成6面保护的扇入封装(6-sided protected fan-in package)。
如上所述,RDL结构包括一个线级(line level)。例如,RDL结构包括一个重布线层(rewiring level),该重布线层包括RDL线876。亦可形成具有多个重布线层的RDL结构。
图9示出了工艺流程900的实施例,用于形成RDL结构。该工艺流程可应用于载体上的单个晶圆、晶圆载体上的多个晶圆,如图6a-6j;或者晶粒面板组件,如图8a-8j。该工艺在910开始。例如,工艺开始以形成RDL结构。
在复合缓冲层包括通孔开口的情况下,工艺继续到920,形成RDL层。如果复合缓冲层不包括通孔开口,则在复合缓冲层中形成通孔开口以暴露晶粒的接触垫。通孔开口可以通过激光蚀刻(有掩模或无掩模)或使用抗蚀剂掩模(resist mask)的等离子蚀刻形成。在其他实施例中,可以使用多重蚀刻工艺形成通孔开口。通孔开口暴露晶粒的接触垫。在形成通孔开口之后,工艺进行到920。
在920处,形成RDL结构的重布线层。例如,形成RDL结构的第一重布线层(i=1)。RDL结构可具有x个重布线层,其中x是大于或等于1的整数。通常,RDL结构可以具有1-5个重布线层。
重布线层可以是例如铜(Cu)或铜合金层。在一个实施例中,重布线层通过电镀形成。在电镀之前,可在面板组件上形成诸如钛铜(Ti-Cu)之类的种子层,为复合缓冲层和通孔开口形成衬里(lining)。种子层可通过溅射形成。在形成种子层之后,可形成图案化掩膜层。例如,干膜光刻胶通过LDI层压和图案化而形成对应于RDL线的开口,其中包括通孔开口。例如,图案化的抗蚀膜可以是第i重布线层的电镀掩模。
在930处,电镀诸如铜(Cu)或铜合金的导电重布线层,用于填充缓冲层中的掩模开口和通孔开口。通过晶粒的复合缓冲层的通孔开口中的RDL通孔触点,形成了耦合到晶粒的接触垫的RDL线。例如,RDL导电线是第一重布线级(x=1)的导电线。RDL线可以是扇入或扇出RDL线。在形成RDL线之后,工艺进行到940。
在940处,该工艺可确定是否需要形成更多的重布线层。如果需要形成更多的重布线层,则继续到950。例如,如果i≠x,则继续到950。另一方面,如果i=x,则表明不需要更多的重布线层,工艺继续到955。
在950处,当存在更多的重布线层或级(level)的情况下,去除用于第i个重布线层的电镀掩模。形成RDL封装层。例如,形成与第i个重布线层对应的第i个封装层。例如,封装层可与复合缓冲层类似的介电层。例如,RDL封装层包括具有填料的基础封装层。RDL封装层的填料尺寸可以为20–60微米(um)。其他填料尺寸亦可。其他类型的RDL封装层亦可,例如预先形成的RDL封装层或环氧塑封化合物(epoxy mold compound)层。RDL封装层覆盖重布线层的导电线。封装层的厚度考虑了覆盖RDL导电线以及随后形成的RDL通孔触电的下一个重布线层(i=i+1)。
在一个实施例中,RDL封装层被层压到晶圆面之上。用于形成RDL封装层的其他技术亦可。例如,RDL封装层可通过薄膜成型形成。
在960处,在RDL封装层中形成通孔开口,在将形成通孔触电的位置处将其下方的导电线的部分暴露。通孔开口可通过激光钻孔形成。例如,所采用的激光器被配置用于对不可光成像层(non-photoimageable layer)进行钻孔。用于形成通孔开口的其他技术亦可。
在970处,形成RDL结构的下一级(i=i+1)重布线层。例如,重布线层可以是通过电镀形成的铜(Cu)或铜合金层。其他类型的导电重布线层亦可。在一个实施例中,形成诸如铜钛(Cu-Ti)之类的种子层,从而对封装层和通孔开口进行加衬(line)。在种子层上形成图案化掩膜层。例如,干膜光刻胶通过LDI层压和图案化以形成对应于RDL线的开口,包括通孔开口。例如,图案化光刻胶膜可作为第i+1重布线层的电镀掩膜。进行电镀工艺以形成导电重布线层,例如铜或铜合金。重布线层填充封装层的掩模开口和通孔开口。通过封装层的通孔开口中的RDL通孔触点,形成耦合到第i条RDL线的第i+1条RDL线。工艺返回到940,确定是否需要形成更多的重布线层。该工艺继续并重复,直到形成所有的重布线层。例如,i=x,其中x是重布线层的数目或RDL结构的级(level)的数目。
如果不再需要形成重布线层,则工艺进行到955以形成RDL柱。在一个实施例中,RDL柱通过电镀而选择性地形成。为了形成RDL柱,采用图案化掩模,例如图案化干膜光刻胶。例如,干膜光刻胶通过LDI层压和图案化,形成开口在即将形成RDL柱的位置将RDL线暴露。采用电镀工艺在柱开口中形成RDL柱,然后去除电镀掩模。
工艺进行到965,形成RDL封装层。封装层与950中描述的类似。例如,RDL封装层是具有填料的复合RDL封装层。其他类型的RDL封装层亦可。RDL封装层覆盖RDL线和柱。
在一个实施例中,RDL封装层被层压到晶圆面之上。用于形成RDL封装层的其他技术亦可。例如,RDL封装层可以通过薄膜成型形成。用于形成RDL封装层的其他技术亦可。
在形成最终RDL封装层之后,从晶圆组件或晶粒面板组件上释放载体。在载体释放后,在存在多个晶圆的情况下将晶圆进行分割。在一个实施例中,当面板组件具有多个模块时,可被分割成单独的模块。
工艺进行到975。在975处,研磨最终RDL封装层以去除过量的封装材料,从而将导电RDL柱暴露。在980处,封装触点形成在暴露的RDL柱上。封装触点可以是CSP封装的焊料凸块或LGA/QFN封装的电镀触点。在形成封装触点之后,工艺在990处终止。
根据RDL结构形成在晶圆上还是形成在面板组件上,可进行不同的下游处理。例如,在晶圆的情况下,可研磨晶圆的背面以减薄晶圆。背面保护层可形成在晶圆的非活性面上,然后进行晶圆切割以形成单独的封装。在面板组件的情况下,可被分割以形成单独的封装。
实验数据
进行实验,测试复合缓冲层(composite buffer layer)防止在晶圆切割过程中产生裂纹和碎裂的有效性。实验是在两块具有低k的BEOL电介质的12英寸晶圆上进行的,一块具有复合缓冲层,另一块不具有复合缓冲层。晶圆1(不具有复合缓冲层)和晶圆2(具有复合缓冲层)在下表1中描述:
表1
Figure BDA0003846778370000401
复合缓冲层根据下表2配置:
表2
Figure BDA0003846778370000402
Figure BDA0003846778370000411
图10a示出了切割前在X切割道和Y切割道处的晶圆1和晶圆2的图像。晶圆1从正面进行直接切割(direct sawing),晶圆2采用研磨前切割(dice before grind)。图10b显示了切割后晶圆1和晶圆2在X和Y切割道上的图像。对于晶圆1,观察到尺寸超过50微米的碎屑。另一方面,在晶圆2上没有观察到碎屑。例如,观察到小于3微米的碎屑,这是可以忽略的。图10c显示了晶圆1和晶圆2背面在X和Y切割道上的图像。对于晶圆1,观察到超过80微米的碎屑。对于晶圆2,观察到小于5微米的碎屑,这是可以忽略的。在晶圆背面提供复合缓冲层可进一步减少晶圆背面的碎屑。实验结果表明,本发明的复合缓冲层可有效地减少或防止由晶圆切割工艺引起的碎裂。
使用与表1中晶圆2相似的晶圆进行另一个实验。然而,使用了具有不同杨氏模量和断裂强度的3个复合缓冲层。复合缓冲层1、复合缓冲层2和复合缓冲层3在下表3中描述:
表3
Figure BDA0003846778370000412
采用研磨前切割(dice before grind)来切割晶圆。在带有复合缓冲层1的晶圆上观察到切割道上的碎屑。至于复合缓冲层2和复合缓冲层3,没有观察到碎屑。实验结果表明,具有更高杨氏模量(例如10-25GPa)和更高断裂强度(例如50-100MPa)的复合缓冲层可有效减少晶圆在切割过程中产生碎屑。
在不脱离本公开的精神或本质特征的情况下,本公开可以其他具体形式实施。因此,前述实施例在所有方面都被认为是说明性的,而不是限制在此描述的本发明。因此,本发明的范围由附权利要求而不是前述说明决定,并且在权利要求的等同物的含义和范围内的所有变化都旨在包含其中。

Claims (20)

1.一种半导体封装,包括:
一晶粒,具有活性面和非活性面,其中所述活性面包括在后段(BEOL)电介质上的晶粒垫;
一缓冲层,设置在所述晶粒的活性面上,其中所述缓冲层包括减振组合物,用于防止所述后段(BEOL)电介质在晶圆切割过程中产生破裂;
多个通孔开孔,位于所述缓冲层中,用于暴露所述晶粒垫;以及
多个通孔触点,设置在所述通孔开口上,用于提供至所述晶粒垫的电连接。
2.如权利要求1所述的半导体封装,还包括一再分布(RDL)结构,其进一步包括:
多个通孔触点;
多个图案化导线,连接到所述多个通孔触点;以及
一再分布(RDL)封装层,覆盖所述RDL结构,其中所述再分布(RDL)结构设置在所述晶粒的区域之内。
3.如权利要求2所述的半导体封装,其中所述半导体封装包括一封装区域,尺寸约等于所述晶粒的区域。
4.如权利要求2所述的半导体封装,其中所述半导体封装包括一背面缓冲层,设置在所述晶粒的非活性面上,其中所述背面缓冲层可防止所述晶粒碎裂。
5.如权利要求2所述的半导体封装,其中所述半导体封装包括:
一封装层,覆盖并包围所述晶粒;以及
所述再分布(RDL)封装层与所述封装层的尺寸大致相同。
6.如权利要求2所述的半导体封装,其中所述半导体封装包括:
一封装层,覆盖并包围所述晶粒,其具有一顶部封装层表面,与所述晶粒的非活性面平齐;以及
所述再分布(RDL)封装层与所述封装层的区域大致相同。
7.如权利要求1所述的半导体封装,还包括一再分布(RDL)结构,其进一步包括:
多个通孔触点;
多个图案化导线,连接到所述多个通孔触点;以及
一再分布(RDL)封装层,覆盖所述再分布(RDL)结构,其中所述再分布(RDL)结构设置在所述晶粒的区域之外。
8.如权利要求7所述的半导体封装,其中所述半导体封装包括:
一封装层,覆盖并包围所述晶粒;以及
所述再分布(RDL)封装层与所述封装层的区域大致相同。
9.如权利要求2所述的半导体封装,其中所述扇出半导体封装包括:
一封装层,覆盖并包围所述晶粒,其具有一顶部封装层表面,与所述晶粒的非活性面平齐;以及
所述再分布(RDL)封装层与所述封装层的区域大致相同。
10.如权利要求1所述的半导体封装,其中所述缓冲层包括一复合缓冲层,所述复合缓冲层进一步包括:
一基底缓冲层;以及
设置在所述基底缓冲层内的填料。
11.如权利要求10所述的半导体封装,其中所述基底缓冲层包括一基于聚合物基底缓冲层。
12.如权利要求10所述的半导体封装,其中所述复合缓冲层中的填料包括有机填料、无机填料或其组合。
13.如权利要求10所述的半导体封装,其中所述填料的尺寸在约0.5微米至约12微米的范围内。
14.如权利要求10所述的半导体封装,其中所述填料的尺寸范围为约0.5微米至所述晶片切割过程中使用的锯条宽度的约1/3。
15.如权利要求10所述的半导体封装,其中所述复合缓冲层的厚度约为10-100微米。
16.如权利要求10所述的半导体封装,其中所述复合缓冲层包括一预制复合缓冲层。
17.如权利要求1所述的半导体封装,其中所述缓冲层的杨氏模量在约10,000-25,000MPa的范围内。
18.一种半导体封装,包括:
一晶粒,具有活性面和非活性面,其中所述活性面包括在后段(BEOL)电介质上的晶粒垫;
一缓冲层,设置在晶粒的活性面上,其中所述缓冲层包括杨氏模量和断裂强度,用于防止所述后段(BEOL)电介质在晶圆切割过程中产生破裂;
多个通孔开孔,位于所述缓冲层中,用于暴露所述晶粒垫;以及
多个设置在所述通孔开口上的通孔触点,用于提供至所述晶粒垫的电连接。
19.一种处理半导体晶圆的方法,包括:
提供具有活性面的半导体晶圆,其中所述活性面经处理具有多个晶粒,所述多个晶粒的顶部晶粒面包括位于所述后段(BEOL)电介质上的晶粒垫;以及
形成位于所述半导体晶圆上的缓冲层,覆盖所述顶部晶粒面,其中所述缓冲层包括减振组合物,用于防止所述后段(BEOL)电介质在晶圆切割过程中产生破裂。
20.如权利要求19所述的方法,其中所述缓冲层包括:
杨氏模量约为10,000-25,000MPa;以及
断裂强度约为50-100MPa。
CN202180021470.4A 2020-07-15 2021-07-15 具有缓冲层的半导体器件 Pending CN115280489A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
SG10202006768U 2020-07-15
SG10202006768U 2020-07-15
US202063114536P 2020-11-17 2020-11-17
US63/114,536 2020-11-17
PCT/SG2021/050413 WO2022015245A1 (en) 2020-07-15 2021-07-15 Semiconductor device with buffer layer

Publications (1)

Publication Number Publication Date
CN115280489A true CN115280489A (zh) 2022-11-01

Family

ID=79556153

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180021470.4A Pending CN115280489A (zh) 2020-07-15 2021-07-15 具有缓冲层的半导体器件

Country Status (3)

Country Link
CN (1) CN115280489A (zh)
TW (1) TWI783577B (zh)
WO (1) WO2022015245A1 (zh)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006054606A1 (ja) * 2004-11-16 2006-05-26 Rohm Co., Ltd. 半導体装置および半導体装置の製造方法
SG10201903242QA (en) * 2014-10-13 2019-05-30 Utac Headquarters Pte Ltd Methods for singulating semiconductor wafer
US20170098628A1 (en) * 2015-10-05 2017-04-06 Mediatek Inc. Semiconductor package structure and method for forming the same
US10529671B2 (en) * 2016-12-13 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11232957B2 (en) * 2017-11-29 2022-01-25 Pep Inovation Pte. Ltd. Chip packaging method and package structure
US10790161B2 (en) * 2018-03-27 2020-09-29 Amkor Technology, Inc. Electronic device with adaptive vertical interconnect and fabricating method thereof
CN110783300B (zh) * 2018-07-26 2021-08-13 钰桥半导体股份有限公司 具有调节件及防裂结构的导线架衬底及其覆晶组体
KR102127828B1 (ko) * 2018-08-10 2020-06-29 삼성전자주식회사 반도체 패키지
KR102164047B1 (ko) * 2018-11-26 2020-10-12 엘비세미콘 주식회사 반도체 패키지의 제조방법

Also Published As

Publication number Publication date
TWI783577B (zh) 2022-11-11
WO2022015245A1 (en) 2022-01-20
TW202221866A (zh) 2022-06-01

Similar Documents

Publication Publication Date Title
US10833039B2 (en) Multi-chip fan out package and methods of forming the same
US11322419B2 (en) Package with tilted interface between device die and encapsulating material
US8816495B2 (en) Structures and formation methods of packages with heat sinks
US9293369B2 (en) Three-dimensional integrated circuit (3DIC)
US8802504B1 (en) 3D packages and methods for forming the same
US11749651B2 (en) Semiconductor package and manufacturing method thereof
US11764165B2 (en) Supporting InFO packages to reduce warpage
US11133282B2 (en) COWOS structures and methods forming same
US9613895B1 (en) Semiconductor package with double side molding
US11990353B2 (en) Semiconductor device with buffer layer
TWI783577B (zh) 具有緩衝層的半導體裝置及處理半導體晶圓的方法
US11948876B2 (en) Package structure with through vias
US20230268260A1 (en) Package structure and method of fabricating the same
US20230282558A1 (en) Package structure and method of fabricating the same
US20210098395A1 (en) Package structure and method of fabricating the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination