TWI774345B - 形成無光阻圖案遮罩的結構和方法 - Google Patents

形成無光阻圖案遮罩的結構和方法 Download PDF

Info

Publication number
TWI774345B
TWI774345B TW110115384A TW110115384A TWI774345B TW I774345 B TWI774345 B TW I774345B TW 110115384 A TW110115384 A TW 110115384A TW 110115384 A TW110115384 A TW 110115384A TW I774345 B TWI774345 B TW I774345B
Authority
TW
Taiwan
Prior art keywords
layer
patterned
region
monolayer
mask
Prior art date
Application number
TW110115384A
Other languages
English (en)
Other versions
TW202147024A (zh
Inventor
麥克爾 克里斯泰伯
西勒維亞 艾曼尼
Original Assignee
比利時商愛美科公司
比利時天主教魯汶大學Ku魯汶研發處
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 比利時商愛美科公司, 比利時天主教魯汶大學Ku魯汶研發處 filed Critical 比利時商愛美科公司
Publication of TW202147024A publication Critical patent/TW202147024A/zh
Application granted granted Critical
Publication of TWI774345B publication Critical patent/TWI774345B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明係關於一種用於形成一圖案化遮罩之結構及方法。該方法包括:提供一第一層,該第一層能夠與包括一化合物之一單層鍵合,該化合物包括鍵合至該第一層之一官能基及一可移除有機基;使該單層曝露於一能量束,藉此形成包括一第一區域及一第二區域之一圖案,該第一區域包括具有該可移除有機基之該化合物,該第二區域包括不具有該可移除有機基之該化合物;及在該第一區域之頂部上選擇性沈積一非晶碳層。

Description

形成無光阻圖案遮罩的結構和方法
本發明係關於微影。更特定言之,本發明係關於無光阻微影。
製造任何半導體裝置涉及界定裝置之幾何形狀及功能之多個微影步驟。由縮小裝置尺寸之需求驅動,用於先進光學微影中之光波長亦已縮短,直至其最終達到商用掃描器中之極紫外(EUV)範圍。在開發高功率EUV光源之同時,找到一最佳光阻材料係EUV微影之另一關鍵問題。後者特別有挑戰,因為在一EUV光子之能量(92.5 eV或等效地,約13.5 nm)處,光-光阻劑相互作用之機制自光化學變為輻射化學。此外,低於10 nm之圖案化尺寸需要非常薄之光阻膜。因此,控制光阻劑組合物及均勻性係非常困難的,其導致高線邊緣粗糙度且缺乏圖案控制。另外,當使用光阻劑時,甚至存在更多挑戰,挑戰包括隨機指標、布拉格(Bragg)鏡之污染及RLS權衡,其係在解析度、線寬粗糙度及敏感度之間的權衡。此外,使用光阻劑之EUV微影需要光阻材料及其處理之複雜及昂貴開發,同時光阻劑專門用作在將圖案轉印至一更穩固硬遮罩層中之後即時移除之一犧牲材料。
藉由原子層沈積(ALD)程序之區域選擇性沈積(ASD)之最新進展允許在不使用EUV光阻劑之情況下開發EUV微影。作為一實例,US20190391494揭示一種使用EUV輻射之圖案化方法,其中將由異羥肟酸化合物形成之一自組裝單層(SAM)沈積於一基板之一頂面上,基板包括例如一介電材料。SAM之區域曝露於EUV。此後,將諸如氧化鋅之ALD材料實質上或專門沈積於曝露區域或非曝露區域上以形成一圖案化ALD膜。因此所形成之圖案化ALD膜可用作一蝕刻程序之一遮罩。
然而,缺乏對EUV具有一高敏感度之一無光阻圖案化遮罩:目前,圖案化需要高劑量之EUV輻射,藉此降低微影程序之產量。此外,根據當前最新技術之方法不允許正調及負調兩者。最後,一般而言,根據當前最新技術之方法仍需要採用昂貴材料及非標準沈積步驟或在半導體製造中一般不可用且無法容易地引入至其中之材料。
因此,此項技術中仍需要克服一或多個上述問題之方法。
本發明之一目的係提供在一待圖案化層上形成一圖案化遮罩之良好及簡單方法。本發明之另一目的係提供與其相關聯之結構。上述目的由根據本發明之一方法及裝置實現。
本發明之實施例之一優點係其藉由依賴表面之EUV敏感度來消除微影程序中之光阻劑。本發明之實施例之一優點係可在不使用一光阻劑之情況下形成一圖案化遮罩(即,其可用於在一無光阻微影中),藉此減少圖案化遮罩之複雜性。本發明之實施例之另一優點係避免需要找到一最佳光阻材料。又一優點係避免光阻材料可藉由減少例如開發成本、材料成本及處理成本來降低圖案化遮罩之總生產成本。本發明之實施例之另一優點係減輕與EUV光阻劑相關之典型問題,諸如黏附、圖案崩塌、撇渣,及通常在基於化學放大光阻劑(CAR)之EUV光阻劑中觀察到之RLS權衡。
本發明之實施例之一優點係曝露於一能量束之頂面對EUV敏感。本發明之實施例之另一優點係可引入一增感劑層,其進一步提高頂面對EUV之敏感度。本發明之實施例之另一優點係可藉由能夠使用一較低能量劑量來提高微影之產量。
本發明之實施例之一優點係圖案化遮罩與待圖案化之下伏層之一寬範圍相容。
本發明之實施例之一優點係本文包括之方法及材料已普遍用於半導體製造中或可易於引入至其中。
本發明之實施例之一優點係方法實現正調及負調圖案兩者。
在一第一態樣中,本發明係關於一種用於在一待圖案化層上形成一圖案化遮罩之方法,該方法包括:(a)在一基板上提供一第一層,該基板包括該待圖案化層,該第一層能夠與包括一化合物之一單層鍵合,該化合物包括用於鍵合至該第一層之一官能基及一可移除有機基;(b)將該單層鍵合至該第一層;(c)使該單層曝露於一能量束,藉此形成包括一第一區域及一第二區域之一圖案,該第一區域包括具有該可移除有機基之該化合物,該第二區域包括不具有該可移除有機基之該化合物;及(d)在該第一區域之頂部上選擇性沈積一非晶碳層。
在第一態樣之實施例中,本發明係關於包括步驟(d)之後的以下步驟(e)之一方法:藉由相對於該非晶碳層選擇性蝕穿存在於該圖案與該待圖案化層之間的任何層來將該圖案複製至該任何層中。
在第一態樣之實施例中,本發明係關於包括步驟(d)之後的以下步驟(f)、(g)及(h)之一方法:(f)鹵化該非晶碳層,藉此形成一鹵化非晶碳層;(g)在該第二區域之頂部上選擇性沈積一無機遮罩層,藉此形成一無機圖案;及(h)藉由相對於該無機圖案選擇性蝕穿存在於該無機圖案與該待圖案化層之間的任何層來將該無機圖案複製至該任何層中。
在一第二態樣中,本發明係關於一種用於藉由將待圖案化層之頂部上之層之圖案複製至待圖案化層中來圖案化一層之方法。
在一第三態樣中,本發明係關於一種結構,其包括:(i)一基板,其包括一待圖案化層;(ii)一第一層,其在該基板上;(iii)一單層,其鍵合至該第一層,該單層包括一化合物,該第一層包括一圖案,該圖案包括一第一區域及一第二區域,該第一區域包括具有一有機基之該化合物,且該第二區域包括不具有該有機基之該化合物;及(iv)一非晶碳層,其在該單層之該第一區域之頂部上。
所附獨立及從屬請求項中闡述本發明之特定及較佳態樣。來自從屬請求項之特徵可與獨立請求項之特徵組合且適當與非僅如申請專利範圍中明確闡述之其他從屬請求項之特徵組合。
儘管此領域中之裝置已不斷改良、變化及演進,但本發明之概念被認為代表實質性新及新穎改良(包含背離先前實踐)以導致提供本發明之更高效、穩定及可靠裝置。
將自結合附圖之以下詳細描述明白本發明之上述及其他特性、特徵及優點,附圖依舉例方式繪示本發明之原理。此描述僅供例示,而非限制本發明之範疇。下文將引用之參考圖係指附圖。
本發明將相對於特定實施例及參考特定圖式來描述,但本發明不限於此,而是僅受限於申請專利範圍。所描述之圖式僅供示意而非限制。在圖式中,一些元件之大小可為了說明而放大且不按比例繪製。尺寸及相對尺寸不對應於本發明之實踐之實際減小。
此外,[實施方式]及申請專利範圍中之術語「第一」、「第二」、「第三」及其類似者用於區分類似元件且未必用於描述時間、空間、排名或依任何其他方式之一序列。應暸解,如此使用之術語在適當情況下可互換,且本文中所描述之本發明之實施例能夠依除本文中所描述或繪示之序列之外的序列操作。
另外,[實施方式]及申請專利範圍中之術語「頂部」、「底部」、「上」、「下方」及其類似者用於描述目的且未必用於描述相對位置。應暸解,如此使用之術語在適當情況下可互換,且本文中所描述之本發明之實施例能夠依除本文中所描述或繪示之定向之外的定向操作。
應注意,申請專利範圍中所使用之術語「包括」不應被解譯為受限於其後所列之構件;其不排除其他元件或步驟。因此,其應被解譯為特指存在所陳述之參考特徵、整數、步驟或組件,但不排除存在或添加一或多個其他特徵、整數、步驟或組件或其等之群組。因此,術語「包括」涵蓋其中僅存在所陳述之特徵之情形及其中存在此等特徵及一或多個其他特徵之情形。因此,根據本發明之用語「包括」亦包含作為其中不存在進一步組件之一實施例。因此,表述「包括構件A及B之一裝置」之範疇不應被解譯為受限於僅由組件A及B組成之裝置。其意謂關於本發明,裝置之唯一相關組件係A及B。
參考本說明書中之「一實施例」意謂結合實施例所描述之一特定特徵、結構或特性包含於本發明之至少一實施例中。因此,出現於本說明書之不同位置中之片語「在一實施例中」未必全部係指相同實施例,但可為相同實施例。此外,在一或多個實施例中,特定特徵、結構或特性可依任何適合方式組合,如一般技術者將自本發明明白。
類似地,應瞭解,在本發明之例示性實施例之描述中,為了簡化本發明及幫助理解各種發明態樣之一或多者,本發明之各種特徵有時一起群組於一單一實施例、圖或其描述中。然而,本發明之方法不應被解譯為反映主張發明需要比各請求項中明確敘述之特徵多之特徵之一意圖。確切而言,如以下申請專利範圍所反映,發明態樣具有一單一先前揭示實施例之非所有特徵。因此,[實施方式]之後的申請專利範圍特此明確併入至[實施方式]中,其中各請求項獨立作為本發明之一單獨實施例。
此外,儘管本文中所描述之一些實施例包含一些而非包含其他實施例中之其他特徵,但不同實施例之特徵之組合意謂在本發明之範疇內且形成不同實施例,如熟習技術者所瞭解。例如,在以下申請專利範圍中,任何主張實施例可依任何組合使用。
在本文所提供之描述中,闡述諸多具體細節。然而,應暸解,本發明之實施例可無此等具體細節之情況下實踐。在其他例項中,未詳細展示熟知之方法、結構及技術以免混淆本發明之理解。
以下術語僅供於為幫助理解本發明。
如本文中所使用且除非另有說明,否則當一第一材料被視為相對於一第二材料選擇性蝕刻時,此意謂第一材料比第二材料蝕刻更快。較佳地,蝕刻程序將使第一材料比第二材料至少快兩倍、或更佳地至少快五倍、更佳地至少快十倍蝕刻。在一些較佳實施例中,第二材料可實質上不由蝕刻程序蝕刻。
如本文中所使用且除非另有說明,當一材料被視為相對於一第一區域而區域選擇性形成(例如生長或沈積)於一第二區域上時,此意謂材料僅形成於第二區域上,而不形成於第一區域上。在實施例中,材料在第二區域上比在第一區域上形成得更快。較佳地,在第二區域上形成材料比在第一區域上至少快兩倍、或更佳地至少快五倍、更佳地至少快十倍。在一些較佳實施例中,材料可實質上不形成於第一區域上。
在一第一態樣中,本發明係關於一種用於在一待圖案化層上形成一圖案化遮罩之方法,該方法包括:(a)在一基板上提供一第一層,該基板包括該待圖案化層,該第一層能夠與包括一化合物之一單層鍵合,該化合物包括鍵合至該第一層之一官能基及一可移除有機基;(b)將該單層鍵合至該第一層;(c)使該單層曝露於一能量束,藉此形成包括一第一區域及一第二區域之一圖案,該第一區域包括具有該可移除有機基之該化合物,該第二區域包括不具有該可移除有機基之該化合物;及(d)在該第一區域之頂部上選擇性沈積一非晶碳層。
在實施例中,在該待圖案化層上形成該圖案化遮罩可包括在該待圖案化層上方形成該圖案化遮罩。在實施例中,在該待圖案化層上形成該圖案化遮罩可包括在該待圖案化層之頂部上形成該圖案化遮罩。
該待圖案化層無特別限制且一般可為可達成相對於用於此方法中之一遮罩之一足夠蝕刻選擇性(即,使得該遮罩可用作該待圖案化層之一圖案化遮罩)之任何層。在實施例中,該待圖案化層可為一半導體裝置之製造中之一中間結構,諸如一半導體基板或一半導體結構。
該基板上所提供之該第一層經選擇以能夠共價附接至步驟(b)之該單層。該第一層可例如使用以下技術之一者沈積:原子層沈積、化學氣相沈積及物理氣相沈積。在實施例中,該第一層包括至少一種氧化物或由一單種氧化物組成,諸如一金屬氧化物,較佳地選自氧化矽、氧化錫、氧化鋯及氧化鈦。在實施例中,該第一層具有高達10 nm之一厚度,例如自1 nm至10 nm。
該單層包括一化合物,其包括用於鍵合至該第一層之一官能基及一可移除有機基,即,該可移除有機基可在使該單層曝露於一能量束之後移除。在實施例中,該化合物可包括一或多個可移除有機基。在實施例中,該化合物可為有機錫,其中錫原子係該官能基且鍵合至該錫原子之任何有機取代基可為該一或多個可移除有機基之一者。該一或多個可移除有機基可相同或可不同。其等通常係相同的。各有機取代基可獨立地為烷基,諸如烷基鏈,其包括自1個至10個碳原子、較佳地自2個至6個碳原子、更佳地自3個至5個碳原子。各烷基可經鹵化,即,該烷基可由以下原子之至少一者取代:氟、氯、溴及碘。該烷基較佳地以甲基封端。例如,包括於該單層中之該有機錫可藉由使四烷基錫(例如四丁基錫)與該第一層反應來獲得。該四烷基錫可具有上文所界定之四個烷基鏈,即,包括自1個至10個碳原子、較佳地自2個至6個碳原子、更佳地自3個至5個碳原子。各烷基可經鹵化,即,該烷基可由以下原子之至少一者取代:氟、氯、溴及碘。該烷基較佳地以甲基封端。
在實施例中,該化合物可為矽烷,即,有機矽化合物。包括於該單層中之該矽烷可藉由使該第一層與包括矽及矽上之四個取代基之一化合物反應來獲得,其中至少一取代基包括一有機基,其中較佳地至少兩個取代基包括一有機基,其中更佳地至少三個取代基包括一有機基,諸如其中所有四個取代基包括一有機基。該有機基較佳地以甲基封端。該有機基可包括烷基,諸如烷基鏈,其中該烷基係該可移除基。該烷基可包括芳香環。該烷基較佳地包括自1個至18個碳原子。該烷基可經鹵化,即,烷基可由以下原子之至少一者取代:氟、氯、溴及碘。該有機基可為烷氧基,諸如甲氧基及/或乙氧基。該矽烷可包括自1個至3個烷氧基、更佳地2個或3個烷氧基,諸如3個烷氧基,其中此等烷氧基之一或多者將藉由與該第一層反應來形成該官能基。例如,包括於該單層中之該化合物可藉由使烷基三甲氧基矽烷與該第一層反應來形成,其中三個有機基係甲氧基,且其中第四有機基包括烷基,其包括自1個至18個碳原子。該甲氧基之一者通常用作用於與該基板鍵合之一官能基。
在實施例中,包括於該單層中之該化合物可藉由使N,N-二甲基三甲基矽烷胺(TMS-DMA)與該第一層反應來獲得,其中該二甲基矽烷胺基將與該第一層反應,且鍵合至該矽之甲基取代基係該可移除有機基。特定言之,有機錫化合物之分解對EUV非常敏感。該單層可為由液相或氣相之該化合物形成之一自組裝單層,其中該單層之形成以低於200°C之一溫度執行,即,防止該化合物分解。用於結合至該化合物之該第一層之該官能基與該第一層結合以在該官能基與該第一層之間形成一共價鍵以導致該化合物自限制化學吸附至該第一層。反應係自限制使得形成一單層(即,具有自1 nm至2 nm之一厚度)係有利的。
一單層用於替代一厚層以防止在施加該能量束時在一步驟(c)中交聯。一厚層替代該單層可導致該材料交聯。該材料之交聯使該層難以例如經由蝕刻移除。因此,沈積一單層。
該能量束可為一EUV束、一X射線束、一電子束或一離子束。較佳地,該能量束係一EUV束,其較佳地包括具有自12 nm至16 nm、較佳地13.5 nm之一波長(即,具有92.5 eV之一能量)之光子。在其中一能量束係一EUV束之情況中,能量密度例如低於100 mJ/cm2 ,諸如自50 mJ/cm2 至100 mJ/cm2 、較佳地甚至低於50 mJ/cm2 。該能量束經選擇使得其由包括於該單層中之該化合物吸收且能夠破壞該可移除有機基與該官能基之間的該鍵。在實施例中,被破壞之該鍵例如在該單層包括一有機錫之情況中係錫-碳鍵,或在該單層包括矽烷之情況中係矽-碳鍵。在破壞該鍵之後,僅該官能基留在該第一層上,共價附接至該第一層,而較佳地該有機基蒸發且自該第一層之頂部移除。
在本發明之實施例中,該單層藉由僅照射該單層之該第二區域而非該第一區域來圖案化,例如藉由使用一光學遮罩阻擋該束之一部分或藉由使用一有限能量束寬度。因此,僅在該第二區域中,該單層中之該化合物將發生分解,藉此實質上移除該第二區域中之該有機鏈,而在該第一區域中,該單層中之該化合物包括該等有機鏈。
該單層形成對應於該頂面之按圖案曝露之一圖案。在實施例中,當該第一區域由重複實質上相同特徵形成且其中該第二區域由重複實質上相同特徵形成時,該第一區域及該第二區域之該等特徵之較小橫向尺寸可由一半節距界定,即,相同等間距特徵之間的距離之一半,即,至少5 nm,諸如自7 nm至25 nm。在實施例中,該第二區域可包括其較小橫向尺寸係自5 nm至40 nm (例如7 nm至25 nm)之特徵。在實施例中,該第一區域可包括其較小橫向尺寸係自5 nm至40 nm (例如7 nm至25 nm)之特徵。
在實施例中,該基板包括一增感劑層作為其頂層。該增感劑層較佳為直接在該第一層下方之層。該增感劑層之功能係提供該單層之分解對該能量束之進一步敏感度:在不受理論約束之情況下,在該能量束由該增感劑層吸收之後,該增感劑層形成相對自由地移動通過該材料之二次電子。該等二次電子之部分擴散穿過該第一層而至該單層中,其中該等二次電子能夠破壞該可移除有機基與該官能基之間的該鍵。除藉由施加該能量束來直接破壞該等鍵之外,藉由該等二次電子之該等鍵之此破壞係較佳的。特定言之,在一單層包括對藉由該能量束直接破壞該等鍵不非常敏感之一化合物之情況中使用增感劑層係較佳的。特定言之,在該單層包括矽烷之情況中使用一增感劑層係較佳的。
該增感劑層包括能夠在自該能量束吸收能量之後形成二次電子之一材料。例如,在實施例中,該增感劑層包括錫、包括錫之二元化合物(諸如氧化錫、氮化錫或碘化錫)、碲或包括碲之二元化合物(諸如氧化碲、氮化碲或碘化碲)。替代地,該增感劑層可包括碘或包括碘之二元化合物。在實施例中,該增感劑層可包括以下三元化合物之一者:錫之三元化合物、碲之三元化合物或碘之三元化合物。三元化合物可有利地形成平滑非晶膜。該增感劑層可由使用原子層沈積、化學氣相沈積或物理層沈積形成。較佳地,該增感劑層使用物理層沈積來沈積。
因為該等二次電子應能夠在到達該第一層之前擴散穿過該第一層,所以在其中該基板包括一增感劑層作為其頂層之實施例中,該第一層之厚度係受限制的,且因此較佳地小於3 nm、更佳地自1 nm至2 nm。該增感劑層較佳地足夠厚,使得形成朝向該單層擴散之足夠二次電子。另一方面,該增感劑層不應非常厚,因為其包括之材料通常難以蝕穿。在實施例中,該增感劑層之厚度係至少3 nm,較佳地自3 nm至5 nm。
在本發明之實施例中,該基板包括該待圖案化層上之一有機遮罩層。在該基板中包括一增感劑層之情況中,該增感劑必須為該基板之最高層(即,儘可能靠近該單層),因此,該有機遮罩層較佳地低於該增感劑層。該有機遮罩層之厚度較佳地自10 nm至100 nm。在實施例中,該有機遮罩層可用作用於選擇性蝕刻該待圖案化層之遮罩:因此,其需要適合於允許相對於該有機遮罩層選擇性蝕刻該待圖案化層。因此,該有機遮罩層之較佳材料取決於該待圖案化層之材料。該有機遮罩之實例係經由旋塗沈積之碳膜及經由化學氣相沈積、電漿增強化學氣相沈積、物理氣相沈積或原子層沈積來沈積之非晶碳膜。
在使用一能量束形成一第一區域及一第二區域之後,該方法包括在該第一區域之頂部上選擇性沈積一非晶碳層。該非晶碳層可例如由包括烴化合物之一電漿及一惰性氣體(諸如Ar、He、N2 、H2 、NH3 、CO、CO2 及其等之組合)沈積。適合烴化合物包含(例如)以下化合物之一或多者:炔烴(諸如乙炔(C2 H2 )、丙烯(C3 H6 )、丙炔(C3 H4 )、丙烷(C3 H8 )、丁烷(C4 H10 )、丁烯(C4 H8 )、丁二烯(C4 H6 )、乙烯基乙炔及其衍生物)、芳香烴(諸如苯、苯乙烯、甲苯、二甲苯、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃及其類似者)、α-萜品烯、異丙基甲苯、1,1,3,3-四甲基丁苯、第三丁基醚、第三丁基乙烯、甲基丙烯酸甲酯及第三丁基呋喃醚、具有式C3 H2 及C5 H4 之化合物、包含單氟苯、二氟苯、四氟苯、六氟苯及其類似者之鹵化芳香化合物。額外適合烴包含烯烴(諸如乙烯、丙烯、丁烯、戊烯及其類似者)、二烯烴(諸如丁二烯、異戊二烯、戊二烯、己二烯及其類似者),且鹵化烯烴包含單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、單氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯及其類似者。
沈積該非晶碳層之較佳溫度範圍係自20°C至200°C。在不受理論限制之情況下,在電漿條件下,在包括具有有機鏈之該等單層化合物之該第一區域上促進一聚合型反應,其中該聚合型反應包括該電漿中之烴與該單層中之化合物之有機鏈之間的反應,其導致在該第一區域之頂部上各向同性生長一非晶碳層。該非晶碳層之厚度較佳地自2 nm至10 nm。
在本發明之一些實施例中,該方法包括步驟(d)之後的以下步驟(e):(e)藉由相對於該非晶碳層選擇性蝕穿存在於該圖案與該待圖案化層之間的任何層來將該圖案複製該任何層中。因而,該非晶碳層用作用於該蝕刻之一薄遮罩。例如,可使用一乾式蝕刻技術,諸如電容耦合電漿蝕刻或電感耦合電漿蝕刻。在此等技術中,電漿包括(例如但不限於) CF4 、H2 、CH4 、CHF3 、CH2 F2 或CH2 F2 ,且其中載體氣體包括惰性氣體,較佳地Ar。特定言之,若電漿包括H2 、CH4 、CHF3 、CH2 F2 或CH2 F2 ,則可改良蝕刻之選擇性,因為其促進該非晶碳層之進一步聚合,藉此進一步改良其抗蝕刻性。另外,在實施例中,可使用脈衝電漿蝕刻或原子層蝕刻技術。其等係有利的,因為其等傾向於進一步增強乾式圖案化程序之選擇性。包括步驟(e)之該方法實際上為一正調性圖案蝕刻方法,因為蝕刻選擇性發生於曝露於該能量束之該第二區域中。
在第一態樣之實施例中,本發明係關於包括步驟(d)之後的以下步驟(f)、(g)及(h)之一方法:(f)鹵化該非晶碳層,藉此形成一鹵化非晶碳層;(g)在該第二區域之頂部上選擇性沈積一無機遮罩層,藉此形成一無機圖案;及(h)藉由相對於該無機圖案選擇性蝕穿存在於該無機圖案與該待圖案化層之間的任何層來將該無機圖案複製至該任何層中。
鹵化該非晶碳層之步驟(f)可例如藉由使該非晶碳層曝露於包括一含鹵素化合物(諸如Cl2 、CF4 、HBr、CBr4 、CF3 I、CF2 I2 、C2 F3 I或C3 F7 IH2 I2 )之一電漿來執行。在實施例中,此步驟中之溫度不應超過250°C (尤其在溴化及/或碘化之情況中)以防止該含鹵素化合物分解。依此方式形成之該鹵化非晶碳層較佳地用作一生長阻擋層,即,抵抗步驟(g)中該無機遮罩層之沈積。因此,在步驟(g)中,該無機遮罩層之區域選擇性沈積實質上僅發生於不包括該鹵化非晶碳層之該第二區域上。
在實施例中,該無機遮罩層可包括以下之一或多者:一金屬,諸如釕或鎢;一金屬氧化物,諸如TiO2 或Al2 O3 ;及一金屬氮化物,諸如TiN。在實施例中,該無機遮罩層可具有較佳地自2 nm至10 nm之一厚度。該無機遮罩層較佳地在自50°C至350°C之一溫度處例如經由原子層沈積(ALD)或化學氣相沈積(CVD)選擇性沈積。在實施例中,使用一循環沈積技術,諸如藉由執行一ALD技術之多次循環。可使用之金屬、金屬氧化物或金屬氮化物之前驅物係例如金屬鹵化物或烷氧化物。
在不受理論限制之情況下,步驟(g)中之區域選擇性形成被認為通常由該第一區域與該第二區域之間的金屬、金屬氧化物或金屬氮化物之前驅物之一成核率差達成。因而,在步驟(g)中形成該金屬氧化物或金屬氮化物可較佳地包括使用至少一前驅物(及較佳地僅前驅物),其導致第二表面上之不佳成核(例如,比第一表面慢至少兩倍、較佳地至少慢五倍、更佳地慢至少十倍)。
該第二區域上所沈積之該無機遮罩層較佳地用作抵抗步驟(h)中所執行之該選擇性蝕刻之一遮罩,即,該選擇性蝕刻較佳地相對於該無機遮罩層選擇性發生。步驟(h)之該蝕刻較佳地包括一單一蝕刻步驟。然而,步驟(h)之該蝕刻可包括多個蝕刻步驟,因為一單一步驟可能不具有包括於該圖案化遮罩中之各有機及/或無機層所需之相對於該無機遮罩層之選擇性。重要的是,在將該圖案轉印至該有機遮罩層之前不消耗該無機遮罩層。第一,該多個蝕刻步驟可例如包括一選擇性蝕刻步驟,其包括一電漿,該電漿包括氧化劑(諸如O2 或CO2 )或還原劑(諸如可能具有作為一載體氣體之N2 之H2 ),即,蝕穿該鹵化非晶碳層。第二,該多個蝕刻步驟例如可包括一選擇性蝕刻步驟,其包括一電漿,該電漿包括碳氟化合物,諸如具有作為一載體氣體之氬之CF4 或CHF3 或具有作為一載體氣體之Ar及N2 之CHF3 ,即,蝕穿該單層,且在其中該基板包括該增感劑層之實施例中,包括該增感劑層。替代地,在其中該基板包括該增感劑層之實施例中,該增感劑層可在無鹵素之情況下蝕刻。第三,該圖案可使用一選擇性蝕刻步驟蝕刻至該有機遮罩層中,該選擇性蝕刻步驟包括一電漿,該電漿包括氧化劑(諸如O2 或CO2 )或還原劑(諸如可能具有作為一載體氣體之N2 之H2 )。另外,該蝕刻程序之選擇性可藉由採用脈衝電漿及原子層蝕刻技術來提高。包括步驟(f)、(g)及(h)之該方法實際上為一負調性圖案蝕刻方法,因為蝕刻選擇性發生於未曝露於該能量束之該第一區域中。
在實施例中,該圖案化遮罩包括該第一層、該第一層之頂部上之該單層(其中該單層包括該第一區域及該第二區域)及該單層之該第一區域之頂部上之該非晶碳層。在實施例中,包括於該圖案化遮罩中之該非晶碳層經鹵化,即,該圖案化遮罩包括該單層之該第一區域之頂部上之該鹵化非晶碳層而非該單層之該第一區域之頂部上之該非晶碳層。在其中該圖案化遮罩包括該第一區域之頂部上之該鹵化非晶碳層之實施例中,該圖案化遮罩可包括該第二區域之頂部上之該無機遮罩層。在實施例中,該圖案化遮罩亦包括該增感劑層,其中該第一層在該增感劑層之頂部上。在實施例中,該圖案化遮罩包括該有機遮罩層及該增感劑層,其中該增感劑層在該有機遮罩層之頂部上。在實施例中,該圖案化遮罩包括該有機遮罩層但無該增感劑層,其中該第一層在該有機遮罩層之頂部上。在實施例中,該圖案化遮罩之該等層之至少一者使用該圖案(較佳地,該單層)來圖案化。在實施例中,包括於該圖案化遮罩中之多個層使用該圖案來圖案化。在實施例中,該圖案化遮罩之所有層使用該圖案來圖案化。
在一第二態樣中,本發明係關於一種用於圖案化一層之方法,該方法包括:執行根據第一態樣之特定實施例之方法;及將該待圖案化層之頂部上之該層之該圖案複製至該待圖案化層中。此需要相對於存在於該待圖案化層上之該等層之任何者(較佳地,相對於該有機遮罩層)選擇性蝕刻該待圖案化層之一蝕刻技術。較佳地,該待圖案化層一般可為可達成相對於該有機遮罩層之一足夠蝕刻選擇性(即,使得該有機遮罩層可用作該待圖案化層之一圖案化遮罩)之任何層。
在一第三態樣中,本發明係關於一種結構,其包括:(i)一基板,其包括一待圖案化層;(ii)一第一層,其在該基板上;(iii)一單層,其鍵合至該第一層,該單層包括一化合物,該第一層包括一圖案,該圖案包括一第一區域及一第二區域,該第一區域包括具有一有機基之該化合物,且該第二區域包括不具有該有機基之該化合物;及(iv)一非晶碳層,其在該單層之該第一區域之頂部上。
根據第三態樣之結構之該等不同層可包括相同於第一態樣之方法中所揭示之等效層之材料。
該第一層包括至少一種氧化物,較佳地選自氧化矽、氧化錫、氧化鋯及氧化鈦。在實施例中,該第一層具有高達10 nm之一厚度。
該單層包括一化合物,該化合物包括共價結合至該第一層之一官能基。在該第二區域中,該單層包括該化合物,其可為第一態樣中所界定之該單層之一化合物,然而,其中該化合物不包括一有機基。在該第一區域中,該化合物可為第一態樣中所界定之該單層之一化合物,即,其中該化合物包括在實施例中共價結合至該第一區域之頂部上之該非晶碳層之一有機基。該非晶碳層係共價結合至該第一區域上之該單層之該化合物之該有機基之聚合碳。該非晶碳層之厚度較佳地自2 nm至10 nm。在實施例中,該單層可包括該化合物之一單一層,即,具有自1 nm至2 nm之一厚度。
該待圖案化層無特別限制且一般可為可達成相對於用於此方法中之一遮罩之一足夠蝕刻選擇性(即,使得該遮罩可用作該待圖案化層之一圖案化遮罩)之任何層。在實施例中,該待圖案化層可為一半導體裝置之製造中之一中間結構,諸如一半導體基板或一半導體結構。
在實施例中,該基板包括該待圖案化層上之一有機遮罩層。該有機遮罩層之厚度較佳地自10 nm至100 nm。在實施例中,該有機遮罩層可用作用於選擇性蝕刻該待圖案化層之該遮罩。因此,該有機遮罩層之較佳材料取決於該待圖案化層之材料。在實施例中,該有機遮罩層包括碳膜及/或非晶碳膜。
在特定實施例中,該非晶碳層包括鹵素。在此等實施例中,該第二區域之頂部上另外存在一無機遮罩層。在實施例中,該無機遮罩層具有較佳地自2 nm至10 nm之一厚度。該無機遮罩可包括第一態樣中相對於此層所提及之任何材料。該無機遮罩層用作抵抗較佳地相對於該無機遮罩層選擇性發生之選擇性蝕刻之一遮罩。依此方式,可選擇性蝕刻該待蝕刻層之頂部上之層。特定言之,本發明之第三態樣之結構之此等實施例(即,包括具有鹵素之一非晶碳層)可用於一負調性圖案蝕刻方法。
在實施例中,該基板可包括係該基板之頂層之一增感劑層,且該第一層之厚度小於3 nm,較佳地自1 nm至2 nm。在其中存在一增感劑層之實施例中,該增感劑層係該基板之最高層。在實施例中,該增感劑層可包括第一態樣中相對於此層所提及之任何材料。在實施例中,該增感劑層之厚度係至少3 nm,較佳地自3 nm至5 nm。
在實施例中,該待圖案化層上方之所有層使用相同圖案來圖案化。此等實施例特別適合用於根據本發明之第二態樣之方法中。
本發明現將藉由本發明之若干實施例之一詳細描述來描述。應明白,本發明之其他實施例可在不背離本發明之真實精神或技術教示之情況下根據熟習技術者之知識來組態,本發明僅受限於所附申請專利範圍之項目。 實例1:施加正調性蝕刻來形成一圖案化遮罩
吾人現參考圖1a。第一,例如經由旋塗、化學氣相沈積、電漿增強化學氣相沈積、物理氣相沈積或原子層沈積來將一10 nm至100 nm有機遮罩層(11)沈積於待圖案化層(10)上。第二,例如使用原子層沈積、化學氣相沈積及物理氣相沈積來將較佳地具有小於10 nm之一厚度之一第一層(12)沈積於有機遮罩(11)上。第三,在第一層(12)上生長包括具有一可移除有機基之一有機錫之一單層(13),例如其中有機錫在足以防止有機錫分解之一低溫(即,低於200°C)處自一溶劑或氣相沈積。錫係共價結合至第一層之一官能基。
吾人現參考圖1b。使單層(13)曝露於一能量束(諸如較佳地具有自12 nm至16 nm之一波長之一EUV束(14)),藉此形成包括一第一區域(130)及一第二區域(131)之一圖案,第一區域包括有機錫(即,包括可移除有機基),第二區域包括錫(即,不包括可移除有機基)。
吾人現參考圖1c。使單層曝露於包括烴之一電漿,藉此在單層之第一區域(130)之頂部上而非在單層之第二區域(131)上形成一非晶碳層(15)。
吾人現參考圖1d。藉由施加諸如電容耦合電漿蝕刻或電感耦合電漿蝕刻之一乾式蝕刻技術,使圖案相對於非晶碳層(15)選擇性複製至存在於圖案與待圖案化層(10)之間的所有層中。
接著,可使用所獲得之結構(未描繪)作為待圖案化下伏層之一圖案化遮罩。 實例2:使用一增感劑層且施加負調性蝕刻來形成一圖案化遮罩
吾人現參考圖2a。第一,例如經由旋塗、化學氣相沈積、電漿增強化學氣相沈積、物理氣相沈積或原子層沈積來將一10 nm至100 nm有機遮罩層(11)沈積於待圖案化層(10)上。第二,使用諸如原子層沈積、化學氣相沈積或物理層沈積之一技術來將具有至少3 nm、較佳地自3 nm至5 nm之一厚度之一增感劑層(28)沈積於有機遮罩層(11)上。第三,例如使用原子層沈積、化學氣相沈積及物理氣相沈積來將具有小於3 nm、較佳地1 nm至2 nm之一厚度之一第一層(12)沈積於增感劑層(28)上。第四,將包括具有結合至第一層之一官能基及一可移除有機基之一化合物之一單層(13)鍵合至第一層(12),其中化合物係一有機錫或矽烷,例如來自一溶液或包括化合物之氣體,在足以防止化合物分解之一低溫(即,低於200°C)處。
吾人現參考圖2b。使單層曝露於一能量束(諸如較佳地具有自12 nm至16 nm之一波長之一EUV束(14)),藉此形成包括一第一區域(130)及一第二區域(131)之一圖案,第一區域包括具有可移除有機基之化合物,第二區域包括不具有可移除有機基之化合物。
吾人現參考圖2c。使單層曝露於包括烴之一電漿,藉此在單層之一第一區域(130)之頂部上而非在第二區域(131)上形成具有2 nm至10 nm之一厚度之一非晶碳層(15)。
吾人現參考圖2d。使非晶碳層曝露於包括鹵素之一電漿,藉此形成一鹵化非晶碳層(26)。
吾人現參考圖2e。經由原子層沈積或經由化學氣相沈積,相對於鹵化非晶碳層(26)選擇性在第二區域上選擇性形成一無機遮罩層(27)。無機遮罩層(27)包括一金屬、一金屬氧化物或一金屬氮化物且具有自2 nm至10 nm之一厚度。
吾人現參考圖2f。藉由施加多個蝕刻步驟,使圖案相對於無機遮罩層(27)選擇性複製至存在於圖案與待圖案化層(10)之間的所有層中。作為多個蝕刻步驟之一實例,第一,可施加一電漿蝕刻(其中電漿包括氧化劑或還原劑)以蝕穿鹵化非晶碳層。第二,可施加包括具有氟碳化合物之一電漿之一選擇性蝕刻步驟以蝕穿單層及增感劑層。第三,可施加包括具有氧化劑、還原劑之一電漿之一選擇性蝕刻步驟以蝕穿有機遮罩層。
接著,可使用所獲得之結構(未描繪)作為待圖案化下伏層之一圖案化遮罩。
在實例1及2中,將正調性蝕刻施加於不包括一增感劑層之一結構,且將負調性蝕刻施加於包括一增感劑層之一結構。然而,蝕刻之調性絕不受限於一增感劑層是否存在。因此,在本發明之其他實施例中,負調性蝕刻可施加於不包括一增感劑層之一結構,且正調性蝕刻可施加於包括一增感劑層之一結構。
應暸解,儘管本文中已針對根據本發明之裝置討論較佳實施例、具體建構及組態及材料,但可在不背離本發明之範疇及精神之情況下對形式及細節作出各種改變或修改。例如,上文所給出之任何式僅表示可使用之程序。可對本發明之範疇內所描述之方法添加或刪除步驟。
10:待圖案化層 11:有機遮罩層 12:第一層 13:單層 14:極紫外(EUV)束 15:非晶碳層 26:鹵化非晶碳層 27:無機遮罩層 28:增感劑層 130:第一區域 131:第二區域
圖1及圖2係根據本發明之實施例之一例示性方法中之步驟之示意圖。
在不同圖中,相同元件符號係指相同或類似元件。
10:待圖案化層
11:有機遮罩層
12:第一層
13:單層
14:極紫外(EUV)束
15:非晶碳層
130:第一區域
131:第二區域

Claims (15)

  1. 一種用於在一待圖案化層(10)上形成一圖案化遮罩之方法,該方法包括:a.在一基板上提供一第一層(12),該基板包括該待圖案化層(10),該第一層(12)能夠與包括一化合物之一單層鍵合,該化合物包括用於鍵合至該第一層之一官能基及一可移除有機基;b.將該單層(13)鍵合至該第一層;c.使該單層曝露於一能量束(14),藉此形成包括一第一區域(130)及一第二區域(131)之一圖案,該第一區域(130)包括具有該可移除有機基之該化合物,該第二區域(131)包括不具有該可移除有機基之該化合物;及d.在該第一區域(130)之頂部上選擇性沈積一非晶碳層(15)。
  2. 如請求項1之方法,其中該化合物係一有機錫及/或該基板包括一增感劑層(28)作為其頂層。
  3. 如請求項2之方法,其中該增感劑層(28)之一厚度係至少3nm。
  4. 如請求項2之方法,其中該增感劑層(28)包括以下化合物之一或多者:錫、包括錫、碲之二元化合物及包括碲之二元化合物。
  5. 如請求項2之方法,其中該第一層(12)之一厚度小於3nm。
  6. 如請求項1之方法,其中該基板包括該待圖案化層(10)上之一有機遮罩層(11)。
  7. 如請求項1之方法,其中該第一層(12)包括至少一金屬氧化物。
  8. 如請求項1之方法,其包括步驟d之後的步驟e:e.藉由相對於該非晶碳層(15)選擇性蝕穿存在於該圖案與該待圖案化層(10)之間的任何層來將該圖案複製至該任何層中。
  9. 如請求項1之方法,其包括步驟d之後的步驟f、g及h:f.鹵化該非晶碳層(15),藉此形成一鹵化非晶碳層(26);g.在該第二區域之頂部上選擇性沈積一無機遮罩層(27),藉此形成一無機圖案;及h.藉由相對於該無機圖案選擇性蝕穿存在於該無機圖案與該待圖案化層(10)之間的任何層來將該無機圖案複製至該任何層中。
  10. 如請求項9之方法,其中在步驟g中沈積之該無機遮罩層(27)包括一金屬、一金屬氧化物及一金屬氮化物之一或多者。
  11. 一種用於圖案化一層之方法,其包括:執行如請求項8之方法;及將該待圖案化層(10)之頂部上之該層之圖案複製至該待圖案化層(10)中。
  12. 一種用於形成一圖案化遮罩之結構,其包括: i.一基板,其包括一待圖案化層(10);ii.一第一層(12),其在該基板上;iii.一單層(13),其鍵合至該第一層(12),該單層(13)包括一化合物,該第一層包括具有一第一區域及一第二區域之一圖案,該第一區域(130)包括具有一有機基之該化合物,且該第二區域(131)包括不具有該有機基之該化合物;及iv.一非晶碳層(15),其在該單層之該第一區域(130)之頂部上。
  13. 如請求項12之結構,其中該基板包括該待圖案化層上之一有機遮罩層(11)。
  14. 如請求項12之結構,其中該非晶碳層包括鹵素(26),且其中該第二區域之頂部上存在一無機遮罩層(27)。
  15. 如請求項12之結構,其中該基板包括一增感劑層(28),該增感劑層(28)係該基板之頂層,且其中該第一層(12)之一厚度小於3nm。
TW110115384A 2020-06-02 2021-04-28 形成無光阻圖案遮罩的結構和方法 TWI774345B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP20177913.9 2020-06-02
EP20177913.9A EP3919979A1 (en) 2020-06-02 2020-06-02 Resistless patterning mask

Publications (2)

Publication Number Publication Date
TW202147024A TW202147024A (zh) 2021-12-16
TWI774345B true TWI774345B (zh) 2022-08-11

Family

ID=70977716

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110115384A TWI774345B (zh) 2020-06-02 2021-04-28 形成無光阻圖案遮罩的結構和方法

Country Status (3)

Country Link
US (1) US11923198B2 (zh)
EP (1) EP3919979A1 (zh)
TW (1) TWI774345B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017066319A2 (en) * 2015-10-13 2017-04-20 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
TW201807495A (zh) * 2016-06-02 2018-03-01 富士軟片股份有限公司 圖案製造方法、半導體裝置的製造方法及積層體
TW201842573A (zh) * 2017-02-17 2018-12-01 美商蘭姆研究公司 半導體裝置製造中之氧化錫膜
CN110058344A (zh) * 2013-08-09 2019-07-26 住友化学株式会社 光学膜

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079600A (en) * 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
KR20080048195A (ko) * 2006-11-28 2008-06-02 삼성전자주식회사 전도성 폴리머 패턴 형성 방법
JP5318217B2 (ja) * 2009-09-28 2013-10-16 株式会社東芝 パターン形成方法
JP2015056186A (ja) * 2013-09-10 2015-03-23 株式会社東芝 パターン形成方法、及び磁気記録媒体の製造方法
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
JP6492511B2 (ja) * 2014-10-08 2019-04-03 ウシオ電機株式会社 パターン形成体の製造方法及び光照射装置
US9570302B1 (en) 2016-02-10 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a material layer
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR102631150B1 (ko) 2017-05-15 2024-01-29 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및에칭
WO2019147312A1 (en) 2017-10-06 2019-08-01 Board Of Regents, The University Of Texas System Metallic tin thin films as an etch mask
US10915023B2 (en) * 2017-11-03 2021-02-09 International Business Machines Corporation Nitrogen heterocycle-containing monolayers on metal oxides for binding biopolymers
US10782613B2 (en) 2018-04-19 2020-09-22 International Business Machines Corporation Polymerizable self-assembled monolayers for use in atomic layer deposition
KR20200144580A (ko) 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
US11187983B2 (en) 2018-06-26 2021-11-30 International Business Machines Corporation EUV patterning of monolayers for selective atomic layer deposition
US11921427B2 (en) * 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110058344A (zh) * 2013-08-09 2019-07-26 住友化学株式会社 光学膜
WO2017066319A2 (en) * 2015-10-13 2017-04-20 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
TW201807495A (zh) * 2016-06-02 2018-03-01 富士軟片股份有限公司 圖案製造方法、半導體裝置的製造方法及積層體
TW201842573A (zh) * 2017-02-17 2018-12-01 美商蘭姆研究公司 半導體裝置製造中之氧化錫膜

Also Published As

Publication number Publication date
US20210375615A1 (en) 2021-12-02
TW202147024A (zh) 2021-12-16
US11923198B2 (en) 2024-03-05
EP3919979A1 (en) 2021-12-08

Similar Documents

Publication Publication Date Title
US8465903B2 (en) Radiation patternable CVD film
KR102464290B1 (ko) 향상된 패터닝을 위한 라인-에지-거칠기 감소를 위한 등각적 스트립퍼블 탄소 막
US11705332B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) Method of manufacturing a semiconductor device
US20220005687A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR20220011589A (ko) 반도체 통합 막들의 증착
TWI774345B (zh) 形成無光阻圖案遮罩的結構和方法
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230259043A1 (en) Structures and methods for use in photolithography
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
KR102630481B1 (ko) 반도체 디바이스 제조 방법
US12002675B2 (en) Photoresist layer outgassing prevention
US20230375920A1 (en) Method of manufacturing a semiconductor device
US20220291587A1 (en) Method of manufacturing a semiconductor device
US20240053684A1 (en) Cyclic Method for Reactive Development of Photoresists
WO2024030275A1 (en) Metal oxide resists for euv patterning and methods for developing the same
KR20230023579A (ko) 패터닝된 구조체를 형성하는 방법
KR20240011641A (ko) 마스크 형성을 위한 이차 레지스트 표면 기능화를 이용하는패터닝 방법
TW202321833A (zh) 用於多色曝光之局部陰影遮罩法