TWI768110B - 具備吸附力控制的靜電吸附基板支撐件 - Google Patents

具備吸附力控制的靜電吸附基板支撐件 Download PDF

Info

Publication number
TWI768110B
TWI768110B TW107129931A TW107129931A TWI768110B TW I768110 B TWI768110 B TW I768110B TW 107129931 A TW107129931 A TW 107129931A TW 107129931 A TW107129931 A TW 107129931A TW I768110 B TWI768110 B TW I768110B
Authority
TW
Taiwan
Prior art keywords
substrate
substrate support
sensor
adsorption
support
Prior art date
Application number
TW107129931A
Other languages
English (en)
Other versions
TW201921581A (zh
Inventor
威德格恩 波亞二世
吉姆鍾儀 何
丁振文
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201921581A publication Critical patent/TW201921581A/zh
Application granted granted Critical
Publication of TWI768110B publication Critical patent/TWI768110B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24507Intensity, dose or other characteristics of particle beams or electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)
  • Drying Of Semiconductors (AREA)
  • Mechanical Engineering (AREA)

Abstract

本文描述的實施例提供用以藉由在基板處理期間監測及控制一基板的偏斜量(因此還有在該基板與一基板支撐件之間的接觸力),來減少或大致免除對基板之非有效表面的非所欲刮傷的方法及設備。在一實施例中一種用於處理一基板的方法包括下列步驟:將該基板定位在一基板支撐件的一有圖案表面上,其中該基板支撐件經設置在一處理腔室的一處理容積中,對設置在該基板支撐件中的一吸附電極施加一吸附電壓;流通一氣體至設置在該基板及該基板支撐件之間的一背側容積中,監測該基板的一偏斜量,及基於該基板的該偏斜量來改變一吸附參數。

Description

具備吸附力控制的靜電吸附基板支撐件
本文所述實施例概略關於半導體元件製造,且特定地,關於用以控制在靜電吸附(electrostatic chucking,ESC)基板支撐件與其上設置的基板之間的接觸力的方法及設備。
靜電吸附(ESC)基板支撐件(廣泛熟知為靜電卡盤)被使用在半導體元件製造中,以靜電吸附(ESC)力作為手段,牢固地將一基板保持在處理腔室之處理容積內的一處理位置中。吸附力是提供給嵌入在該基板支撐件的介電材料中之一吸附電極的DC(直流)電壓、與經設置在該介電材料的一表面上的一基板之間的位能的函數。
在處理腔室之處理容積中存在的低壓大氣,導致基板支撐件之介電材料與基板之間的不良熱傳導。不良熱傳導降低了基板支撐件在加熱或冷卻基板以將該基板維持於所欲溫度(或在一所欲溫度範圍內)上的有效程度。因此,在一些製程中,將一導熱的惰性氣體(例如氦)導入設置在該基板之非有效(non-active)表面與該基板支撐件之間的一背側容積中,以改善兩者之間的熱轉移。相較於處理容積中的壓力(處理壓力),該背側容積的壓力(背側壓力)越高,則在該基板上施加一背側力,其相反於由吸附電極所施加的吸附力。吸附力與背側力之間的差包含在基板與基板支撐件表面之間的接觸力。
不幸地,在基板與基板支撐件表面之間的過量接觸力導致在基板之非有效表面上的非所欲刮傷(或孔蝕)、基板支撐件之介電材料的非所欲磨損、或兩者。從該些刮傷或從基板支撐件之磨損介電材料產生的微粒材料最終從基板支撐件或基板的非有效表面轉移至該基板的有效表面或至其他基板。此種微粒轉移(其可能發生在腔室中或在後續的運送及處理操作中)最終抑制了從具有移轉來之微粒的該些基板而生的裝置良率(device yield)。
因此,本領域中需要有改善的方法及設備,來控制靜電吸附(ESC)基板支撐件與其上設置之基板之間的接觸力。
本文描述的實施例有關用來監測並控制基板與和該基板直接接觸之基板支撐件的升高表面之間的接觸力的方法及設備。
在一實施例中一種用於處理一基板的方法包括下列步驟:將該基板定位在一基板支撐件的一有圖案表面上,其中該基板支撐件經設置在一處理腔室的一處理容積中,對設置在該基板支撐件中的一吸附電極施加一吸附電壓;流通一氣體至設置在該基板及該基板支撐件之間的一背側容積中,監測該基板的一偏斜量,及基於該基板的該偏斜量來改變一吸附參數。
在另一實施例中一種電腦可讀取媒體具有指令儲存於其上,該些指令用於一種用於處理一基板的方法。該方法包括下列步驟:將該基板定位在一基板支撐件的一有圖案表面上,其中該基板支撐件經設置在一處理腔室的一處理容積中,對設置在該基板支撐件中的一吸附電極施加一吸附電壓;流通一氣體至設置在該基板及該基板支撐件之間的一背側容積中,監測該基板的一偏斜量,及基於該基板的該偏斜量來改變一吸附參數。
在另一實施例中,一種用於處理一基板的設備配備有一基板支撐件及一感測器,該感測器至少局部地設置在該基板支撐件中。該基板支撐件包括一有圖案表面,該有圖案表面具有從該有圖案表面之一凹陷表面延伸出的升高特徵。該等升高特徵的一接觸基板表面面積比一將被處理之基板之一非裝置側表面面積的大約30%更小。該感測器經配置以監測其上被施加一吸附力的一將被處理之基板的一偏斜量。
本文描述的實施例有關用來監測並控制基板與和該基板直接接觸之基板支撐件的升高表面之間的接觸力的方法及設備。特定言之,本文中的實施例使用設置在基板支撐件中的感測器以及本文中所述之方法,藉由從一被吸附基板的未經吸附基板平面監測該基板的偏斜量,來依所欲地控制接觸力。
典型地,在電漿處理腔室之處理容積中的低壓大氣導致基板與處理期間其上設置該基板的ESC基板支撐件之介電材料之間的不良熱傳導。為了改善基板與ESC基板支撐件之間的熱轉移,一導熱惰性氣體(像是氦)被導入設置在該基板與該ESC基板支撐件之間的基板背側容積中。通常,背側容積中的氣體的壓力將超過處理容積的氣體壓力。因此,由吸附電極對基板施加的吸附力必須超過由背側容積中之氣體壓力在該基板上所施的力,以避免基板在卡盤上移動。將基板朝基板支撐件拉的吸附力、與將該基板自該基板支撐件推走的背側力(由背側容積中之氣體壓力在該基板上所施的力)之間的差異,在該基板與和該基板直接接觸的基板支撐件表面之間產生了接觸力。
當接觸力顯著地超過要將基板牢固地保持該基板就定位以供處理所必要的最小接觸力時,在基板與基板支撐件之間造成過量的接觸力。在基板與基板支撐件之間的過量接觸力導致在基板之非有效表面上的非所欲刮傷(或孔蝕)與基板支撐件之基板支撐表面的非所欲磨損中之一或兩者。從刮傷基板所產生的材料成為在基板之非有效表面上與在基板支撐件上的活動顆粒(loose particles)。該些活動顆粒在運送或後續處理期間最終轉移到該基板的有效表面或是到另一基板。此種在基板之有效表面上增加的缺陷度負面地影響了來自基板的裝置良率。進一步,基板支撐件之表面的非所欲磨損減少了靜電卡盤的使用壽命。因此,本文中提供的設備及方法促成了對基板支撐件與其上所設置之基板之間接觸力的原位監測及控制。
第1A圖是按照一實施例之電漿處理腔室的示意性截面圖,該電漿處理腔室經配置以實現本文闡述的方法。第1B圖是設置在第1A圖中所示之該基板支撐件之一部分(及其上設置之該基板)的放大圖。第1C圖是第1A圖中所示之基板支撐件在其上沒有設置基板下的示意性平面圖。
在此實施例中,該處理腔室是一電漿蝕刻處理腔室,像是反應性離子蝕刻(RIE)電漿腔室。在其他實施例中,該處理腔室是一電漿加強沉積腔室,例如電漿加強化學氣相沉積(PECVD)腔室、電漿加強物理氣相沉積(PEPVD)腔室、或電漿加強原子層沉積(PEALD)腔室。在其他實施例中,該處理腔室是一電漿處置腔室,或是一基於電漿之離子植入腔室,例如電漿摻雜(PLAD)腔室,或是物理氣相沉積腔室。在本文中,處理腔室包括一感應耦合電漿(ICP)源,其電耦接至一射頻(RF)電力供應。在其他實施例中,該電漿源是一電容耦合電漿(CCP)源,像是設置在處理容積中面向該基板支撐件的一電漿電極,其中該電漿電極電耦接至一RF電力供應。
處理腔室100配有一腔室體102,該腔室體包括一腔室蓋104、一或更多個側壁106、及一腔室底部108,以上一起界定了一處理容積110。處理容積110流體耦接至一處理氣體來源112,該處理氣體來源將處理氣體傳送至處理容積110中。處理腔室100進一步包括一電漿生成器114,該電漿生成器114經配置以從該些處理氣體點燃並維持一電漿116。電漿生成器114包括設置在處理容積110外側接近腔室蓋104的一或更多個感應線圈118。一或更多個感應線圈118通過一RF匹配電路122電耦接至一RF電力供應120。電漿生成器114被用來利用處理氣體及感應線圈118產生的感應能量來點燃並維持電漿116,感應線圈118是由RF電力供應120所供電。在此,處理容積110流體耦接至一真空源,像是一或更多個專用真空泵,以將處理容積110維持於次大氣壓力並從處理容積110排空處理氣體及其他氣體。
在本文的實施例中,處理腔室100進一步包括設置在處理容積110中的一基板支撐組合件124。基板支撐組合件124經設置在一可移動支撐軸126上,可移動支撐軸126密封地延伸通過腔室底部108,比方在腔室底部108下方的一區域中或是在基板支撐組合件124與腔室底部108之間設置的一區域中被一伸縮軟管(未圖示)圍繞。
基板支撐組合件124包括一冷卻底座128及熱耦合至冷卻底座128且設置在冷卻底座128上的一基板支撐件130。冷卻底座128被用來在基板處理期間調節基板支撐件130的溫度,因此還有設置在基板支撐件130上之基板132的溫度。在一些實施例中,冷卻底座128包括設置在其中的一或更多冷卻通道134,該些冷卻通道流體耦接至一冷卻劑來源(未圖示)或與一冷卻劑來源流體連通,該冷卻劑來源像是冷凍劑來源或是具有相對較高之電阻值的改良水來源。典型地,冷卻底座128由一抗蝕導熱的材料形成,像是抗蝕金屬(例如鋁、鋁合金、或不鏽鋼)。基板支撐件130從介電材料形成,像是大塊燒結陶瓷材料,像是碳化矽(SiC)或金屬氧化物或金屬氮化物陶瓷材料,例如氧化鋁(Al2O3)、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、氧化釔(Y2O3)、其等之混合物,及以上的組合。基板支撐件130是藉由黏著劑或藉由機械手段熱耦合至冷卻底座。
在一些實施例中,基板支撐件130包括經嵌入在基板支撐件130之介電材料中的一加熱元件136。加熱元件136乃用以在處理之前加熱基板支撐件130(因此還有基板132)至一所欲溫度,以及將基板132在處理期間維持於一所欲溫度。在一些實施例中,基板支撐組合件124包括加熱元件136及冷卻通道134兩者,以促進基板支撐件溫度的精細控制。
本文中,基板支撐件130包括一或更多個吸附電極138a~b,該一或更多個吸附電極嵌入在基板支撐件130之介電材料中。一或更多個吸附電極138a~b被用以藉由在基板132與吸附電極138之間提供位能,來固定基板132至基板支撐件130。基板132與一或更多個吸附電極138a~b之間的位能造成基板132與一或更多個吸 附電極138a~b之間的靜電吸附(ESC)吸引力。本文中,一或更多個吸附電極138a~b電耦接至一相應的吸附電力供應140a~b(像是DC電力供應),該吸附電力供應對該吸附電極提供在大約-5000V與大約+5000V之間的吸附電壓。
在一些實施例中,基板支撐件130包含嵌入在該基板支撐件之介電材料中的至少兩個電極(像是一第一電極138a及一第二電極138b)。在該些實施例中,該至少兩個電極138a~b藉由其中間設置的基板支撐件130之介電材料而彼此電性隔絕。在該些實施例的部分中,該等電極之各者耦接至一對應的DC電力供應140a~b,其中該等對應的DC電力供應140a~b之各者對其個別耦接至的第一及第二電極138a~b提供彼此具相反極性的一電壓。
典型地,基板132通過一或更多個側壁106中之一者中的一開口(未圖示)載入處理容積110中,該開口通常在基板處理期間藉一門或一閥(未圖示)所密閉。複數個升舉銷142可移動地設置為穿過基板支撐組合件124,以促進將基板132傳送至基板支撐組合件124或自基板支撐組合件124傳送。當複數個升舉銷142在升高的位置中時,它們延伸到基板支撐件130的一有圖案表面144上方,從該有圖案表面升起基板132並使能藉由一機械運送器(未圖示)觸及基板132。當複數個升舉銷142在降低的位置中時,複數個升舉銷142的上表面與基板支撐件130之有圖案表面144以及其上靠置的基板132齊平(或是設置在基板支撐件130之有圖案表面144以及其上靠置的基板132下方)。
基板支撐件130的有圖案表面144(顯示在第1C圖的示意性平面圖中)包括從該有圖案表面之一凹陷表面146延伸的複數個升高特徵。該等升高特徵形成當一基板設置在其上時的接觸基板表面。在本文中,該等升高特徵包括複數個突起部148、設置在接近基板支撐件130之周圍的一或更多個密封帶150a~b、及圍繞複數個相應的升舉銷開口154(顯示在第1C圖中)沿周圍地且同軸地設置的複數個密封唇152。當基板132被吸附至基板支撐件130時,複數個突起部148(至少)保持基板132與凹陷表面146有間隔。當基板132被吸附至基板支撐件130,該複數個升高特徵、凹陷表面146、及基板132的非裝置側表面一起界定了一背側容積156的邊界表面。在基板處理期間,一熱轉移氣體(在本文中為導熱的惰性氣體,例如氦或氬)被用來藉由促進基板132與基板支撐件130之間的熱轉移,以將基板132熱耦合至基板支撐件130。在一些實施例中,熱轉移氣體被通過基板支撐件130中形成的複數個氣體埠158(第1C圖中所示)傳遞至背側容積156,該複數個氣體埠158與一背側氣體供應160流體連通。
在此,複數個突起部148包含具有平均直徑D1、中心到中心(CTC)間隔D2、及高度H(顯示在第1A圖中)的圓柱形狀的檯面,平均直徑D1在大約500 µm與大約5 mm,CTC間隔D2在大約1 mm與大約40 mm之間(像是大約5 mm與大約20 mm之間),高度H在大約3 µm與大約700 µm之間,像是在大約3 µm與大約100 µm之間,像是大約3 µm與大約50 µm之間,像是大約3 µm與20 µm之間,或大於大約3 µm。在其他實施例中,複數個突起部148包含延伸超出凹陷表面146以支撐基板132的任何其他適當的形狀,像是正方形或矩形的塊體、圓錐、楔形、金字塔形、釘柱、圓柱形小丘、或其他具有不同尺寸的突起部,或是以上的組合。
當基板132被吸附至基板支撐件130時,一或更多個密封帶150a~b及複數個密封唇152避免(或顯著地減縮)氣體從基板132與基板支撐件130之間的背側容積156流動至處理容積110中。一或更多個密封帶150a~b包括第一密封帶150a及第二密封帶150b。第一密封帶150a圍繞有圖案表面144的中心同心地置放並接近有圖案表面144的外周圍。第二密封帶150b自第一密封帶150a朝內徑向同心地置放並接近第一密封帶150a。一或更多個密封帶150a~b典型具有大致矩形的截面輪廓線,具有高度H及在大約500 µm與大約5 mm之間的寬度。
在此,複數個密封唇152包含圍繞個別的升舉銷開口154(第1C圖中所示)之中心軸共軸地設置的環形圈,該些升舉銷開口154乃通過基板支撐件130之介電材料形成。複數個密封唇152典型具有大致矩形形狀的截面輪廓線,跨於該環形圈的一內直徑與一外直徑,具有高度H及大約500 µm與大約5 mm之間的寬度。
在一些實施例中,基板支撐件130是一低接觸表面面積的基板支撐件。例如,在一些實施例中,該複數個升高特徵的接觸基板表面總和地包含一接觸表面面積,該接觸表面面積為小於該複數個升高特徵上設置的一將被處理基板之非裝置側表面面積的大約30%。在一些實施例中,該接觸表面面積為小於一將被處理基板之非裝置側表面面積的大約20%,像是小於大約15%、小於大約10%、小於大約5%、或例如小於大約3%。
減少基板132之非裝置側表面與有圖案表面144之間的接觸面積具有所欲的效果,就是減少暴露至可能造成刮傷的狀態(像是在吸附及解除吸附基板132的期間由於兩者間的實體接觸所致的刮傷)的非裝置側基板的表面面積。然而,減少基板132之非裝置側表面與有圖案表面144之間的接觸面積增加了在相同的(或大致相同的)吸附力條件下兩者之間於基板接觸表面處的接觸力。比較起經配置在基板132與有圖案表面144之間有較高接觸面積的基板支撐件,增加的接觸力造成基板132之非裝置側表面中的非所欲的較深刮傷或坑洞及/或有圖案表面144之升高特徵的非所欲的增加磨損。往往,在基板支撐件之使用壽命之內,基板支撐件與基板支撐件的製造變異性或變化致使從一給定吸附電壓所實現之吸附力的變化。因此,在本文的實施例中,基板支撐件130進一步包括一或更多個感測器(像是感測器162),其經使用來測量當基板132被施加一吸附電壓時基板132之偏斜量中的變化。感測器162可為適合用於偵測基板之非裝置側表面相對於基板支撐件130之凹陷表面146的鄰近範圍中之變化的任何感測器。在一些實施例中,感測器162是包含複數個光纖的一光纖感測器(像是光纖強度感測器)或是包含一或更多個光纖的光纖干涉儀。
在此,感測器162包括輻射源164、輻射偵測器166(像是強度偵測器)、感測器終端168、及將輻射源164與輻射偵測器166耦接至感測器終端168的光纖纜線172。在一些實施例中輻射源164提供紅外線輻射,其具有波長在大約600 nm與大約1700 nm之間。典型地,感測器終端168經設置在基板支撐件130之凹陷表面146中形成的一開口170(第1C圖中所示)中。在一些實施例中,開口170延伸通過基板支撐件130並具有在大約1 mm與大約10 mm之間的一寬度W(第1C圖中所示)。在一些實施例中,開口170流體耦接至背側氣體供應160,且背側氣體通過開口170被傳遞至背側容積156。
在此,光纖纜線172包括用於從輻射源164傳送輻射(例如光)至感測器終端168的一或更多個傳送光纖174,以及用於從感測器終端168傳送輻射至輻射偵測器166的一或更多個接收光纖176。在一些實施例中,基板支撐件130進一步包括一透明插入件178,像是石英或藍寶石窗(第1B圖中所示),被設置在有圖案表面144與感測器162之間。在此,透明插入件178與基板支撐件130之凹陷表面146齊平或是設置在基板支撐件130之凹陷表面146下方。典型地,感測器162經利用一或更多個安裝部件牢固地定位在開口170的壁中,該一或更多個安裝部件像是複數個安裝彈簧179(第1B圖中所示)。在一些實施例中,感測器終端168進一步包括經定位接近感測器終端之末端的一或更多個透明部件301(第3A~3B圖中所示)。在一些實施例中,該一或更多個透明部件301包含下列之一個、複數個、或其組合:光學過濾器(像是帶通濾光片或波通濾光片,例如二向色濾光片或二向色鏡)、用於將通過透明部件傳送之輻射發散或聚焦的透鏡、或用於改變通過透明部件傳送的光的偏光狀態的相位延遲片或減速片。
在此,感測器162從設置於接近有圖案表面144處之一或更多個傳送光纖174的一末端發射輻射。感測器162接著測量由基板132之非裝置側表面反射之輻射的一屬性。典型地,被反射的輻射由設置於接近有圖案表面144處之一或更多個接收光纖176的末端(一或多個)所接收。被反射的輻射接著通過一或更多個接收光纖176被傳送至輻射偵測器166,而輻射偵測器166測量該被反射的輻射的一屬性。例如,在一些實施例中輻射偵測器166經配置以測量其中被接收之輻射的下列之任一者或其組合:波長、相位、強度、頻率、帶寬、或干涉圖案。在一些實施例中,感測器162是一光纖強度感測器,其經配置以測量由基板支撐件上設置之基板所反射的輻射的強度。在其他實施例中,感測器162是一干涉儀(像是光纖干涉儀或自混合干涉儀),其整個或局部地被設置在基板支撐件130中形成之開口170內。
典型地,由基板132反射、並由輻射偵測器166測量之輻射的一或多個屬性,被傳達給一系統控制器180。系統控制器180被用來調整一吸附參數(像是吸附電壓、背側容積156中的氣體壓力、或其組合),以維持基板132與有圖案表面144之升高特徵之間的一所欲接觸力。一種用於維持基板132與有圖案表面144之升高特徵之間的所欲接觸力的方法經闡述在第2圖中。
在一些實施例中(例如其中基板支撐件130配備有至少兩個電極138a~b的實施例),基板支撐件130包含複數個感測器162,在該些實施例之部分中各感測器162的對應感測器終端168各經定位以測量基板132朝向凹陷表面146之一對應區域的偏斜量。在該些實施例的部分中,系統控制器180可被用以獨立地調整被提供給吸附電極138a~b之各者的吸附電壓。
在本文中,系統控制器180包括一可程式化的中央處理單元(CPU)182,該CPU為可藉記憶體184 (例如非揮發性記憶體)及支援電路186操作。支援電路186通常耦合至CPU 182,並包含耦合至處理腔室100之不同組件的快取、時脈電路、輸入/輸出次系統、電力供應、及類似者,及以上的組合,用以促進對處理腔室100之不同組件的控制。CPU 182是使用在工業設定中之任何類型通用電腦處理器之一,像是可程式化邏輯控制器(PLC),用於控制處理腔室100的不同組件及次處理器。耦合至CPU 182的記憶體184是非暫態的且常見為一或更多個市面可購得記憶體,像是隨機存取記憶體 (RAM)、唯讀記憶體(ROM)、軟碟機、硬碟、或任何其他類型的數位儲存器(本機的或遠端的)。
典型地,記憶體184是包含指令之電腦可讀取儲存媒體的形式(例如非揮發性記憶體),當該等指令被CPU 182執行時促進處理腔室100的操作。記憶體184中的指令是程式產品的形式,像是實施本揭示案之方法的程式。程式碼可符合數種不同程式語言的任意者。在一例中,本揭示案可經實施成儲存在供以電腦系統使用之電腦可讀取儲存媒體上的一程式產品。該程式產品的程式界定了本案實施例(包括本文中所述方法)的功能。
例示性電腦可讀取儲存媒體包括(但不限於):(i)非可寫入儲存媒體(例如電腦內的唯讀記憶體裝置,像是可由CD-ROM光碟機讀取的CD-ROM碟片、快閃記憶體、ROM晶片或任何類型之固態非揮發性半導體記憶體),資訊經永久儲存在其上;及(ii)可寫入儲存媒體(例如軟碟機內的軟碟片或硬碟機或任何類型的固態隨機存取半導體記憶體),在其上儲存可變更的資訊。此種電腦可讀取儲存媒體在載有指揮了本文所述方法之功能的電腦可讀取指令時,為本揭示案的實施例。在一些實施例中,本文中所述方法(或其部分)由一或更多個應用特定積體電路(ASIC)、現場可程式化閘極陣列(FPGA)、或其他類型之硬體實施方式所執行。在一些其他實施例中,本文中所述程序被軟體常式、ASIC、FPGA、及(或)其他類型之硬體實施方式的組合所執行。本文中,系統控制器180被用來利用第2圖中闡述的方法控制基板之非裝置側表面與基板支撐件之有圖案表面之間的接觸力。
第2圖是闡述按照一實施例之處理基板的方法的流程圖。第3A~3B圖描繪第2圖所闡述之方法的元素。
於活動201處方法200包括將一基板定位在一基板支撐件的一有圖案表面上。在此,該基板支撐件經設置在一處理腔室的一處理容積中,例如第1A圖中所述基板支撐件及處理腔室。於活動202及203處方法200分別包括流通一或更多個處理氣體至該處理容積中以及形成該一或更多個處理氣體的一處理電漿。如第3A圖中所示,一未經吸附基板(在此為在對基板132上施加吸附力之前或之後經定位在基板支撐件130上的基板132)具有大致平坦的表面跨於相鄰突起部148之間的跨距,使得凹陷表面146與基板132之非裝置側表面之間的一未經吸附距離Z1 大約相同於從該凹陷表面延伸出之突起部148的高度H(第1A圖中所示)。
活動204及205包含將該基板吸附至該基板支撐件。於活動204處方法200包括施加一吸附電壓至設置在該基板支撐件中的一吸附電極,以在該基板上施加一吸附力。於活動205處方法200包括流通一背側氣體(常見地為像是氦或氬之導熱的惰性氣體)至設置在基板132與基板支撐件130之間的背側容積156中。
本文中,對吸附電極施加吸附電壓則將基板朝基板支撐件的凹陷表面拉,而背側容積中的氣體的壓力推動該基板遠離該凹陷表面。為了讓基板不要在基板支撐件上移動,且在一些實施例中為了流體隔絕該背側容積與該處理容積,每單位基板面積的吸附力必須超過背側容積中的氣體壓力。如此造成該基板自未經吸附基板平面(第3A圖中所示)偏斜一偏斜距離ΔZ(顯示在第3B圖中)。
於活動205處方法200包括監測設置在基板支撐件130上之基板132的偏斜量ΔZ。典型地,監測基板的偏斜量ΔZ的步驟包含測量由設置在基板支撐件130中的感測器或感測器的一部件(例如感測器終端168)所接收到的輻射的波長、相位、強度、頻率、帶寬、干涉圖案、或以上的組合,像是第1A~1C圖中所述。
於活動206處方法200包括基於基板132之偏斜量ΔZ來改變一吸附參數。在一些實施例中,改變一吸附參數的步驟包含改變吸附電壓、改變背側容積中第二氣體的壓力、同時地改變吸附電壓與背側容積中第二氣體的壓力、依序地改變吸附電壓與背側容積中第二氣體的壓力,或以上的組合。在一些實施例中,改變一吸附參數的步驟包括維持基板之非裝置側表面的每單位面積吸附力與背側容積中的氣體的壓力之間的差異在小於大約1托(Torr)或在大約0.25托與大約1托之間。
本文描述的實施例提供用以藉由在基板處理期間監測及控制一基板的偏斜量(因此還有在該基板與一基板支撐件之間的接觸力),來減少或大致免除對基板之非有效表面的非所欲刮傷的方法及設備。
儘管以上所述乃針對本揭示案的特定實施例,但將理解這些實施例僅例示了本發明的原理及應用。因此,將理解可對該些例示性實施例進行數種修改而達成其他實施例,沒有背離本發明的精神與範疇(如隨附申請專利範圍所界定者)。
100‧‧‧處理腔室 102‧‧‧腔室體 104‧‧‧腔室蓋 106‧‧‧側壁 108‧‧‧腔室底部110‧‧‧處理容積112‧‧‧處理氣體來源114‧‧‧電漿生成器116‧‧‧電漿118‧‧‧感應線圈120‧‧‧RF電力供應122‧‧‧RF匹配電路124‧‧‧基板支撐組合件126‧‧‧可移動支撐軸128‧‧‧冷卻底座130‧‧‧基板支撐件132‧‧‧基板134‧‧‧冷卻通道136‧‧‧加熱元件138a‧‧‧吸附電極/第一電極138b‧‧‧吸附電極/第二電極140a、140b‧‧‧DC電力供應142‧‧‧升舉銷144‧‧‧有圖案表面146‧‧‧凹陷表面148‧‧‧突起部150a‧‧‧第一密封帶150b‧‧‧第二密封帶152‧‧‧密封唇154‧‧‧升舉銷開口156‧‧‧背側容積158‧‧‧氣體埠160‧‧‧背側氣體供應162‧‧‧感測器164‧‧‧輻射源166‧‧‧輻射偵測器168‧‧‧感測器終端170‧‧‧開口172‧‧‧光纖纜線174‧‧‧傳送光纖176‧‧‧接收光纖178‧‧‧透明插入件179‧‧‧安裝彈簧180‧‧‧系統控制器182‧‧‧中央處理單元(CPU)184‧‧‧記憶體186‧‧‧支援電路200‧‧‧方法201~208‧‧‧活動301‧‧‧透明部件D1‧‧‧平均直徑D2‧‧‧中心到中心(CTC)間隔H‧‧‧高度W‧‧‧寬度ΔZ‧‧‧偏斜量
Z1:未經吸附距離
為使本揭示文件的上述特徵能被詳細地了解,以上所簡短摘要的本揭示文件內容,將參照實施例給出更特定的說明,部分的實施例於隨附圖式中描繪出。然而,將注意隨附圖式僅描繪例示性的實施例,因此不應被認為限制了其範疇,且可以承認其他同等有效的實施例。
第1A圖是按照一實施例之基板處理腔室的示意性截面圖,該基板處理腔室經配置以實現本文闡述的方法。
第1B圖是設置在第1A圖中所示之處理腔室中的基板支撐件之一部分的放大圖。
第1C圖是第1A圖中所示之基板支撐件在其上沒有設置基板下的示意性平面圖。
第2圖是闡述按照一實施例之處理基板的方法的流程圖。
第3A~3B圖描繪按照一實施例,在第2圖所闡述之方法的元素。
為了促進理解,在可能之處使用相同的參考元件符號來指稱該些圖式中共有的相同元件。可以想到在一實施例中揭露的元件及特徵可能有益地併入沒有特別說明的其他實施例中。
130:基板支撐件
132:基板
146:凹陷表面
148:突起部
156:背側容積
168:感測器終端
174:傳送光纖
176:接收光纖
178:透明插入件
179:安裝彈簧
301:透明部件
△Z:偏斜量
Z1:未經吸附距離

Claims (20)

  1. 一種用於處理一基板的設備,該設備包含一基板支撐組合件,該設備包含:一基板支撐件,該基板支撐件包含介電材料,該介電材料具有一感測器開口貫穿形成於其中,其中該介電材料的一表面經圖案化以形成一凹陷表面及從該凹陷表面向上延伸的複數個升高特徵,且該複數個升高特徵的各個基板接觸表面係彼此共平面;及一感測器,該感測器包含:一感測器終端,該感測器終端設置在該感測器開口內,該感測器終端包含一透明部件,該透明部件設置在接近於該凹陷表面的該感測器終端的一末端,其中該感測器終端係與該感測器開口的一壁間隔開,以界定了在其之間的一縫隙,該感測器終端係利用一或多個安裝部件固定位在該感測器開口的該壁,且該一或多個安裝部件係配置以允許傳送至該感測器開口的一氣體繞著該感測器終端流動而朝向該凹陷表面;一輻射源;一輻射偵測器;以及 複數個光纖將該輻射源與輻射偵測器耦接至該感測器終端。
  2. 如請求項1所述之設備,其中該感測器經配置以測量由設置在該基板支撐件上的一表面所反射之輻射的波長、相位、強度、頻率、帶寬、干涉圖案、或以上之組合。
  3. 如請求項1所述之設備,進一步包含一處理腔室,其中該基板支撐件經設置在該處理腔室的一處理容積中。
  4. 如請求項1所述之設備,其中該透明部件包含一帶通濾光片、波通濾光片、相位延遲片、或一減速片、或以上之組合。
  5. 如請求項1所述之設備,進一步包含一電腦可獨媒體,該電腦可讀取媒體具有指令儲存於其上,該等指令用於處理一基板的一方法,該方法包含下列步驟:(a)將該基板定位在該基板支撐件上,其中該基板具有一支撐件面對表面及該複數個升高特徵,該複數個升高特徵保持該支撐件面對表面遠離該基板支撐件的該凹陷表面,以界定出設於兩者之間的一背側氣體容積;(b)對設置在該基板支撐件的該介電材料中的一吸 附電極施加一吸附電壓;(c)使用流體耦接至該感測器開口的一背側氣體供應,傳送一背側氣體至該背側氣體容積;(d)藉由使用該感測器,確定該基板的從由該複數個升高特徵的該些基板接觸表面所界定的一平面的一偏斜量;以及(e)基於在步驟(d)中確定的該基板的該偏斜量來改變一或多個吸附參數。
  6. 如請求項1所述之設備,其中複數個氣體埠係形成在該基板支撐件內。
  7. 如請求項1所述之設備,其中該些升高特徵包含複數個突起部,該複數個突起部包含:一平均直徑在500μm與5mm之間;一中心到中心間隔在約1mm與約40mm之間;及一高度在約3μm與約700μm之間。
  8. 一種用於處理一基板的設備,該設備包含:一基板支撐件,包含具有一凹陷表面的一介電材料、彼此間隔開的複數個突起部,及圍繞該凹陷表面的一中心同心地置放的一或多個密封帶,其中該複數個突起部與該一或多個密封帶從該凹陷表面向上延伸,且 該複數個突起部與該一或多個密封帶的每一者都具有一基板接觸表面,其聯合地界定了一基板支撐平面;一吸附電極,嵌入在該介電材料中;一感測器組件,包含一感測器終端,該感測器終端設於貫穿形成於該基板支撐件的該介電材料的一開口內,該感測器終端包含一透明部件,該透明部件設置成接近於並從該凹陷表面凹下;以及一電腦可讀取媒體,該電腦可讀取媒體具有指令儲存於其上,該等指令用於一處理一基板的方法,該方法包含下列步驟:將該基板定位在該基板支撐件上,其中該基板的一支撐件面對表面、該凹陷表面、及該一或多個密封帶一起界定了一背側容積;對該吸附電極施加一吸附電壓;使用流體耦接至該開口的一氣體供應,傳送一氣體至該背側容積;使用該感測器,確定該基板的從該基板支撐平面的一偏斜量;以及基於該基板的經確定的該偏斜量來調整一或多個吸附參數。
  9. 如請求項8所述之設備,其中該基板的該支撐 件面對表面具有一第一表面面積,該基板支撐件的一起的該些基板接觸表面具有一第二表面面積,且該第二表面面積比約30%的該第一表面面積還小。
  10. 如請求項8所述之設備,其中該感測器組件經配置以測量由該基板的該支撐件面對表面所反射之輻射的波長、相位、強度、頻率、帶寬、干涉圖案、或以上之組合。
  11. 如請求項8所述之設備,其中該感測器組件還包含一輻射源、一輻射偵測器、及複數個光纖,且其中該複數個光纖的末端經設置在接近於該透明部件的該感測器終端內。
  12. 如請求項8所述之設備,其中該透明部件包含一帶通濾光片、波通濾光片、相位延遲片、或一減速片的一組合或其中一者。
  13. 如請求項8所述之設備,其中複數個氣體埠係形成在該基板支撐件內。
  14. 如請求項8所述之設備,其中該方法包含下列步驟:基於該基板的該偏斜量,確定在一吸附壓力與一背側氣體容積壓力之間的一差,該吸附壓力包含該基板的每單位面積的該基板面對表面的一吸附力;以及調整一或多個吸附參數以保持在該吸附壓力與該背 側氣體容積壓力之間的該差在約1托或更小。
  15. 如請求項8所述之設備,其中該複數個突起部包含:一平均直徑在500μm與5mm之間;在相鄰突起部之間的一中心到中心間隔在約1mm與約40mm之間;及一高度在約3μm與約700μm之間。
  16. 如請求項8所述之設備,其中該複數個突起部包含延伸超出該凹陷表面以支撐該基板的矩形的塊體、圓錐、楔形、金字塔形、釘柱、圓柱形小丘、或其組合。
  17. 如請求項8所述之設備,其中該吸附電極是複數個吸附電極中的一者,且該方法包含步驟:獨立地施加個別的吸附電壓至該複數個吸附電極中的每一者。
  18. 如請求項9所述之設備,其中該第二表面面積比約10%的該第一表面面積還小。
  19. 如請求項5所述之設備,其中該方法包含下列步驟:(f)基於該基板的該偏斜量,確定在一吸附壓力與一背側氣體容積壓力之間的一差,該吸附壓力包含該基板的每單位面積的該基板面對表面的一吸附力;以及 (g)改變一或多個吸附參數以保持在該吸附壓力與該背側氣體容積壓力之間的該差在約1托或更小。
  20. 一種基板支撐組件,經大小設計以支撐具有一第一表面面積的一基板,該基板支撐組件包含:一基板支撐件,該基板支撐件包含一介電材料層及嵌入於該介電材料層的一吸附電極,該介電材料層具有一凹陷表面與複數個突起部,及從該凹陷表面向上延伸的一或多個密封帶,其中該複數個突起部係彼此間隔開,且該一或多個密封帶係圍繞該凹陷表面的一中心同心地置放,且該複數個突起部的上表面與該一或多個密封帶係彼此共平面,以界定出一基板支撐平面,該些上表面具有一聯合的第二表面面積,該聯合的第二表面面積比約10%的該第一表面面積還小,一感測器組件,經配置以確定一基板的從該基板支撐表面的一偏斜量,該感測器組件包含一感測器終端,設於貫穿形成於該介電材料層的一開口內,該感測器終端設置成接近於該凹陷表面;及複數個光纖,其中該複數個光纖的末端係設置在該感測器終端內, 且該感測器終端係與該開口的一側壁彼此間隔開以允許一氣體在其間流動。
TW107129931A 2017-08-29 2018-08-28 具備吸附力控制的靜電吸附基板支撐件 TWI768110B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762551747P 2017-08-29 2017-08-29
US62/551,747 2017-08-29

Publications (2)

Publication Number Publication Date
TW201921581A TW201921581A (zh) 2019-06-01
TWI768110B true TWI768110B (zh) 2022-06-21

Family

ID=65437733

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107129931A TWI768110B (zh) 2017-08-29 2018-08-28 具備吸附力控制的靜電吸附基板支撐件

Country Status (6)

Country Link
US (1) US11114327B2 (zh)
JP (1) JP7107972B2 (zh)
KR (3) KR102438574B1 (zh)
CN (1) CN110720137B (zh)
TW (1) TWI768110B (zh)
WO (1) WO2019046054A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112437533A (zh) * 2020-12-07 2021-03-02 大连理工大学 一种提高等离子体均匀性的电源系统及方法
WO2022146667A1 (en) * 2020-12-29 2022-07-07 Mattson Technology, Inc. Electrostatic chuck assembly for plasma processing apparatus
WO2022197361A1 (en) * 2021-03-19 2022-09-22 Applied Materials, Inc. Methods, systems, and apparatus for optically monitoring individual lamps

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080224364A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Method for flexing a substrate during processing
US20130021717A1 (en) * 2011-07-19 2013-01-24 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20150138687A1 (en) * 2013-11-19 2015-05-21 Applied Materials, Inc. Control systems employing deflection sensors to control clamping forces applied by electrostatic chucks, and related methods
TW201618214A (zh) * 2014-09-12 2016-05-16 應用材料股份有限公司 增加用於靜電夾盤的氣體效率

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04111339A (ja) * 1990-08-30 1992-04-13 Mitsubishi Electric Corp 半導体ウエハ用ステージ
US5094536A (en) 1990-11-05 1992-03-10 Litel Instruments Deformable wafer chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
JP2576711B2 (ja) * 1991-05-23 1997-01-29 富士通株式会社 半導体ウェーハ有無識別装置
JPH05129421A (ja) 1991-11-07 1993-05-25 Fujitsu Ltd 静電チヤツク
JPH06163674A (ja) 1992-11-18 1994-06-10 Hitachi Ltd 試料保持装置のモニタ方法
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5491603A (en) 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
JP3005461B2 (ja) 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
JP3245369B2 (ja) 1996-11-20 2002-01-15 東京エレクトロン株式会社 被処理体を静電チャックから離脱する方法及びプラズマ処理装置
US6075375A (en) 1997-06-11 2000-06-13 Applied Materials, Inc. Apparatus for wafer detection
US6950193B1 (en) 1997-10-28 2005-09-27 Rockwell Automation Technologies, Inc. System for monitoring substrate conditions
US5872694A (en) * 1997-12-23 1999-02-16 Siemens Aktiengesellschaft Method and apparatus for determining wafer warpage for optimized electrostatic chuck clamping voltage
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2000031252A (ja) 1998-07-08 2000-01-28 Mitsubishi Electric Corp 静電チャックを備えた半導体製造装置および静電チャックからのウエハ離脱方法
US6215640B1 (en) 1998-12-10 2001-04-10 Applied Materials, Inc. Apparatus and method for actively controlling surface potential of an electrostatic chuck
KR20010052595A (ko) * 1999-04-05 2001-06-25 조셉 제이. 스위니 전자 장치 제조시의 엔드포인트 검출
JP4236329B2 (ja) 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
US6430022B2 (en) 1999-04-19 2002-08-06 Applied Materials, Inc. Method and apparatus for controlling chucking force in an electrostatic
US6307728B1 (en) 2000-01-21 2001-10-23 Applied Materials, Inc. Method and apparatus for dechucking a workpiece from an electrostatic chuck
JP4754757B2 (ja) * 2000-03-30 2011-08-24 東京エレクトロン株式会社 基板のプラズマ処理を調節するための方法、プラズマ処理システム、及び、電極組体
JP2002009140A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 静電チャック装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6646857B2 (en) 2001-03-30 2003-11-11 Lam Research Corporation Semiconductor wafer lifting device and methods for implementing the same
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20020186934A1 (en) 2001-06-07 2002-12-12 Hug Norman L. Optical termination
US6632728B2 (en) 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6898064B1 (en) 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
KR20040000104A (ko) 2002-06-24 2004-01-03 삼성전자주식회사 웨이퍼 감지 센서의 정렬장치
US6938505B2 (en) 2002-08-13 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
US7663860B2 (en) 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
US7110122B2 (en) 2004-07-21 2006-09-19 Hewlett-Packard Development Company, L.P. Interferometer calibration methods and apparatus
US7292428B2 (en) 2005-04-26 2007-11-06 Applied Materials, Inc. Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
WO2007149733A2 (en) 2006-06-19 2007-12-27 Baker Hughes Incorporated Isolated sensor housing
KR101350145B1 (ko) 2006-11-29 2014-01-09 엘아이지에이디피 주식회사 리프트 핀을 이용한 기판 유무 판별장치 및 이를 이용한기판 반입방법과 검사방법
JP2010521820A (ja) 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
US20090034581A1 (en) 2007-08-02 2009-02-05 Tokyo Electron Limited Method for hot plate substrate monitoring and control
KR101514098B1 (ko) * 2009-02-02 2015-04-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치와 온도 측정 방법 및 장치
JP5484981B2 (ja) 2010-03-25 2014-05-07 東京エレクトロン株式会社 基板載置台及び基板処理装置
JP5871453B2 (ja) 2010-05-20 2016-03-01 東京エレクトロン株式会社 プラズマ処理装置,基板保持機構,基板位置ずれ検出方法
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
EP2676106B1 (en) 2011-02-18 2015-05-06 Parker-Hannifin Corporation Optical sensor and mounting interface
WO2013049586A1 (en) * 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck
JP6180909B2 (ja) 2013-12-06 2017-08-16 東京エレクトロン株式会社 距離を求める方法、静電チャックを除電する方法、及び、処理装置
US10879046B2 (en) 2015-09-11 2020-12-29 Applied Materials, Inc. Substrate support with real time force and film stress control
US10468290B2 (en) 2016-11-02 2019-11-05 Ultratech, Inc. Wafer chuck apparatus with micro-channel regions
US10879094B2 (en) 2016-11-23 2020-12-29 Applied Materials, Inc. Electrostatic chucking force measurement tool for process chamber carriers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080224364A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Method for flexing a substrate during processing
US20130021717A1 (en) * 2011-07-19 2013-01-24 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20150138687A1 (en) * 2013-11-19 2015-05-21 Applied Materials, Inc. Control systems employing deflection sensors to control clamping forces applied by electrostatic chucks, and related methods
TW201618214A (zh) * 2014-09-12 2016-05-16 應用材料股份有限公司 增加用於靜電夾盤的氣體效率

Also Published As

Publication number Publication date
JP7107972B2 (ja) 2022-07-27
CN110720137B (zh) 2023-08-15
CN110720137A (zh) 2020-01-21
TW201921581A (zh) 2019-06-01
KR20220123483A (ko) 2022-09-06
KR102438574B1 (ko) 2022-08-30
KR20230141943A (ko) 2023-10-10
KR102585068B1 (ko) 2023-10-04
US20190067070A1 (en) 2019-02-28
US11114327B2 (en) 2021-09-07
JP2020521321A (ja) 2020-07-16
WO2019046054A1 (en) 2019-03-07
KR20200008033A (ko) 2020-01-22

Similar Documents

Publication Publication Date Title
KR102549546B1 (ko) 플라즈마 프로세싱 챔버 내의 엘라스토머 시일의 수명을 연장시키는 크기로 형성된 에지 링
JP7362293B2 (ja) 閉ループチャッキング力制御を用いたリアルタイム監視
US11114326B2 (en) Substrate chucking and dechucking methods
TWI768110B (zh) 具備吸附力控制的靜電吸附基板支撐件
TWI666679B (zh) 電漿處理裝置及電漿處理方法
KR102130180B1 (ko) 디클램핑 전극을 포함하는 정전척 및 디클램핑 방법
KR101265807B1 (ko) 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템
US9358702B2 (en) Temperature management of aluminium nitride electrostatic chuck
US11302520B2 (en) Chamber apparatus for chemical etching of dielectric materials
US10654147B2 (en) Polishing of electrostatic substrate support geometries
TWI584371B (zh) 用於電漿切割半導體晶圓之方法和設備
US20190080949A1 (en) Soft chucking and dechucking for electrostatic chucking substrate supports
US11699611B2 (en) Forming mesas on an electrostatic chuck
KR20120116923A (ko) 각진 측벽을 가진 정전 척
JP2024511441A (ja) ウエハのチャッキング及びデチャッキングを検出する静電容量方式
KR20160124539A (ko) 플라즈마 장비