TWI765534B - 半導體裝置及其形成方法 - Google Patents
半導體裝置及其形成方法 Download PDFInfo
- Publication number
- TWI765534B TWI765534B TW110101713A TW110101713A TWI765534B TW I765534 B TWI765534 B TW I765534B TW 110101713 A TW110101713 A TW 110101713A TW 110101713 A TW110101713 A TW 110101713A TW I765534 B TWI765534 B TW I765534B
- Authority
- TW
- Taiwan
- Prior art keywords
- opening
- substrate
- forming
- dielectric layer
- layer
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 256
- 239000004065 semiconductor Substances 0.000 title claims abstract description 30
- 230000015572 biosynthetic process Effects 0.000 title description 17
- 239000000758 substrate Substances 0.000 claims abstract description 161
- 238000001465 metallisation Methods 0.000 claims abstract description 53
- 239000004020 conductor Substances 0.000 claims abstract description 49
- 238000005530 etching Methods 0.000 claims abstract description 46
- 230000004888 barrier function Effects 0.000 claims abstract description 42
- 238000000151 deposition Methods 0.000 claims abstract description 14
- 238000000059 patterning Methods 0.000 claims abstract description 12
- 239000011248 coating agent Substances 0.000 claims abstract description 7
- 238000000576 coating method Methods 0.000 claims abstract description 7
- 238000011049 filling Methods 0.000 claims abstract description 7
- 230000008569 process Effects 0.000 claims description 209
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 12
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical group N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 12
- 239000010410 layer Substances 0.000 description 291
- 238000002161 passivation Methods 0.000 description 89
- 235000012431 wafers Nutrition 0.000 description 42
- 229920002120 photoresistant polymer Polymers 0.000 description 40
- 239000000463 material Substances 0.000 description 28
- 238000012545 processing Methods 0.000 description 22
- 229910052751 metal Inorganic materials 0.000 description 20
- 239000002184 metal Substances 0.000 description 20
- 239000000356 contaminant Substances 0.000 description 17
- 239000008393 encapsulating agent Substances 0.000 description 14
- 238000007747 plating Methods 0.000 description 14
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 10
- 239000010949 copper Substances 0.000 description 10
- 229910052802 copper Inorganic materials 0.000 description 10
- 238000005538 encapsulation Methods 0.000 description 9
- 239000000126 substance Substances 0.000 description 9
- 239000007788 liquid Substances 0.000 description 8
- 241000724291 Tobacco streak virus Species 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 150000004767 nitrides Chemical class 0.000 description 7
- 238000005498 polishing Methods 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- 238000000227 grinding Methods 0.000 description 6
- 239000007769 metal material Substances 0.000 description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 6
- 239000005368 silicate glass Substances 0.000 description 6
- 238000004528 spin coating Methods 0.000 description 6
- 238000012360 testing method Methods 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 5
- 238000000137 annealing Methods 0.000 description 5
- 230000000903 blocking effect Effects 0.000 description 5
- 229910052737 gold Inorganic materials 0.000 description 5
- 239000010931 gold Substances 0.000 description 5
- 239000005360 phosphosilicate glass Substances 0.000 description 5
- 229910000679 solder Inorganic materials 0.000 description 5
- 229910052717 sulfur Inorganic materials 0.000 description 5
- 239000011593 sulfur Substances 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 230000009977 dual effect Effects 0.000 description 4
- 238000009713 electroplating Methods 0.000 description 4
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 239000005388 borosilicate glass Substances 0.000 description 3
- 238000007772 electroless plating Methods 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 238000004806 packaging method and process Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 229910052709 silver Inorganic materials 0.000 description 3
- 239000004332 silver Substances 0.000 description 3
- 229910052718 tin Inorganic materials 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 238000012795 verification Methods 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- 229910000881 Cu alloy Inorganic materials 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000000748 compression moulding Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 229920002577 polybenzoxazole Polymers 0.000 description 2
- 238000007639 printing Methods 0.000 description 2
- 239000000523 sample Substances 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- -1 silicon nitride Chemical compound 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 239000004593 Epoxy Substances 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910002808 Si–O–Si Inorganic materials 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 230000004927 fusion Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- MSNOMDLPLDYDME-UHFFFAOYSA-N gold nickel Chemical compound [Ni].[Au] MSNOMDLPLDYDME-UHFFFAOYSA-N 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- LQBJWKCYZGMFEV-UHFFFAOYSA-N lead tin Chemical compound [Sn].[Pb] LQBJWKCYZGMFEV-UHFFFAOYSA-N 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000010998 test method Methods 0.000 description 1
- 239000011135 tin Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/481—Internal lead connections, e.g. via connections, feedthrough structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/11—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L24/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L24/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L24/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L25/0657—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/50—Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0231—Manufacturing methods of the redistribution layers
- H01L2224/02311—Additive methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0231—Manufacturing methods of the redistribution layers
- H01L2224/02313—Subtractive methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0237—Disposition of the redistribution layers
- H01L2224/02372—Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0237—Disposition of the redistribution layers
- H01L2224/02379—Fan-out arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08151—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/08221—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/08225—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/1302—Disposition
- H01L2224/13024—Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L2224/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
- H01L2224/321—Disposition
- H01L2224/32135—Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/32145—Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80801—Soldering or alloying
- H01L2224/8082—Diffusion bonding
- H01L2224/8083—Solid-solid interdiffusion
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
- H01L2224/838—Bonding techniques
- H01L2224/83894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/83896—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06524—Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06541—Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
- H01L2225/06544—Design considerations for via connections, e.g. geometry or layout
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
實施例提供一種半導體裝置的形成方法,包括:在第一基底之上形成第一內連線結構,第一內連線結構中包括介電層及金屬化圖案;將第一內連線結構圖案化,以形成第一開口;使用障壁層塗佈第一開口;蝕刻出穿過障壁層及第一基底的被暴露出的部分的第二開口;在第一開口及第二開口中沈積襯層;使用導電材料填充第一開口及第二開口;以及對第一基底進行薄化,以暴露出第二開口中的導電材料的部分,延伸穿過第一內連線結構及第一基底的導電材料形成基底穿孔。
Description
本揭露的實施例是有關於一種半導體裝置及其形成方法。
半導體產業的快速發展來自於各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度持續提高。在很大程度上,積體密度提高起因於最小特徵尺寸(minimum feature size)的不斷減小,此使得更多組件能夠被整合至給定面積中。隨著電子裝置的持續微縮,對更小且更具創造性的半導體晶粒封裝技術的需求已浮現。此種封裝系統的實例是疊層封裝(Package-on-Package,PoP)技術。在PoP裝置中,頂部半導體封裝堆疊於底部半導體封裝頂上,以提供高積體度及高組件密度。PoP技術一般而言能夠生產功能得到增強且在印刷電路板(printed circuit board,PCB)上佔用更小面積的半導體裝置。
本揭露的一態樣提供一種半導體裝置的形成方法,包括:在第一基底之上形成第一內連線結構,所述第一內連線結構中包括介電層及金屬化圖案;將所述第一內連線結構圖案化,以形成第一開口;使用障壁層塗佈所述第一開口;蝕刻出穿過所述障壁層及穿進所述第一基底的被暴露出的所述部分的第二開口;在所述第一開口及所述第二開口中沈積襯層;使用導電材料填充所述第一開口及所述第二開口;以及對所述第一基底進行薄化,以暴露出所述第二開口中的所述導電材料的部分,延伸穿過所述第一內連線結構及所述第一基底的所述導電材料形成基底穿孔。
本揭露的另一態樣提供一種半導體裝置的形成方法,包括:在第一基底之上形成第一介電層,所述第一介電層中具有第一金屬化圖案。所述方法亦包括:在所述第一介電層之上形成第一圖案化遮罩。所述方法亦包括:使用所述第一圖案化遮罩作為遮罩執行第一蝕刻製程,所述第一蝕刻製程形成穿過所述第一介電層的第一開口,所述第一開口暴露出所述第一基底的第一部分。所述方法亦包括:使用所述第一圖案化遮罩作為遮罩執行第二蝕刻製程,所述第二蝕刻製程蝕刻所述第一基底的被暴露出的所述第一部分,以在所述第一基底中形成第二開口,所述第二開口具有與所述第一開口相同的直徑。所述方法亦包括:選擇性地在所述第一開口中所述第一介電層的被暴露出的側壁上沈積障壁層,所述第二開口的側壁不具有所述障壁層。所述方法亦包括:在所述第一開口中的所述障壁層上以及在所述第二開口中的所述
第一基底的側壁上形成襯層。所述方法亦包括:使用導電材料填充所述第一開口及所述第二開口。所述方法亦包括:對所述第一基底進行薄化,以暴露出所述第二開口中的所述導電材料的部分,延伸穿過所述第一介電層及所述第一基底的所述導電材料形成基底穿孔。
本揭露的又一態樣提供一種半導體裝置,包括:第一內連線結構,位於第一基底之上,所述第一內連線結構中包括介電層及金屬化圖案。所述結構亦包括:基底穿孔,延伸穿過所述第一內連線結構及所述第一基底,所述基底穿孔包括:導電材料,延伸穿過所述第一內連線結構及所述第一基底。所述結構亦包括:障壁層,位於所述第一內連線結構與所述導電材料之間。所述結構亦包括:襯層,位於所述障壁層與所述第一基底之間。
20A、20B、120A、120B、220A、220B、320A、320B:晶粒
22:基底
24、80、104:內連線結構
30:停止層
32、42、182:光阻
34、44、184、234、334:開口
36、38:烘烤製程
40:鈍化層
50:襯層
60:晶種層
62:導電材料
64A、64B、164A、164B、264A、264B、364A、364B:基底穿孔(TSV)
82、84:介電層
86:金屬化圖案及通孔
88:鈍化層
90:介電層
92:結合墊通孔
94、106:結合墊
100:封裝結構
102:基底
108:介面
110:包封體
112:重佈線結構
114:凸塊
116:導電連接件
180:鈍化層
181:氣隙/空隙
d1:深度
t:厚度
w1、w2:寬度
結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1至圖19根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖20根據另一實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖21至圖25根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖26根據另一實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖27至圖33根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖34根據另一實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖35至圖36根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖37根據另一實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖38至圖43根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖44根據另一實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖45至圖46根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖47根據另一實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖48至圖53根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖54根據另一實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖55至圖56根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖57根據另一實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
以下揭露提供用於實施本揭露的不同特徵的許多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於...之下(beneath)」、「位於...下方(below)」、「下部的(lower)」、「位於...上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述
空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
本文中論述的實施例可在特定的技術背景(即可被整合至封裝中的裝置結構(例如,晶圓上晶片(chip-on-wafer,CoW)封裝結構或晶圓上晶圓(wafer-on-wafer,WoW)封裝結構))中論述。裝置結構在基底穿孔(through substrate via,TSV)與內連線或重佈線結構之間包括鈍化層,以阻擋在穿孔形成期間可能出現的污染物進入內連線或重佈線結構。在一些實施例中,鈍化層可包括氮化物層、未經摻雜的矽酸鹽玻璃(undoped silicate glass,USG)層、類似者或其組合。因形成基底穿孔而產生的污染物可包括在基底穿孔的形成期間使用的液體或濕氣及/或可包括在基底穿孔的蝕刻期間使用的化學物質,例如硫。在常規結構中,該些污染物可在基底穿孔的形成期間經由內連線層的被暴露出的側壁進入內連線層,且可損壞內連線的金屬化圖案及介電層。藉由阻擋污染物,可防止污染物對內連線層的金屬化圖案造成損壞。
此外,本揭露的教示適用於其中鈍化層可防止污染物損壞內連線或重佈線結構的任何裝置或封裝結構。其他實施例預期存在對於閱讀本揭露的此項技術中具有通常知識者而言是顯而易見的其他應用,例如不同的封裝類型或不同的配置。應注意,本文中論述的實施例可能不一定示出結構中可能存在的每個組件或
特徵。舉例而言,例如當對組件中的一者的論述可足以傳達實施例的各個態樣時可自圖省略多個組件。此外,本文中論述的方法實施例可被論述為以特定次序執行;然而,可以任何合理的次序執行其他方法實施例。
圖1至圖19根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。
圖1根據一些實施例示出積體電路晶粒20A的剖視圖。積體電路晶粒20A將在後續處理中被封裝以形成積體電路封裝。積體電路晶粒20A可為邏輯晶粒(例如,中央處理單元(central processing unit,CPU)、圖形處理單元(graphic processing unit,GPU)、系統晶片(system-on-a-chip,SoC)、應用處理器(application processor,AP)、微控制器等)、記憶體晶粒(例如,動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒等)、電源管理晶粒(例如,電源管理積體電路(power management integrated circuit,PMIC)晶粒)、射頻(radio frequency,RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system,MEMS)晶粒、訊號處理晶粒(例如,數位訊號處理(digital signal processing,DSP)晶粒)、前端晶粒(例如,類比前端(analog front-end,AFE)晶粒)、類似晶粒或其組合。
積體電路晶粒20A可形成於晶圓中,所述晶圓可包括在後續步驟中被單體化以形成多個積體電路晶粒的不同裝置區。可
根據適用的製造製程對積體電路晶粒20A進行處理以形成積體電路。舉例而言,積體電路晶粒20A包括半導體基底22(例如經摻雜的或未經摻雜的矽)、或者絕緣體上覆半導體(semiconductor-on-insulator,SOI)基底的主動層。基底22可包含:其他半導體材料,例如鍺;化合物半導體,包括碳化矽、鎵砷、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或其組合。亦可使用其他基底,例如多層式基底或梯度基底(gradient substrate)。基底22具有:主動表面(例如,圖1中面朝上的表面),有時被稱為前側;以及非主動表面(例如,圖1中面朝下的表面),或稱為背側。
可在基底22的前表面處形成裝置(未示出)。所述裝置可為主動裝置(例如電晶體、二極體等)、電容器、電阻器、類似裝置或其組合。在基底22的前表面之上存在層間電介質(inter-layer dielectric,ILD)(未單獨示出)。ILD環繞且可能覆蓋所述裝置。ILD可包括由例如磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、摻雜硼的磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、未經摻雜的矽酸鹽玻璃(Undoped Silicate Glass,USG)等材料形成的一或多個介電層。
導電插塞(未單獨示出)延伸穿過ILD,以電性耦合及在實體上耦合所述裝置。舉例而言,當裝置是電晶體時,導電插
塞可耦合電晶體的閘極及源極/汲極區。導電插塞可由鎢、鈷、鎳、銅、銀、金、鋁、類似材料或其組合形成。在ILD及導電插塞之上存在內連線結構24。內連線結構24對所述裝置進行內連以形成積體電路。內連線結構24可由例如ILD上的介電層中的金屬化圖案形成。金屬化圖案包括在一或多個低介電常數介電層中形成的金屬線及通孔。內連線結構24的金屬化圖案藉由導電插塞電性耦合至所述裝置。可使用任何合適的製程(例如單鑲嵌製程、雙鑲嵌製程、鍍覆製程、其組合等)形成金屬化圖案。
在形成內連線結構24之後,如圖2中所示,在內連線結構24的頂表面之上沈積化學機械拋光(chemical mechanical polishing,CMP)停止層30。CMP停止層30是用於藉由抵抗後續CMP製程及/或藉由為後續CMP製程提供可偵測的停止點來防止後續CMP製程移除過多的材料。在一些實施例中,CMP停止層30可包含一或多層介電材料。合適的介電材料可包括氧化物(例如氧化矽、氧化鋁等)、氮化物(例如SiN等)、氮氧化物(例如SiON等)、碳氧化物(例如SiOC等)、碳氮化物(例如SiCN等)、碳化物(例如SiC等)、其組合等,且可使用旋轉塗佈、化學氣相沈積(chemical vapor deposition,CVD)、電漿增強型CVD(plasma-enhanced CVD,PECVD)、原子層沈積(atomic layer deposition,ALD)、類似製程、或其組合來形成。在一些實施例中,CMP停止層30具有處於約20奈米與約2000奈米之間的厚度。
如圖3中所示,在停止層30上形成光阻32且將光阻32
圖案化。光阻32可藉由旋轉塗佈等形成且可被暴露到光以用於圖案化。光阻的圖案與隨後形成的基底穿孔(TSV)64A的上部部分(參見,例如圖13)對應。圖案化形成穿過光阻32的至少一個開口,以暴露出停止層30。
在圖4中,剩餘的光阻32在蝕刻製程期間充當遮罩,以移除停止層30的被暴露出的部分及內連線結構24的介電層的下伏部分,以暴露出基底22的前表面。可使用單個蝕刻製程在停止層30及內連線結構24中蝕刻開口34,或者可使用第一蝕刻製程來蝕刻停止層30,且可使用第二蝕刻製程來蝕刻內連線結構24的介電層。在一些實施例中,開口34是使用電漿乾式蝕刻製程形成。開口34暴露出基底22的頂表面。在一些實施例中,蝕刻製程可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻。
如圖5中所示,在形成開口34之後,移除光阻32。可藉由可接受的灰化或剝除製程(例如使用氧電漿等)移除光阻32。一旦光阻32被移除,便執行烘烤製程36(有時被稱為熱製程或加熱製程)。烘烤製程36可有助於自開口34移除因開口34的蝕刻製程及光阻32的處理而累積的濕氣及液體。可在150攝氏度至450攝氏度的溫度下執行烘烤製程36達1至30分鐘。在一些實施例中,烘烤製程36使用爐或烘箱來加熱晶圓。在一些實施例中,烘烤製程36是快速熱退火(Rapid Thermal Anneal,RTA)或快速熱處理(Rapid Thermal Processing,RTP)製程。在烘烤製程36之後,開口34的寬度w1可介於自約0.5微米至約5微米的範圍內
且內連線結構24的厚度t可介於自約0.5微米至約5微米的範圍內。
如圖6中所示,在烘烤製程36之後,在停止層30上以及在開口34的底表面及側壁上共形地沈積鈍化層40(或稱為障壁層)。鈍化層40可有助於防止在後續處理期間用於形成基底穿孔64A(參見,例如圖13)的材料(例如水蒸氣及製程副產物)擴散至內連線結構24中。在一些實施例中,內連線結構24包括低介電常數介電層及銅金屬化圖案,且鈍化層防止水蒸氣及製程副產物滲透至內連線結構24中而引起內連線腐蝕。在一些實施例中,鈍化層40可包含氮化矽(SiN)等且可例如具有介於自約6.5至約8的範圍內的介電常數。可使用例如CVD、PECVD、ALD、電漿增強型ALD(plasma-enhanced ALD,PEALD)等沈積製程、或其組合共形地沈積鈍化層40。鈍化層40可被沈積至約0.05微米至約0.2微米的厚度。
如圖7中所示,在鈍化層40上形成光阻42且將光阻42圖案化。光阻42可藉由旋轉塗佈等形成且可被暴露至光以用於圖案化。光阻的圖案與隨後形成的TSV 64A(參見,例如圖13)的下部部分對應。圖案化形成穿過光阻42的至少一個開口,以暴露出開口34的底部處的鈍化層40。
剩餘的光阻42在蝕刻製程期間充當遮罩,以移除鈍化層40的被暴露出的部分及基底22的下伏部分,如圖8中所示。可使用單個蝕刻製程在鈍化層40的被暴露出的部分及基底22中蝕刻
開口44,或者可使用第一蝕刻製程來蝕刻鈍化層40且可使用第二蝕刻製程來蝕刻基底22。在一些實施例中,蝕刻製程是反應性離子蝕刻(reactive ion etch,RIE)製程,例如深RIE(deep RIE,DRIE)製程。在一些實施例中,DRIE製程包括蝕刻循環及鈍化循環,其中蝕刻循環使用例如SF6且鈍化循環使用例如C4F8。利用具有鈍化循環及蝕刻循環的DRIE製程能夠達成高度非等向性蝕刻製程。在一些實施例中,蝕刻製程可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻。基底22中的開口44可具有介於自約2微米至約20微米的範圍內的深度d1。
由於鈍化層40在用於形成開口44的製程期間覆蓋開口34中的內連線結構24的被暴露出的側壁,因此會阻擋因開口44的蝕刻製程產生的任何可能的污染物進入內連線結構24。藉由阻擋污染物,會防止例如在基底穿孔的蝕刻期間使用的硫對內連線層的金屬化圖案造成損壞。
如圖9中所示,在形成開口44之後,移除光阻42。可藉由可接受的灰化或剝除製程(例如使用氧電漿等)移除光阻42。在移除光阻42之後,開口44的寬度w2可小於開口34的寬度w1。在實施例中,寬度w2介於自約0.1微米至約4.5微米的範圍內。
在圖10中,在鈍化層40上(包括在開口34內的鈍化層40上以及在開口44的底表面及側壁上)共形地沈積襯層50。在一些實施例中,襯層50包括一或多層介電材料且可用於將隨後形成的穿孔與基底22在實體上隔離及電性隔離。合適的介電材料可
包括氧化物(例如氧化矽、氧化鋁等)、氮化物(例如SiN等)、氮氧化物(例如SiON等)、其組合等。可使用CVD、PECVD、ALD、類似製程、或其組合來形成襯層50。在一些實施例中,襯層50的厚度約介於自約0.1微米至約1微米的範圍內。
在後續步驟中,如圖11中所示,在襯層50之上形成晶種層60。在一些實施例中,晶種層60是金屬層,所述金屬層可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層60包括鈦層及位於鈦層之上的銅層。可使用例如物理氣相沈積(PVD)等形成晶種層。在一些實施例中,可在形成晶種層60之前在襯層50上形成障壁層(未示出)。舉例而言,障壁層可包含Ti及TiN。
在圖12中,在晶種層60上形成導電材料62且導電材料62填充開口34及開口44。可藉由鍍覆(例如包括電化學鍍覆的電鍍、無電鍍覆等)來形成導電材料。導電材料可包含金屬,如銅、鈦、鎢、鋁等。
在形成導電材料62之後,然後執行退火製程。可在約150攝氏度與約450攝氏度之間的溫度下執行退火製程達約1分鐘與約60分鐘之間的持續時間。退火製程可防止後續TSV 64A的導電材料突出(有時被稱為TSV突出突出(TSV pumping))。TSV突出是由導電材料62與基底22之間的熱膨脹係數(coefficient of thermal expansion,CTE)失配引起的且可造成對TSV之上的結構(例如,金屬化圖案)的損壞。
在退火製程之後,執行平坦化製程以移除開口34及開口44外部的導電材料62、晶種層60、襯層50、鈍化層40以及停止層30的一些部分,以形成如圖13中所示的TSV 64A。在平坦化製程之後,TSV 64A的頂表面與內連線結構24的最頂部介電層實質上共面(在製程變異範圍內)。平坦化製程可為例如化學機械拋光(CMP)、研磨製程等。TSV 64A的上部部分(形成於內連線結構24中)具有較TSV 64A的下部部分(形成於基底22中)大的寬度。同樣如圖13中所示,鈍化層40經形成為底部中具有孔(由開口44產生的孔)的杯形狀。
參照圖14,在圖13的結構之上形成內連線結構80。內連線結構80包括介電層82、介電層84以及金屬化圖案及通孔86。可形成較圖14中所示多或少的介電層以及金屬化圖案及通孔。內連線結構80藉由形成於介電層82中的金屬化圖案及通孔連接至內連線結構24及TSV 64A。可使用與內連線結構24類似的製程及材料形成金屬化圖案及通孔,且本文中不再重複進行說明。
在一些實施例中,介電層82是與內連線結構24的介電層相同的材料,例如低介電常數電介質。在其他實施例中,介電層82由含矽氧化物(其可包括或不包括氧)形成。舉例而言,介電層82可包含例如氧化矽等氧化物、例如氮化矽等氮化物等。介電層84可由含矽氧化物形成。
可使用任何合適的製程(例如單鑲嵌製程、雙鑲嵌製程、鍍覆製程、其組合等)形成金屬化圖案及通孔86。藉由鑲嵌製程
形成金屬化圖案及通孔86的實例包括:蝕刻介電層82及介電層84以形成開口;將導電障壁層沈積至開口中;對金屬材料(例如銅或銅合金)進行鍍覆;以及執行平坦化以移除金屬材料的多餘部分。在其他實施例中,介電層82及介電層84以及金屬化圖案及通孔86的形成可包括:形成介電層82或介電層84;將介電層82或介電層84圖案化以形成開口;形成金屬晶種層(未示出);形成圖案化鍍覆遮罩(例如光阻)以覆蓋金屬晶種層的一些部分,同時留下被暴露出的其他部分;對金屬化圖案及通孔86進行鍍覆;移除鍍覆遮罩;以及蝕刻金屬晶種層的不期望的部分。
圖14進一步示出在內連線結構80上形成介電層90。儘管圖14示出兩個介電層90,但可形成多於或少於兩個介電層90。在介電層90中形成結合墊通孔92及結合墊94。可使用任何合適的製程(例如單鑲嵌製程、雙鑲嵌製程、鍍覆製程、其組合等)形成結合墊通孔92及結合墊94。結合墊94的頂表面與最上部介電層90的頂表面共面。平坦化是藉由CMP製程或機械研磨製程達成。舉例而言,結合墊94可由銅形成,或者包含銅。
根據一些實施例,如圖15中所示,在後續單體化製程之前,藉由對基底22進行薄化來薄化積體電路晶粒20A。可藉由例如機械研磨製程或CMP製程等平坦化製程來執行薄化。薄化製程暴露出TSV 64A。在薄化之後,TSV 64A提供自基底22的背側至基底22的前側(例如,包括內連線結構24、內連線結構80與介電層90)的電性連接。
參照圖16,將積體電路晶粒20A結合至封裝結構100。
積體電路晶粒20A至封裝結構100的結合可藉由混合結合(hybrid bonding)來達成,在混合結合中會形成金屬至金屬的直接結合(結合墊94與結合墊106之間)以及電介質至電介質的結合(例如積體電路晶粒20A的表面介電層與封裝結構100的表面介電層之間的Si-O-Si結合)二者。此外,可存在結合至同一封裝結構100的單個積體電路晶粒20A或多個晶粒20A。結合至同一封裝結構100的所述多個晶粒20A可彼此相同或不同,以形成同質結構或異質結構。
封裝結構100包括:基底102,與積體電路晶粒20A的基底22類似;以及內連線結構104,包括結合墊106。內連線結構104及結合墊106可分別類似於上述包含內連線結構24、內連線結構80與介電層90的內連線及結合墊94,且本文中不再重複進行說明。內連線結構104可由例如ILD上的介電層中的金屬化圖案形成。金屬化圖案包括在一或多個低介電常數介電層中形成的金屬線及通孔。可使用任何合適的製程(例如單鑲嵌製程、雙鑲嵌製程、鍍覆製程、其組合等)形成金屬化圖案。藉由鑲嵌製程形成金屬化圖案的實例包括:蝕刻介電層以形成開口;將導電障壁層沈積至開口中;對金屬材料(例如銅或銅合金)進行鍍覆;以及執行平坦化以移除金屬材料的多餘部分。
將晶粒20A面朝下設置,使得晶粒20A的前側面向封裝結構100且晶粒20A的背側背向封裝結構100。在介面108處將
晶粒20A結合至封裝結構100。如圖16所示,混合結合製程藉由熔合結合而在介面108處將封裝結構100的內連線結構104的最頂部介電層直接結合至晶粒20A的最頂部介電層90。在實施例中,內連線結構104的最頂部介電層與晶粒20A的最頂部介電層90之間的結合可為氧化物至氧化物結合。混合結合製程藉由直接的金屬至金屬結合而在介面108處將晶粒20A的結合墊94進一步直接結合至封裝結構100的結合墊106。因此,可藉由結合墊94至結合墊106的實體連接來提供晶粒20A與封裝結構100之間的電性連接。
作為實例,混合結合製程以將晶粒20A與封裝結構100對準(例如,藉由將結合墊94與結合墊106對準)開始。當晶粒20A與封裝結構100對準時,結合墊94可與對應的結合墊106交疊。接下來,混合結合包括預結合步驟,在預結合步驟期間,使晶粒20A與封裝結構100接觸。混合結合製程例如在約150攝氏度與約400攝氏度之間的溫度下繼續執行退火達約0.5小時與約3小時之間的持續時間,使得結合墊94與結合墊106中的銅相互擴散至彼此,且因此形成直接的金屬至金屬結合。
接下來,如圖17中所示,執行間隙填充製程以將積體電路晶粒20A包封於包封體110中。在形成之後,包封體110包封積體電路晶粒20A、內連線結構80及介電層90。包封體110可包含氧化物。作為另一種選擇,包封體可為模製化合物、模製底部填充膠、樹脂、環氧樹脂等。包封體110可藉由壓縮模製、轉移
模製等施加,且可以液體或半液體形式施加,且然後隨後被固化。在沈積包封體110之後,執行平坦化製程以使積體電路晶粒20A的背側表面與包封體110的頂表面齊平,並暴露出TSV 64A。在製程變化內的平坦化製程之後,TSV 64A的表面、基底22的表面及包封體110的表面實質上共面。平坦化製程可為例如化學機械拋光(CMP)、研磨製程等。在一些實施例中,例如,若已暴露出TSV 64A,則可省略平坦化。
在圖18中,在包封體110、TSV 64A及積體電路晶粒20A上沈積重佈線結構112。重佈線結構112可包括:重佈線走線(redistribution line,RDL),例如金屬跡線(或金屬線);以及通孔,位於金屬跡線之下且連接至金屬跡線。重佈線結構112的重佈線走線在實體上及電性連接至晶粒20A的TSV 64A。
根據本揭露的一些實施例,藉由鍍覆製程形成RDL,其中RDL中的每一者包括晶種層(未示出)及位於晶種層之上的鍍覆金屬材料。可使用例如PVD等形成晶種層。然後在晶種層上形成光阻且將光阻圖案化。光阻可藉由旋轉塗佈等形成且可被暴露至光以用於圖案化。光阻的圖案對應於RDL。圖案化形成穿過光阻的開口,以暴露出晶種層。在光阻的開口中以及在晶種層的被暴露出的部分上形成導電材料。可藉由鍍覆(例如電鍍或無電鍍覆等)形成導電材料。晶種層與鍍覆金屬材料可由相同的材料或不同的材料形成。導電材料可為金屬,如銅、鈦、鎢、鋁等。然後,移除光阻及晶種層的上面未形成導電材料的部分。可藉由可
接受的灰化或剝除製程(例如使用氧電漿等)移除光阻。一旦光阻被移除,便例如藉由使用可接受的蝕刻製程(例如藉由濕式蝕刻及/或乾式蝕刻)移除晶種層的被暴露出的部分。晶種層的剩餘部分與導電材料形成RDL。
可在金屬跡線的每一層之上形成介電層或鈍化層。在一些實施例中,介電層或鈍化層由聚合物形成,所述聚合物可為光敏材料,例如聚苯並噁唑(polybenzoxazole,PBO)、聚醯亞胺、苯並環丁烯(benzocyclobuten,BCB)等,可使用微影遮罩來將介電層或鈍化層圖案化。在其他實施例中,介電層或鈍化層由以下材料形成:氮化物,例如氮化矽;氧化物,例如氧化矽、PSG、BSG、BPSG;或者類似材料。可藉由旋轉塗佈、層壓、CVD、類似製程、或其組合來形成介電層或鈍化層。
可使用圖案化製程在頂部介電層或鈍化層中形成開口,進而暴露出重佈線結構112的頂部金屬層中的一些或全部頂部金屬層。圖案化製程可為可接受的製程,例如當介電層是光敏材料時將介電層或鈍化層暴露至光,或者使用例如非等向性蝕刻進行蝕刻。
如圖19中所示,藉由重佈線結構112的介電層中的開口形成凸塊114,以接觸重佈線結構112中的金屬化圖案。凸塊114可為金屬柱、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(nickel-electroless palladium-immersion gold technique,ENEPIG)
形成的凸塊、球柵陣列(ball grid array,BGA)凸塊等。在實施例中,凸塊114是C4凸塊。凸塊114可藉由濺鍍、印刷、電鍍、無電鍍覆、CVD等形成。凸塊114可不具有焊料且具有實質上垂直的側壁。在一些實施例中,在凸塊114的頂部上形成金屬頂蓋層(未示出)。金屬頂蓋層可包含鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金、類似材料、或其組合,且可藉由鍍覆製程形成。
進一步如圖19中所示,在凸塊114上形成導電連接件116。導電連接件116可由例如以下導電材料形成:焊料、銅、鋁、金、鎳、銀、鈀、錫、類似材料、或其組合。在一些實施例中,藉由最初藉由例如蒸鍍、電鍍、印刷、焊料轉移、植球等方法形成焊料層來形成導電連接件116。一旦已在結構上形成焊料層,便可執行回流,以將導電連接件116成形為期望的凸塊形狀。
圖19中繪示的實施例是以面對面(face-to-face)的配置結合的晶圓上晶片結構。作為另一種選擇,可以面對背(face-to-back)的配置結合積體電路晶粒20A與封裝結構100。舉例而言,可將晶粒20A的背(例如,TSV 64A及基底22的被暴露出的端部)結合至封裝結構100的面。
圖20根據一些實施例示出晶圓上晶圓結構的剖視圖。除了此實施例是晶圓上晶圓結構而非晶圓上晶片結構之外,此實施例類似於圖1至圖19中所示的實施例。本文中將不重複針對此實施例的類似於前述實施例的細節的細節。
在圖20中,所述結構是以面對面的配置結合的晶圓上晶圓結構,其中上部結構是晶圓。晶圓類似於上述晶粒20A形成且本文中不再重複進行說明。使用此實施例,不再需要先前實施例中的將晶粒20A單體化及形成包封體110的步驟,且可自此實施例省略該些步驟。在此實施例中,上部結構與封裝結構100二者均為晶圓且具有相同的尺寸。
在其他實施例中,可以面對背(face-to-back)的配置將晶圓結合至封裝結構100。舉例而言,可將晶圓的背(例如,TSV 64A及基底22的被暴露出的端部)結合至封裝結構100的面。
圖21至圖25根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。除了在此實施例中,TSV 64B是在內連線結構80之後形成(例如,後TSV(TSV-ast)製程)而非如圖1至圖19的實施例中那般在內連線結構80之前形成(例如,TSV中間(TSV-middle)製程)之外,此實施例類似於圖1至圖19中所示的實施例。本文中將不重複針對此實施例的類似於前述實施例的細節。
圖21根據一些實施例示出積體電路晶粒20B的剖視圖。積體電路晶粒20B可藉由與上述積體電路晶粒20A類似的製程及材料形成,本文中不再重複進行說明。
在內連線結構80之上形成鈍化層88。鈍化層88可由含矽氧化物形成。舉例而言,鈍化層88可包含例如氧化矽等氧化物、例如氮化矽等氮化物等。
在圖22中,在形成鈍化層88之後,穿過鈍化層88、內連線結構80及內連線結構24形成TSV 64B,且TSV 64B至少部分地進入至基底22中。TSV 64B可藉由與上述TSV 64A類似的製程及材料形成,本文中不再重複進行說明。
形成TSV 64B的最後步驟之一是用於移除導電材料62、晶種層60、襯層50、鈍化層40及停止層30的位於開口34外的部分的平坦化製程(類似於以上參照TSV 64A所述的製程)。在製程變化內的平坦化製程之後,TSV 64B的頂表面與鈍化層88的頂表面實質上共面。平坦化製程可為例如化學機械拋光(CMP)、研磨製程等。TSV 64B的上部部分具有較TSV 64B的下部部分(形成於基底22中)大的寬度。同樣如圖22中所示,鈍化層40具有帶有底部孔的杯形狀。
圖23示出形成於鈍化層88及TSV 64B上的介電層90、結合墊通孔92及結合墊94。先前闡述過該些結構,且本文中不再重複進行說明。在此實施例中,一或多個結合墊通孔92可與TSV 64B在實體上接觸及電性接觸而其他結合墊通孔92可與內連線結構80的金屬化圖案在實體上接觸及電性接觸。
在圖24中,在後續單體化製程之前,藉由對基底22進行薄化來薄化積體電路晶粒20B。以上參照TSV 64A闡述了此薄化製程,且本文中不再重複進行說明。
圖25示出對圖24的積體電路晶粒20B的後續處理。此後續處理類似於圖16至圖19中所示及所述的處理,且本文中不
再重複進行說明。
圖25中繪示的實施例是以面對面(face-to-face)的配置結合的晶圓上晶片結構。作為另一種選擇,可以面對背(face-to-back)的配置結合積體電路晶粒20B與封裝結構100。舉例而言,可將晶粒20B的背(例如,TSV 64B及基底22的被暴露出的端部)結合至封裝結構100的面。
圖26根據一些實施例示出晶圓上晶圓結構的剖視圖。除了此實施例是晶圓上晶圓結構而非晶圓上晶片結構之外,此實施例類似於圖21至圖25中所示的實施例。本文中將不重複針對此實施例的類似於前述實施例的細節。
在圖26中,所述結構是以面對面(face-to-face)的配置結合的晶圓上晶圓結構,其中上部結構是晶圓。此晶圓類似於上述晶粒20B形成,且本文中不再重複進行說明。使用此實施例,不再需要先前實施例中的將晶粒20B單體化及形成包封體110的步驟且可自此實施例省略該些步驟。在此實施例中,上部結構與封裝結構100二者均為晶圓且具有相同的尺寸。
在其他實施例中,可以面對背(face-to-back)的配置將晶圓結合至封裝結構100。舉例而言,可將晶圓的背(例如,TSV 64B及基底22的被暴露出的端部)結合至封裝結構100的面。
圖27至圖33根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。除了此實施例包括非共形鈍化層之外,此實施例類似於圖1至圖19中所示的實施例。本文中將不再重複針對此
實施例的類似於前述實施例的細節。
圖27示出在以上圖5中闡述的處理之後的處理的中間階段,且本文中不重複形成此處理的中間階段的說明。在圖27中,根據一些實施例示出積體電路晶粒120A的剖視圖。積體電路晶粒120A類似於積體電路晶粒20A,且本文中不再重複進行說明。
進一步在圖27中,在停止層30上以及在開口34(參見圖5)的底表面及側壁上非共形地沈積鈍化層180。鈍化層180具有約0.5微米至約5微米的厚度。在一些實施例中,非共形鈍化層180是藉由PECVD製程、高密度電漿CVD(high density plasma CVD,HDP-CVD)、類似製程、或其組合沈積的氧化物。在實施例中,非共形鈍化層180是未經摻雜的矽酸鹽玻璃(USG)層。鈍化層180的非共形沈積導致在開口34中形成氣隙/空隙181。
如圖28中所示,在非共形鈍化層180上形成光阻182且將光阻182圖案化。光阻182可藉由旋轉塗佈等形成且可被暴露至光以用於圖案化。光阻的圖案與隨後形成的TSV的下部部分對應。圖案化形成穿過光阻182的至少一個開口,以暴露出非共形鈍化層180。
剩餘的光阻182在後續蝕刻製程期間充當遮罩,以移除鈍化層180的部分。用於移除非共形鈍化層180的部分的蝕刻製程形成開口184,如圖29中所示。在開口184的底部處暴露出基底22。
光阻182在另一後續蝕刻製程期間充當遮罩,以移除基
底22的部分,如圖30中所示。用於移除基底22的部分的蝕刻製程會增加開口184的深度。基底22中的開口184可具有約5微米至10微米的深度。
由於鈍化層180在用於形成及延伸開口184的製程期間覆蓋開口184中的內連線結構24的被暴露出的側壁,因此會阻擋因開口184的蝕刻製程而產生的任何可能的污染物進入內連線結構24。藉由阻擋污染物,會防止例如在基底穿孔的蝕刻期間使用的硫對內連線層的金屬化圖案造成損壞。
在圖31中,在蝕刻開口184之後,藉由內連線結構24在開口184中形成TSV 164A,且TSV 164A至少部分地進入至基底22中。TSV 164A可藉由與上述TSV 64A類似的製程及材料形成,本文中不再重複進行說明。
形成TSV 164A的最後步驟之一是用於移除導電材料62、晶種層60、襯層50、鈍化層180及停止層30的開口34外部的部分的平坦化製程(類似於以上參照TSV 64A所述的製程)。在製程變化內的平坦化製程之後,TSV 164A的頂表面、鈍化層180的頂表面及襯層50的頂表面實質上共面。平坦化製程可為例如化學機械拋光(CMP)、研磨製程等。在此實施例中,由於使用相同的遮罩(例如,光阻182)在內連線結構24及基底22中蝕刻開口,因此整個TSV 164A具有實質上恆定的寬度。
圖32示出對圖31的積體電路晶粒120A的後續處理。此後續處理類似於圖14及圖15中所示及所述的處理,且本文中不
再重複進行說明。
圖33示出對圖32的積體電路晶粒120A的後續處理。此後續處理類似於圖16至圖19中所示及所述的處理,且本文中不再重複進行說明。
圖33中繪示的實施例是以面對面(face-to-face)的配置結合的晶圓上晶片結構。作為另一種選擇,可以面對背(face-to-back)的配置結合積體電路晶粒120A與封裝結構100。舉例而言,可將晶粒120A的背(例如,TSV 164A及基底22的被暴露出的端部)結合至封裝結構100的面。
在圖34中,所述結構是以面對面(face-to-face)的配置結合的晶圓上晶圓結構,其中上部結構是晶圓。晶圓類似於上述晶粒120A形成,且本文中不再重複進行說明。使用此實施例,不再需要先前實施例中的將晶粒120A單體化及形成包封體110的步驟且可自此實施例省略該些步驟。在此實施例中,上部結構與封裝結構100二者均為晶圓且具有相同的尺寸。
在其他實施例中,可以面對背(face-to-back)的配置將晶圓結合至封裝結構100。舉例而言,可將晶圓的背(例如,TSV 164A及基底22的被暴露出的端部)結合至封裝結構100的面。
圖35至圖36根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。除了此實施例是後TSV(TSV-last)製程(類似於以上圖21至圖25中闡述的製程)而非圖27至圖33中闡述的TSV-中間(TSV-middle)製程之外,此實施例類似於圖27至圖33
中所示的實施例。本文中將不重複針對此實施例的類似於前述實施例的細節。
圖35示出根據一些實施例的積體電路晶粒120B的剖視圖。積體電路晶粒120B可藉由與上述積體電路晶粒120A類似的製程及材料形成,本文中不再重複進行說明。
內連線結構80、介電層90以及鈍化層88是藉由與圖21至圖25中的實施例類似的製程及材料形成,且本文中不再重複進行說明。另外,類似於圖21至圖25中的實施例,在內連線結構80及鈍化層88之後形成TSV 164B且TSV 164B穿過內連線結構80及鈍化層88,並且本文中不再重複進行該說明。
圖35示出在以上圖24中闡述的處理之後的處理的中間階段,且本文中不重複形成此處理的中間階段的說明。
圖36示出對圖35的積體電路晶粒120B的後續處理。此後續處理類似於圖16至圖19中所示及所述的處理,且本文中不再重複進行說明。
圖36中繪示的實施例是以面對面(face-to-face)的配置結合的晶圓上晶片結構。作為另一種選擇,可以面對背(face-to-back)的配置結合積體電路晶粒120B與封裝結構100。舉例而言,可將晶粒120B的背(例如,TSV 164B及基底22的被暴露出的端部)結合至封裝結構100的面。
在圖37中,所述結構是以面對面(face-to-face)的配置結合的晶圓上晶圓結構,其中上部結構是晶圓。此晶圓類似於上
述晶粒120B形成,且本文中不再重複進行說明。使用此實施例,不再需要先前實施例中的將晶粒120B單體化及形成包封體110的步驟且可自此實施例省略該些步驟。在此實施例中,上部結構與封裝結構100二者均為晶圓且具有相同的尺寸。
在其他實施例中,可以面對背(face-to-back)的配置將晶圓結合至封裝結構100。舉例而言,可將晶圓的背(例如,TSV 164B及基底22的被暴露出的端部)結合至封裝結構100的面。
圖38至圖43根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。除了此實施例包括鈍化層的選擇性沈積之外,此實施例類似於圖1至圖19中所示的實施例。本文中將不重複針對此實施例的類似於前述實施例的細節。
圖38示出在以上圖5中闡述的處理之後的處理的中間階段,且本文中不重複形成此處理的中間階段的說明。在圖38中,根據一些實施例示出積體電路晶粒220A的剖視圖。積體電路晶粒220A類似於積體電路晶粒20A,且本文中不再重複進行說明。
進一步在圖38中,將來自圖5的開口34延伸以在後續蝕刻製程之後形成開口234,以使用光阻32作為遮罩來移除基底22的部分。基底22中的開口234可具有約5微米至10微米的深度。
在圖39中,執行烘烤製程36。烘烤製程38類似於上述烘烤製程36,且本文中不再重複進行說明。烘烤製程38可有助於自開口234移除因開口34及開口234的蝕刻製程以及光阻32的
處理而累積的濕氣及液體。即使在開口234的形成期間及光阻32的處理期間暴露出內連線結構24的側壁,但額外的烘烤製程38會移除剩餘的濕氣及液體且可有助於防止對內連線結構24造成損壞。
在圖40中,選擇性地在停止層30上以及在開口234中在內連線結構24的被暴露出的側壁上沈積鈍化層40。鈍化層40可有助於防止在後續處理期間隨後形成的基底穿孔264A(參見,例如圖41)的材料擴散至內連線結構24中。在一些實施例中,開口234中的基底的被暴露出的側壁及底部實質上不具有鈍化層40。在一些實施例中,鈍化層40可部分地覆蓋開口234中的基底的被暴露出的側壁及底部,同時完全覆蓋開口234中的被暴露出的內連線結構24。在一些實施例中,鈍化層40在開口234的側壁上的厚度可隨著自開口234的頂部(例如,停止層30附近)移動至開口234的底部(例如,基底22中的底表面附近)而減小。
在一些實施例中,鈍化層40可包含氮化矽等,且可例如具有介於自約6.5至約8的範圍內的介電常數。可使用例如CVD、PECVD、ALD、電漿增強型ALD(PEALD)等沈積製程、或其組合共形地沈積鈍化層40。鈍化層40可被沈積至約0.05微米至約0.5微米的厚度。
在圖41中,在形成選擇性鈍化層40之後,在開口234中形成穿過內連線結構24的TSV 264A,且TSV 264A至少部分地進入至基底22。TSV 264A可藉由與上述TSV 64A類似的製程
及材料形成,本文中不再重複進行說明。
形成TSV 264A的最後步驟之一是用於移除導電材料62、晶種層60、襯層50、鈍化層40以及停止層30的開口234外部的部分的平坦化製程(類似於以上參照TSV 64A所述的製程)。在製程變化內的平坦化製程之後,TSV 264A的頂表面、鈍化層40的頂表面及襯層50的頂表面實質上共面。平坦化製程可為例如化學機械拋光(CMP)、研磨製程等。在此實施例中,由於使用相同的遮罩(例如,光阻32)在內連線結構24及基底22中蝕刻開口,因此整個TSV 264A具有實質上恆定的寬度。
圖42示出對圖41的積體電路晶粒220A的後續處理。此後續處理類似於圖14及圖15中所示及所述的處理,且本文中不再重複進行說明。
圖43示出對圖42的積體電路晶粒220A的後續處理。此後續處理類似於圖16至圖19中所示及所述的處理,且本文中不再重複進行說明。
圖43中繪示的實施例是以面對面(face-to-face)的配置結合的晶圓上晶片結構。作為另一種選擇,可以面對背(face-to-back)的配置結合積體電路晶粒220A與封裝結構100。舉例而言,可將晶粒220A的背(例如,TSV 264A及基底22的被暴露出的端部)結合至封裝結構100的面。
在圖44中,所述結構是以面對面(face-to-face)的配置結合的晶圓上晶圓結構,其中上部結構是晶圓。此晶圓類似於上
述晶粒220A形成,且本文中不再重複進行說明。使用此實施例,不再需要先前實施例中的將晶粒220A單體化及形成包封體110的步驟,且可自此實施例省略該些步驟。在此實施例中,上部結構與封裝結構100二者均為晶圓且具有相同的尺寸。
在其他實施例中,可以面對背(face-to-back)的配置將晶圓結合至封裝結構100。舉例而言,可將晶圓的背(例如,TSV 264A及基底22的被暴露出的端部)結合至封裝結構100的面。
圖45至圖46根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。除了此實施例是後TSV(TSV-last)製程(類似於以上圖21至圖25中闡述的製程)而非圖38至圖43中闡述的TSV-中間(TSV-middle)製程之外,此實施例類似於圖38至圖43中所示的實施例。本文中將不重複針對此實施例的類似於前述實施例的細節。
圖45示出根據一些實施例的積體電路晶粒220B的剖視圖。積體電路晶粒220B可藉由與上述積體電路晶粒220A類似的製程及材料形成,本文中不再重複進行說明。
內連線結構80、介電層90以及鈍化層88是藉由與圖21至圖25中的實施例類似的製程及材料形成,且本文中不再重複進行說明。另外,類似於圖21至圖25中的實施例,在內連線結構80及鈍化層88之後形成TSV 264B且TSV 264B穿過內連線結構80及鈍化層88,並且本文中不再重複進行說明。
圖45示出在以上圖24中闡述的處理之後的處理的中間
階段,且本文中不重複形成此處理的中間階段的說明。
圖46示出對圖45的積體電路晶粒220B的後續處理。此後續處理類似於圖16至圖19中所示及所述的處理,且本文中不再重複進行說明。
圖46中繪示的實施例是以面對面(face-to-face)的配置結合的晶圓上晶片結構。作為另一種選擇,可以面對背(face-to-back)的配置結合積體電路晶粒220B與封裝結構100。舉例而言,可將晶粒220B的背(例如,TSV 264B及基底22的被暴露出的端部)結合至封裝結構100的面。
在圖47中,所述結構是以面對面(face-to-face)的配置結合的晶圓上晶圓結構,其中上部結構是晶圓。此晶圓類似於上述晶粒220B形成,且本文中不再重複進行說明。使用此實施例,不再需要先前實施例中的將晶粒220B單體化及形成包封體110的步驟,且可自此實施例省略該些步驟。在此實施例中,上部結構與封裝結構100二者均為晶圓且具有相同的尺寸。
在其他實施例中,可以面對背(face-to-back)的配置將上述晶圓結合至封裝結構100。舉例而言,可將上述晶圓的背(例如,TSV 264B及基底22的被暴露出的端部)結合至封裝結構100的面。
圖48至圖53根據實施例示出形成多堆疊晶粒封裝的中間階段的剖視圖。除了此實施例僅在TSV開口中的內連線結構24的側壁上包括鈍化層,且整個TSV具有實質上恆定的寬度之外,
此實施例類似於圖1至圖19中所示的實施例。本文中將不重複針對此實施例的類似於前述實施例的細節。
圖48示出在以上圖6中闡述的處理之後的處理的中間階段且本文中不重複形成此處理的中間階段的說明。在圖48中,根據一些實施例示出積體電路晶粒320A的剖視圖。積體電路晶粒320A類似於積體電路晶粒20A,且本文中不再重複進行說明。
在圖48中,將來自圖5的鈍化層40圖案化。基底22中的開口334可具有約5微米至10微米的深度。圖案化鈍化層40可有助於防止在後續處理期間隨後形成的基底穿孔264A(參見,例如圖41)的材料擴散至內連線結構24中。
在一些實施例中,將鈍化層40圖案化以自圖5中的結構的水平表面移除鈍化層40,使得鈍化層40沿開口34中的內連線結構24的側壁形成間隔件。在一些實施例中,使用蝕刻製程(例如非等向性蝕刻製程)來將鈍化層40圖案化。
在圖49中,在使用光阻停止層30及圖案化鈍化層40移除基底22的部分的另一蝕刻製程之後,將來自圖48的開口34延伸以形成開口334。
由於在用於形成開口334的製程期間,圖案化鈍化層40覆蓋開口34中的內連線結構24的被暴露出的側壁,因此會阻擋因開口334的蝕刻製程產生的任何可能的污染物進入內連線結構24。藉由阻擋污染物,會防止例如在基底穿孔的蝕刻期間使用的硫對內連線層的金屬化圖案造成損壞。
在圖50中,執行烘烤製程38。烘烤製程38類似於上述烘烤製程38,且本文中不再重複進行說明。烘烤製程38可有助於自開口334移除因開口34及開口334的蝕刻製程而累積的濕氣及液體。
在圖51中,在烘烤製程38之後,在開口334中形成穿過內連線結構24的TSV 364A,且TSV 364A至少部分地進入至基底22中。TSV 364A可藉由與上述TSV 64A類似的製程及材料形成,本文中不再重複進行說明。
形成TSV 364A的最後步驟之一是用於移除導電材料62、晶種層60、襯層50、鈍化層40及停止層30的開口334外部的部分的平坦化製程(類似於以上參照TSV 64A所述的製程)。在製程變化內的平坦化製程之後,TSV 364A的頂表面、鈍化層40的頂表面及襯層50的頂表面實質上共面。平坦化製程可為例如化學機械拋光(CMP)、研磨製程等。在此實施例中,由於使用相同的遮罩(例如,停止層30及鈍化層40)在內連線結構24及基底22中蝕刻開口,因此整個TSV 364A具有實質上恆定的寬度。
圖52示出對圖41的積體電路晶粒320A的後續處理。此後續處理類似於圖14及圖15中所示及所述的處理,且本文中不再重複進行說明。
圖53示出對圖52的積體電路晶粒320A的後續處理。此後續處理類似於圖16至圖19中所示及所述的處理,且本文中不再重複進行說明。
圖53中繪示的實施例是以面對面(face-to-face)的配置結合的晶圓上晶片結構。作為另一種選擇,可以面對背(face-to-back)的配置結合積體電路晶粒320A與封裝結構100。舉例而言,可將晶粒320A的背(例如,TSV 364A及基底22的被暴露出的端部)結合至封裝結構100的面。
在圖54中,所述結構是以面對面(face-to-face)的配置結合的晶圓上晶圓結構,其中上部結構是晶圓。此晶圓類似於上述晶粒320A形成,且本文中不再重複進行說明。使用此實施例,不再需要先前實施例中的將晶粒320A單體化及形成包封體110的步驟,且可自此實施例省略該些步驟。在此實施例中,上部結構與封裝結構100二者均為晶圓且具有相同的尺寸。
在其他實施例中,可以面對背(face-to-back)的配置將上述晶圓結合至封裝結構100。舉例而言,可將此晶圓的背(例如,TSV 364A及基底22的被暴露出的端部)結合至封裝結構100的面。
圖55至圖56示出根據實施例的形成多堆疊晶粒封裝的中間階段的剖視圖。除了此實施例是類似於以上圖21至圖25中闡述的後TSV(TSV-last)製程而非圖48至圖53中闡述的TSV-中間(TSV-middle)製程之外,此實施例類似於圖48至圖53中所示的實施例。本文中將不重複針對此實施例的類似於前述實施例的細節。
圖55根據一些實施例示出積體電路晶粒320B的剖視
圖。積體電路晶粒320B可藉由與上述積體電路晶粒320A類似的製程及材料形成,本文中不再重複進行說明。
內連線結構80、介電層90以及鈍化層88是藉由與圖21至圖25中的實施例類似的製程及材料形成,且本文中不再重複進行說明。另外,類似於圖21至圖25中的實施例,在內連線結構80及鈍化層88之後形成穿過內連線結構80及鈍化層88的TSV 364B,且本文中不再重複進行說明。
圖55示出在以上圖24中闡述的處理之後的處理的中間階段,且本文中不重複形成此處理的中間階段的說明。
圖56示出對圖55的積體電路晶粒320B的後續處理。此後續處理類似於圖16至圖19中所示及所述的處理,且本文中不再重複進行說明。
圖56中所示的實施例是以面對面(face-to-face)的配置結合的晶圓上晶片結構。作為另一種選擇,可以面對背(face-to-back)的配置結合積體電路晶粒320B與封裝結構100。舉例而言,可將晶粒320B的背(例如,TSV 364B及基底22的被暴露出的端部)結合至封裝結構100的面。
在圖57中,所述結構是以面對面(face-to-face)的配置結合的晶圓上晶圓結構,其中上部結構是晶圓。此晶圓類似於上述晶粒320B形成,且本文中不再重複進行說明。使用此實施例,不再需要先前實施例中的將晶粒320B單體化及形成包封體110的步驟,且可自此實施例省略該些步驟。在此實施例中,上部結構
與封裝結構100二者均為晶圓且具有相同的尺寸。
在其他實施例中,可以面對背(face-to-back)的配置將晶圓結合至封裝結構100。舉例而言,可將晶圓的背(例如,TSV 364B及基底22的被暴露出的端部)結合至封裝結構100的面。
亦可包括其他特徵及製程。舉例而言,可包括測試結構以幫助對三維(three-dimensional integrated circuit,3D)封裝或3D積體電路(3D integrated circuit,IC)裝置進行驗證測試。所述測試結構可包括例如在重佈線層中或基底上形成的測試墊(test pad),以便能夠對3D封裝或3DIC進行測試、使用探針及/或探針卡(probe card)等。可對中間結構以及最終結構執行驗證測試。另外,本文中所揭露的結構及方法可與包含對已知良好晶粒(known good die)進行中間驗證的測試方法結合使用以提高良率並降低成本。
實施例可達成優點。裝置結構在基底穿孔(TSV)與內連線或重佈線結構之間包括鈍化層,以阻擋在穿孔形成期間可能出現的污染物進入內連線或重佈線結構。在一些實施例中,鈍化層可包括氮化物層、未經摻雜的矽酸鹽玻璃(USG)層、類似層、或其組合。因形成基底穿孔而產生的污染物可包括在基底穿孔的形成期間使用的液體或濕氣及/或可包括在基底穿孔的蝕刻期間使用的化學物質,例如硫。在常規結構中,該些污染物可在基底穿孔的形成期間進入內連線層的被暴露出的側壁且可損壞內連線的金屬化圖案及介電層。藉由阻擋污染物,可防止污染物對內連線
層的金屬化圖案造成損壞。
一實施例提供一種半導體裝置的形成方法,所述方法包括:在第一基底之上形成第一內連線結構,所述第一內連線結構中包括介電層及金屬化圖案;將所述第一內連線結構圖案化,以形成第一開口;使用障壁層塗佈所述第一開口;蝕刻出穿過所述障壁層及穿進所述第一基底的被暴露出的所述部分的第二開口;在所述第一開口及所述第二開口中沈積襯層;使用導電材料填充所述第一開口及所述第二開口;以及對所述第一基底進行薄化,以暴露出所述第二開口中的所述導電材料的部分,延伸穿過所述第一內連線結構及所述第一基底的所述導電材料形成基底穿孔。
實施例可包括以下特徵中的一或多者。所述第二開口具有較所述第一開口小的直徑。所述第二開口具有與所述第一開口相同的直徑。所述方法更包括:在所述第一內連線結構及所述基底穿孔之上形成第二內連線結構,所述第二內連線結構中包括介電層及金屬化圖案,所述第二內連線結構的所述金屬化圖案電性耦合至所述第一內連線結構的所述金屬化圖案及電性耦合至所述基底穿孔;在所述第二內連線結構之上形成第一介電層;以及在所述第一介電層中形成第一結合墊,所述第一結合墊電性耦合至所述第二內連線結構的所述金屬化圖案。所述方法更包括:將所述第一介電層及所述第一結合墊混合結合至封裝結構的第二介電層及第二結合墊,所述封裝結構包括第二基底及位於所述第二基底之上的第三內連線結構,所述第二介電層及所述第二結合墊是
所述第三內連線結構的一部分。所述方法更包括:在將所述第一介電層及所述第一結合墊混合結合至所述封裝結構的所述第二介電層及所述第二結合墊之後,在所述第一基底及所述基底穿孔之上形成第一重佈線結構,所述第一重佈線結構中包括介電層及金屬化圖案,所述第一重佈線結構的所述金屬化圖案電性耦合至所述基底穿孔;以及在所述第一重佈線結構之上形成電性耦合至所述第一重佈線結構的第一組導電凸塊。所述方法更包括:在形成所述第一重佈線結構之前,使用包封體包封所述第一基底、所述第一內連線結構、所述第二內連線結構及所述第一介電層,所述第一重佈線結構形成於所述包封體之上。所述障壁層是氮化矽且其中所述襯層是氧化物。所述障壁層僅位於所述第一開口的側壁上。所述方法更包括:在使用所述障壁層塗佈所述第一開口之前,對至少所述第一開口及所述第一基底的被暴露出的所述部分執行烘烤製程。
一實施例提供半導體裝置的形成方法,包括:在第一基底之上形成第一介電層,所述第一介電層中具有第一金屬化圖案。所述方法亦包括:在所述第一介電層之上形成第一圖案化遮罩。所述方法亦包括:使用所述第一圖案化遮罩作為遮罩執行第一蝕刻製程,所述第一蝕刻製程形成穿過所述第一介電層的第一開口,所述第一開口暴露出所述第一基底的第一部分。所述方法亦包括:使用所述第一圖案化遮罩作為遮罩執行第二蝕刻製程,所述第二蝕刻製程蝕刻所述第一基底的被暴露出的所述第一部
分,以在所述第一基底中形成第二開口,所述第二開口具有與所述第一開口相同的直徑。所述方法亦包括:選擇性地在所述第一開口中所述第一介電層的被暴露出的側壁上沈積障壁層,所述第二開口的側壁不具有所述障壁層。所述方法亦包括:在所述第一開口中的所述障壁層上以及在所述第二開口中的所述第一基底的側壁上形成襯層。所述方法亦包括:使用導電材料填充所述第一開口及所述第二開口。所述方法亦包括:對所述第一基底進行薄化,以暴露出所述第二開口中的所述導電材料的部分,延伸穿過所述第一介電層及所述第一基底的所述導電材料形成基底穿孔。
實施例可包括以下特徵中的一或多者。所述障壁層是氮化矽,且所述襯層是氧化物。所述方法更包括:在所述第一介電層及所述基底穿孔之上形成第二介電層,所述第二介電層中具有第二金屬化圖案,所述第二金屬化圖案電性耦合至所述第一金屬化圖案及電性耦合至所述基底穿孔;在所述第二介電層之上形成第三介電層;以及在所述第三介電層中形成第一結合墊,所述第一結合墊電性耦合至所述第二金屬化圖案;以及將所述第三介電層及所述第一結合墊混合結合至封裝結構的第四介電層及第二結合墊,所述封裝結構包括第二基底。所述方法更包括:在將所述第三介電層及所述第一結合墊混合結合至所述封裝結構的所述第四介電層及所述第二結合墊之後,使用包封體包封所述第一基底、所述第一介電層、所述第二介電層及所述第三介電層;在所述第一基底、所述基底穿孔及所述包封體之上形成第一重佈線結
構,所述第一重佈線結構中包括介電層及金屬化圖案,所述第一重佈線結構的所述金屬化圖案電性耦合至所述基底穿孔;以及在所述第一重佈線結構之上形成電性耦合至所述第一重佈線結構的第一組導電凸塊。所述導電材料在所述第一開口中具有較在所述第二開口中小的寬度。所述方法更包括:在選擇性地在所述第一開口中的所述第一介電層的被暴露出的側壁上沈積所述障壁層之前,對至少所述第一開口及所述第二開口執行烘烤製程。
一個實施例提供一種半導體裝置,包括:第一內連線結構,位於第一基底之上,所述第一內連線結構中包括介電層及金屬化圖案。所述結構亦包括:基底穿孔,延伸穿過所述第一內連線結構及所述第一基底,所述基底穿孔包括:導電材料,延伸穿過所述第一內連線結構及所述第一基底。所述結構亦包括:障壁層,位於所述第一內連線結構與所述導電材料之間。所述結構亦包括:襯層,位於所述障壁層與所述第一基底之間。
實施例可包括以下特徵中的一或多者。所述障壁層在實體上接觸所述第一內連線結構,且所述襯層在實體上接觸所述第一基底。所述障壁層是氮化矽且其中所述襯層是氧化物。所述障壁層是未經摻雜的矽酸鹽玻璃(USG),且所述襯層是氧化物。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的
實施例相同的優點。熟習此項技術者亦應認識到,該些等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下在本文中作出各種改變、代替及變更。
20A:晶粒
22:基底
64A:基底穿孔(TSV)
100:封裝結構
102:基底
110:包封體
112:重佈線結構
114:凸塊
116:導電連接件
Claims (12)
- 一種半導體裝置的形成方法,包括:在第一基底之上形成第一內連線結構,所述第一內連線結構中包括介電層及金屬化圖案;將所述第一內連線結構圖案化,以形成第一開口,所述第一開口暴露出所述第一基底的一部分;使用障壁層塗佈所述第一開口;蝕刻出穿過所述障壁層及穿進所述第一基底的被暴露出的所述部分的第二開口;在所述第一開口及所述第二開口中沈積襯層,所述襯層在實體上接觸所述障壁層;使用導電材料填充所述第一開口及所述第二開口;以及對所述第一基底進行薄化,以暴露出所述第二開口中的所述導電材料的部分,延伸穿過所述第一內連線結構及所述第一基底的所述導電材料形成基底穿孔。
- 如請求項1所述的半導體裝置的形成方法,其中所述第二開口具有較所述第一開口小的直徑。
- 如請求項1所述的半導體裝置的形成方法,其中所述第二開口具有與所述第一開口相同的直徑。
- 如請求項1所述的半導體裝置的形成方法,更包括:在所述第一內連線結構及所述基底穿孔之上形成第二內連線結構,所述第二內連線結構中包括介電層及金屬化圖案,所述第 二內連線結構的所述金屬化圖案電性耦合至所述第一內連線結構的所述金屬化圖案及電性耦合至所述基底穿孔;在所述第二內連線結構之上形成第一介電層;以及在所述第一介電層中形成第一結合墊,所述第一結合墊電性耦合至所述第二內連線結構的所述金屬化圖案。
- 如請求項4所述的半導體裝置的形成方法,更包括:將所述第一介電層及所述第一結合墊混合結合至封裝結構的第二介電層及第二結合墊,所述封裝結構包括第二基底及位於所述第二基底之上的第三內連線結構,所述第二介電層及所述第二結合墊是所述第三內連線結構的一部分。
- 如請求項1所述的半導體裝置的形成方法,其中所述障壁層是氮化矽且其中所述襯層是氧化物。
- 如請求項1所述的半導體裝置的形成方法,其中所述障壁層僅位於所述第一開口的側壁上。
- 如請求項1所述的半導體裝置的形成方法,更包括:在使用所述障壁層塗佈所述第一開口之前,對至少所述第一開口及所述第一基底的被暴露出的所述部分執行烘烤製程。
- 一種半導體裝置的形成方法,包括:在第一基底之上形成第一介電層,所述第一介電層中具有第一金屬化圖案;在所述第一介電層之上形成第一圖案化遮罩;使用所述第一圖案化遮罩作為遮罩執行第一蝕刻製程,所述 第一蝕刻製程形成穿過所述第一介電層的第一開口,所述第一開口暴露出所述第一基底的第一部分;使用所述第一圖案化遮罩作為遮罩執行第二蝕刻製程,所述第二蝕刻製程蝕刻所述第一基底的被暴露出的所述第一部分,以在所述第一基底中形成第二開口,所述第二開口具有與所述第一開口相同的直徑;選擇性地在所述第一開口中所述第一介電層的被暴露出的側壁上沈積障壁層,所述第二開口的側壁不具有所述障壁層;在所述第一開口中的所述障壁層上以及在所述第二開口中的所述第一基底的側壁上形成襯層;使用導電材料填充所述第一開口及所述第二開口;以及對所述第一基底進行薄化,以暴露出所述第二開口中的所述導電材料的一部分,延伸穿過所述第一介電層及所述第一基底的所述導電材料形成基底穿孔。
- 如請求項9所述的半導體裝置的形成方法,其中所述導電材料在所述第一開口中具有較在所述第二開口中小的寬度。
- 如請求項9所述的半導體裝置的形成方法,更包括:在選擇性地在所述第一開口中的所述第一介電層的被暴露出的側壁上沈積所述障壁層之前,對至少所述第一開口及所述第二開口執行烘烤製程。
- 一種半導體裝置,包括:第一內連線結構,位於第一基底之上,所述第一內連線結構中包括介電層及金屬化圖案;基底穿孔,延伸穿過所述第一內連線結構及所述第一基底,所述基底穿孔包括:導電材料,延伸穿過所述第一內連線結構及所述第一基底;障壁層,位於所述第一內連線結構與所述導電材料之間;以及襯層,具有延伸於所述第一基底與所述導電材料之間的第一部分以及延伸於所述障壁層與所述導電材料之間的第二部分,其中所述第一部分直接接觸所述第一基底。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063000404P | 2020-03-26 | 2020-03-26 | |
US63/000,404 | 2020-03-26 | ||
US17/012,312 | 2020-09-04 | ||
US17/012,312 US11658069B2 (en) | 2020-03-26 | 2020-09-04 | Method for manufacturing a semiconductor device having an interconnect structure over a substrate |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202137354A TW202137354A (zh) | 2021-10-01 |
TWI765534B true TWI765534B (zh) | 2022-05-21 |
Family
ID=77659006
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110101713A TWI765534B (zh) | 2020-03-26 | 2021-01-15 | 半導體裝置及其形成方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20220375793A1 (zh) |
DE (1) | DE102020124131A1 (zh) |
TW (1) | TWI765534B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10361122B1 (en) * | 2018-04-20 | 2019-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Processes for reducing leakage and improving adhesion |
TWI755349B (zh) * | 2021-10-06 | 2022-02-11 | 友達光電股份有限公司 | 封裝結構 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20140061924A1 (en) * | 2012-08-31 | 2014-03-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect Structure and Method |
US20150021771A1 (en) * | 2013-07-16 | 2015-01-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming three-dimensional integrated circuit (3dic) stacking structure |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8232196B2 (en) * | 2009-10-29 | 2012-07-31 | International Business Machines Corporation | Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration |
US8871604B2 (en) * | 2012-01-31 | 2014-10-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of manufacturing semiconductor devices that include forming a capacitor using a cap layer |
US9299649B2 (en) * | 2013-02-08 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D packages and methods for forming the same |
US9764153B2 (en) * | 2013-03-14 | 2017-09-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method of forming same |
US9716066B2 (en) * | 2013-06-29 | 2017-07-25 | Intel Corporation | Interconnect structure comprising fine pitch backside metal redistribution lines combined with vias |
KR102151177B1 (ko) * | 2013-07-25 | 2020-09-02 | 삼성전자 주식회사 | Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법 |
US9865523B2 (en) * | 2014-01-17 | 2018-01-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Robust through-silicon-via structure |
US9647022B2 (en) * | 2015-02-12 | 2017-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-layer structure for high aspect ratio etch |
US10147682B2 (en) * | 2015-11-30 | 2018-12-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure for stacked logic performance improvement |
US10586732B2 (en) * | 2016-06-30 | 2020-03-10 | International Business Machines Corporation | Via cleaning to reduce resistance |
-
2020
- 2020-09-16 DE DE102020124131.3A patent/DE102020124131A1/de active Pending
-
2021
- 2021-01-15 TW TW110101713A patent/TWI765534B/zh active
-
2022
- 2022-07-27 US US17/874,741 patent/US20220375793A1/en active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20140061924A1 (en) * | 2012-08-31 | 2014-03-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect Structure and Method |
US20150021771A1 (en) * | 2013-07-16 | 2015-01-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming three-dimensional integrated circuit (3dic) stacking structure |
Also Published As
Publication number | Publication date |
---|---|
US20220375793A1 (en) | 2022-11-24 |
TW202137354A (zh) | 2021-10-01 |
DE102020124131A1 (de) | 2021-09-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN111799227B (zh) | 半导体器件及其形成方法 | |
TWI719730B (zh) | 積體電路封裝及其製作方法 | |
US11658069B2 (en) | Method for manufacturing a semiconductor device having an interconnect structure over a substrate | |
US11705343B2 (en) | Integrated circuit package and method of forming thereof | |
TWI814027B (zh) | 半導體封裝及製造半導體封裝的方法 | |
TW202209600A (zh) | 封裝結構及其製造方法 | |
US20220375793A1 (en) | Semiconductor Device and Method | |
US20240021597A1 (en) | Molded Dies in Semiconductor Packages and Methods of Forming Same | |
US20240079364A1 (en) | Die Structures and Methods of Forming the Same | |
US20230260941A1 (en) | Semiconductor Device and Method | |
TW202412230A (zh) | 晶粒結構及其形成方法 | |
TW202406084A (zh) | 半導體封裝及其形成方法 | |
TW202341379A (zh) | 包括混合接合類型的半導體封裝及其形成方法 | |
TWI775443B (zh) | 半導體封裝及其形成方法 | |
US20240162119A1 (en) | Semiconductor device and method | |
TWI853489B (zh) | 晶粒結構及其形成方法 | |
CN221747211U (zh) | 集成电路封装 | |
CN220692015U (zh) | 半导体装置 | |
TWI760126B (zh) | 用於半導體裝置的重佈線結構及其形成方法 | |
US20240266316A1 (en) | Integrated circuit packages and methods of forming the same | |
CN117637603A (zh) | 半导体装置及方法 | |
TW202429581A (zh) | 積體電路封裝及其形成方法 | |
TW202433667A (zh) | 積體電路封裝件及其形成方法 | |
CN117316924A (zh) | 管芯结构及其形成方法 | |
CN118116882A (zh) | 集成电路封装件及其形成方法 |