TWI753745B - 影像感測器結構及其製造方法 - Google Patents

影像感測器結構及其製造方法 Download PDF

Info

Publication number
TWI753745B
TWI753745B TW110101182A TW110101182A TWI753745B TW I753745 B TWI753745 B TW I753745B TW 110101182 A TW110101182 A TW 110101182A TW 110101182 A TW110101182 A TW 110101182A TW I753745 B TWI753745 B TW I753745B
Authority
TW
Taiwan
Prior art keywords
grid
layer
mesh
oxide
substrate
Prior art date
Application number
TW110101182A
Other languages
English (en)
Other versions
TW202129944A (zh
Inventor
林津裕
廖耕潁
葉書佑
陳柏仁
董懷仁
陳咸利
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129944A publication Critical patent/TW202129944A/zh
Application granted granted Critical
Publication of TWI753745B publication Critical patent/TWI753745B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14629Reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14645Colour imagers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

影像感測器結構包括半導體元件、形成於半導體基板中的複數個影像感測元件、形成於半導體基板上的內連接結構,及半導體基板上的複合網格結構。複合網格結構包括鎢網格、鎢網格上方的氧化物網格,及將鎢網格與氧化物網格間隔開的黏附增強網格。

Description

影像感測器結構及其製造方法
本揭露係關於一種影像感測器,特別係關於影像感測器網格及其製造方法。
具有影像感測器之積體電路(Integrated circuit;IC)使用在範圍廣泛的現代電子裝置中。近年來,互補金氧半導體(complementary metal-oxide semiconductor;CMOS)影像感測器已開始看到廣泛使用,主要替換電荷耦合裝置(charge-coupled device;CCD)影像感測器。與CCD影像感測器相比,CMOS影像感測器由於低功率消耗、小尺寸、快速資料處理、直接資料輸出及低製造成本而日益受歡迎。CMOS影像感測器的類型包括前照式(front-side illuminated;FSI)影像感測器及背照式(back-side illuminated;BSI)影像感測器。
在一些實施例中,影像感測器結構包括半導體基板、 形成於半導體基板中的複數個影像感測元件、形成於半導體基板上的內連接結構,及半導體基板上的複合網格結構。複合網格結構包括鎢網格、鎢網格上方的氧化物網格,及將鎢網格與氧化物網格間隔開的黏附增強網格。
在一些實施例中,影像感測器結構包括半導體基板、半導體基板中之複數個光電二極體、半導體基板上的內連接結構,及半導體基板上之複合網格結構。複合網格結構包括氧化物網格及氧化物網格與半導體基板之間的金屬網格,且複合網格結構缺乏氧化物/金屬界面。
在一些實施例中,影像感測器結構之製造方法包括將光電二極體形成於基板中;將內連接結構形成於基板上;將金屬網格層沉積在基板上,將黏附增強層沉積在金屬網格層上,且將氧化物網格層沉積在黏附增強層上;以及蝕刻金屬網格層、黏附增強層及氧化物網格層以形成金屬網格線、分別在金屬網格線上方延伸的黏附增強網格線,及分別在黏附增強網格線上方延伸的氧化物網格線。
AA:畫素陣列區
BA:黏接墊區
PA:周邊區
SA:切割線區
BB:黏接球
BW:黏接導線
CP:導電黏接墊
PD:元件
SE:影像感測元件
PI:畫素區域
E1:氮化物/鎢界面
E2:氮化物/氧化物界面
M:方法
O1:孔
O2:開口
PM1:圖案化遮罩層
GP:網格圖案
GL:網格線
GLx:網格線
GLy:網格線
W1:底部寬度
W2:頂部寬度
W3:底部寬度
W4:頂部寬度
W5:底部寬度
W6:頂部寬度
W7:底部寬度
W8:頂部寬度
W9:頂部寬度
110:基板
110b:背側
110f:前側
110T:溝槽
120:隔離特徵
130:電晶體閘極結構
132:閘極介電層
134:閘極電極
136:側壁間隔物
140:介電結構
150:導電內連接層
160:背側深溝槽隔離結構
180:抗反射塗層
200:緩衝層
200’:圖案化緩衝層
202b:底部部分
202g:緩衝網格
210:阻障層
210’:圖案化阻障層
212:阻障網格
220:金屬網格層
220’:圖案化金屬網格層
222:金屬網格
230:黏附增強層
230’:圖案化黏附增強層
232:黏附增強網格
240:重疊介電網格層
240’:圖案化第一介電網格層
242:第一介電網格
242m:主分段
242t:漸縮頂部分段
250:第二介電網格層
250’:圖案化第二介電網格層
252:第二介電網格
260:複合網格結構
270:彩色濾光片
280:微透鏡
S11~S17:方塊
900:支撐基板
當與附圖一起閱讀時自以下詳細描述更好地理解本揭示案之態樣。應注意,根據行業中之標準實踐,各種特徵未按比例繪製。實際上,可出於論述之清晰性而任意地增加或減少各種特徵之尺寸。
第1圖至第5圖為根據本揭示案之各種實施例之製造背照式(backside illuminated;BSI)CMOS影像感測器 (backside illuminated CMOS image sensor;BSI-CIS)結構之各種中間級段的剖面圖。
第6A圖為根據本揭示案之各種實施例之製造BSI-CIS結構之中間級段的剖面圖。
第6B圖為如第6A圖中所示的製造BSI-CIS結構之中間級段的俯視圖。
第7A圖為根據本揭示案之各種實施例之製造BSI-CIS結構之中間級段的剖面圖。
第7B圖為如第7A圖中所示的製造BSI-CIS結構之中間級段的俯視圖。
第8圖為根據本揭示案之各種實施例之製造BSI-CIS結構之中間級段的剖面圖。
第9圖例示根據一些實施例之製造BSI-CIS結構之方法。
第10圖為根據本揭示案之一些實施例之BSI-CIS結構的剖面圖。
以下揭示內容提供用於實行所提供主題之不同特徵的許多不同實施例,或實例。以下描述組件及佈置之特定實例以簡化本揭示案。當然,此等僅為實例且不欲為限制。例如,以下描述中的第二特徵上方或上之第一特徵之形成可包括其中第一特徵及第二特徵係直接接觸地形成的實施例,且可亦包括其中額外特徵可形成在第一特徵與第 二特徵之間,使得第一特徵及第二特徵可不直接接觸的實施例。另外,本揭示案可在各種實例中重複元件符號及/或字母。此重複係用於簡單性及清晰性之目的,且實質上並不規定所論述之各種實施例及/或組態之間的關係。
此外,空間相對術語,諸如「下方」、「以下」、「下」、「上方」、「上」等等,可在本文中使用於便於描述,以描述一個元件或特徵與如圖中所例示的另一元件(多個)或特徵(多個)之關係。除圖中所描繪的定向之外,空間相對術語意欲涵蓋使用或操作中的裝置之不同定向。設備可以其他方式定向(旋轉90度或以其他定向),且同樣可相應地解釋本文中所使用的空間相對術語。
CMOS影像感測器(CMOS image sensor;CIS)包含複數個畫素區域,該等複數個畫素區域分別具有影像感測元件,諸如光電二極體。背照式(backside illuminated;BSI)CMOS影像感測器(backside illuminated CMOS image sensor;BSI-CIS)為能夠有效地擷取光子且產生對應電氣訊號的半導體元件。BSI-CIS元件經使用於消費性電子產品以及其他應用,且取代了前照式感測器。這是因為該等BSI-CIS元件具有較高的效率,提供較高的解析度,且可降低製造成本。為形成BSI感測器,將諸如光電二極體的影像感測元件與邏輯電路及內連接結構一起形成於基板中。BSI感測器可基於穿過基板之背側到達影像感測元件的光子之刺激而產生電氣訊號。
電氣訊號(例如,電流訊號)之強度取決於由各別光偵測元件接收的入射光之強度。BSI感測器可經製造為影像感測元件之矩陣。此等感測器之訊號輸出可經聚集以產生數位、畫素化影像。隨著時間推移,半導體產業不斷地減少畫素區域之大小,以便增加BSI-CIS整合晶片中的畫素區域之數目。增加BSI-CIS整合晶片中的畫素區域之數目可進一步提高藉由BSI-CIS整合晶片擷取的影像之解析度。然而,隨著畫素區域之尺寸變得較小,畫素區域變得更接近,且相鄰畫素區域之間的干擾增加。
為減少畫素區域之間的光學干擾且/或為改善藉由BSI-CIS整合晶片擷取的影像之解析度,可使呈陣列排列之不同影像感測元件接收的光通過複合網格結構。該複合網格結構係由金屬網格及堆疊在金屬網格上的氧化物網格形成,該複合網格結構經設計來隔離光且/或過濾特定頻譜。複合網格結構之強健性(robustness)係設計以最小化或防止光損失,該光損失會使量子效率(quantum efficiency;QE)(例如,光子至電子轉換百分比)、角回應(angular response)及BSI影像感測器之10dB之雜訊比(SNR10)劣化。然而,隨著網格線之臨界尺寸(critical dimension;CD)降低,氧化物網格與金屬網格之間的黏附強度降低,因而將導致複合網格結構中之剝離(peeing)缺陷,因而使複合網格結構之強健性劣化。在本揭示案之一些實施例中,將額外黏附增強層插入氧化物網格與金屬網格之間,以便提供金屬網格及氧化物網格的 改良之黏附強度。因此,即使網格線具有縮小的臨界尺寸,亦可緩和複合網格結構中之剝離缺陷。
第1圖至第8圖例示根據本揭示案之各種實施例之製造用於背側CMOS影像感測器(backside CMOS image sensor;BSI-CIS)結構的複合網格結構之方法。亦在第9圖中所示之過程流中示意性地反映第1圖至第8圖中所示之步驟。遍及各種視圖及例示性實施例,相同元件符號用來指定相同元件。應理解,可在由第1圖至第8圖所示的製程之前、期間及之後提供額外操作,且對於方法之額外實施例,可替換或消除以下所描述之操作中之一些。操作/製程之順序可為可互換的。
第1圖例示具有前側110f及背側110b之基板110,該基板接合至支撐基板900。在所描繪實施例中,基板110可為包括矽的半導體基板。替代地或另外,基板110可包括另一元素半導體,諸如鍺;化合物半導體包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體包括SiGe、GaAsP、AlinAs、AlGaAs、GanAs、GanP及/或GanASP;或其組合。基板110可為絕緣體上半導體(semiconductor on insulator;SOI)。在一些實施例中,基板110可包括摻雜磊晶層、濃度漸變半導體層及/或重疊不同類型的另一半導體層的半導體層,諸如矽鍺層上的矽層。在一些實施例中,基板110可在接合至支撐基板900之後藉由蝕刻及/或機械研磨基板110之前側110f減薄。減薄基板110允許輻射更容易 地傳送至隨後形成於基板110內的影像感測元件。
參考第2圖。一或多個隔離特徵120形成於基板110之前側110f內。隔離特徵120包括淺溝槽隔離(shallow trench isolation;STI)結構及/或矽局部氧化(local oxidation of silicon;LOCOS)結構。隔離特徵120可包括氧化矽、氮化矽、氮氧化矽、其他合適的材料,或其組合。隔離特徵120可藉由任何合適的製程形成。例如,形成STI結構120包括在基板110中之前側110f中蝕刻溝槽(例如,藉由使用乾式蝕刻及/或濕式蝕刻),及以一或多個介電材料(例如,SiO2)填充溝槽(例如,藉由使用化學氣相沈積製程)。可執行化學機械研磨(chemical mechanical polishing;CMP)製程以使隔離特徵120與基板110之前側110f平面化。
參考第3圖。影像感測元件SE形成於基板110之各別畫素區域PI內。影像感測元件SE偵測從基板110之背側110b進入的輻射之強度(亮度)。在一些實施例中,影像感測元件SE可用以偵測可見光。替代地,影像感測元件SE可用以偵測紅外線(infrared;IR)、紫外線(ultraviolet;UV)、X射線、微波、其他輻射類型、或其組合。此外,在某些實施例中,影像感測元件SE可用以展現對諸如紅(red;R)、綠(green;G)、或藍(blue;B)波長的特定光波長之敏感性。在此類狀況下,影像感測元件SE可用以偵測具有特定波長的光之強度(亮度)。影像感測元件SE可經佈置為畫素陣列中之畫素。
在一些實施例中,影像感測元件SE可包括光偵測器,諸如光電二極體,該等光偵測器偵測不同波長之強度(亮度)。例如,影像感測元件SE可包括用以偵測紅、綠及/或藍波長的光電二極體。在此類實施例中,影像感測元件SE可包括摻雜區域,該等摻雜的區域具有例如經由基板110之前側110f植入一或多個摻雜劑物種形成於基板110中的n型及/或p型摻雜劑。例如,可藉由選擇性地執行第一植入製程(例如,根據遮罩層)以形成具有第一摻雜類型(例如,n型)的第一區域,及隨後執行第二植入製程以形成鄰接各別第一區域且具有不同於第一摻雜類型之第二摻雜類型(例如,p型)的第二區域,因而得到PN接面,來形成影像感測元件SE(例如,光電二極體),該等PN接面可作為基板110中之光電二極體SE。在一些實施例中,亦可使用第一植入製程或第二植入製程中之一個形成浮動擴散井(未示出)。
一或多個電晶體閘極結構130形成在畫素區域PI內之基板110之前側110f上。在各種實施例中,一或多個電晶體閘極結構130係轉移電晶體、源極隨耦器電晶體、列選擇電晶體,及/或BSI-CIS整合晶片之重置電晶體的閘極。在一些實施例中,可藉由將閘極介電膜及閘極電極膜沉積在基板110之前側110f上來形成一或多個電晶體閘極結構130。閘極介電膜及閘極電極膜隨後經圖案化以形成閘極介電層132及閘極介電層132上的閘極電極134。可沿著閘極電極134之外側壁形成側壁間隔物136。在一 些實施例中,可藉由將間隔物層(例如,氮化物、氧化物等)沉積至基板110之前側110f上且非等向性地蝕刻間隔物層以形成側壁間隔物136來形成側壁間隔物136。光電二極體及電晶體包括各別閘極結構130之形成可稱為前端製程(front-end-of-line;FEOL)。
參考第4圖。導電內連接層150形成在介電結構140內,該介電結構形成在基板110之前側110f上。介電結構140及導電內連接層150之形成可稱為後端製程(back-end-of-line;BEOL)製程,且介電結構140及導電內連接層150可一起稱為內連接結構。介電結構140包括多層堆疊式層間介電質(interlayer dielectric;ILD)層,而導電內連接層150包括導電線及通孔(例如,側向延伸的金屬線及垂直延伸的金屬通孔)之交替層。在一些實施例中,可使用鑲嵌製程(例如,單鑲嵌製程或雙鑲嵌製程)來形成導電內連接層150中之一或多個。鑲嵌製程係藉由將ILD層沉積在基板110之前側110f上、蝕刻ILD層以形成通孔及/或溝槽、以導電材料(例如,金屬)填充通孔及/或溝槽,及使用例如化學機械研磨(chemical mechanical polishing;CMP)製程平面化通孔及/或溝槽外側的過量導電材料來執行。在一些實施例中,可藉由物理氣相沈積技術(例如,物理氣相沈積(physical vapor deposition;PVD)、化學氣相沈積(chemical vapor deposition;CVD)、電漿增強CVD(plasma enhanced CVD;PECVD)、原子層沈積(atomic layer deposition;ALD)等)沉積ILD層,且可使用沉積製程及/或鍍覆製程(例如,電鍍、無電鍍覆等)形成導電材料。在各種實施例中,導電內連接層150可包括例如鎢、銅或鋁銅。
在一些實施例中,在BEOL製程完成之後,可自基板110之背側110b移除支撐基板900。如第5圖中所示,在將介電結構140及導電內連接層150形成在基板110之前側110f上之後,首先使第4圖的結構繞水平軸線翻轉,然後移除支撐基板900。此後,穿過基板110之背側110b將背側深溝槽隔離(backside deep trench isolation;BDTI)結構160形成於基板110中。在一些實施例中,可藉由使用合適的光微影及蝕刻技術圖案化基板110之背側110b以將溝槽110T形成於基板110中,接著使用合適的沈積技術以一或多個介電質材料填充溝槽110T來形成隔離特徵160。然後執行化學機械研磨(chemical mechanical polishing;CMP)製程以使介電質材料與基板110之背側110b平面化,因而將BDTI結構160形成於基板110中。BDTI結構160可包含例如氧化物(例如,SiO2)。在一些實施例中,自背側110b延伸的BDTI結構160分別與自前側110f延伸的STI結構120對準。BDTI結構160及STI結構120可圍繞畫素區域PI中之每一個,以便提供相鄰畫素區域PI之間的電氣隔離,因而可減少相鄰畫素區域PI之間的干擾。
參考第6A圖。選擇性地將抗反射塗層 (antireflective coating;ARC)180形成在基板100之背側110b上。ARC 180為襯裡層,該襯裡層可防止入射光射線被反射遠離基板之背側110b。ARC 180可包括高k材料(例如,具有高於3.9之k值(亦即,介電常數)的材料),諸如氧化鉿(HfO2)、五氧化二鉭(Ta2O5)、二氧化鋯(ZrO2)、氧化鋁(Al2O3),或任何其他高k材料。可使用濺鍍製程、CVD製程、ALD製程,或任何其他合適的沈積技術來沉積ARC 180。ARC 180之厚度的範圍可例如自約10埃至約500埃。
在形成ARC 180之後,可將緩衝層200沉積在ARC 180上以提供實質上平面的表面,後續用於形成複合網格結構的層210-250可形成於該實質上平面上。在一些實施例中,緩衝層200包含的材料係對於將由影像感測元件SE接收的光波長實質上光學透明的。在一些實施例中,緩衝層200包含氧化矽(例如,SiO2)或低k介電質材料。低k介電質材料具有低於約3.9的k值(介電常數)。一些低k介電材料具有低於約3.5的k值,且可具有低於約2.5的k值。在一些實施例中,緩衝層200可使用例如CVD、ALD、PECVD、PEALD、PVD、類似物、或其組合沉積於ARC 180上。緩衝層200之厚度的範圍可例如自約500埃至約1500埃。在一些實施例中,緩衝層200之厚度為約1000埃。
阻障層210係使用合適的沈積技術形成在緩衝層200上。在一些實施例中,阻障層210之一或多個材料經 選擇以減少隨後形成的金屬網格內的金屬外擴散至緩衝層200中。此外,阻障層210之一或多個材料亦經選擇以提供隨後形成的金屬網格與緩衝層200中之下層氧化物材料之間更高的黏附強度。在一些實施例中,阻障層210包含氮化物材料,諸如,氮化鈦(TiN)、氮化鉭(TaN)、類似物,或其組合,以便達成滿意的金屬擴散減少及/或滿意的黏附強度提升。在一些實施例中,阻障層210可使用例如CVD、ALD、PECVD、PEALD、PVD、類似者,或其組合沉積於緩衝層200上。阻障層210之厚度的範圍可例如自約200埃至約400埃。在一些實施例中,阻障層210之厚度為約300埃。過度薄的阻障層210可導致金屬網格內的金屬之外擴散之不充分抑制及/或金屬網格與下層氧化物材料之間的不充分黏附強度。過度厚的阻障層210可導致形成於隨後形成的彩色濾光片(例如,如第8圖中所示之彩色濾光片270)中之不需要的孔隙。
金屬網格層220係使用合適的沈積技術形成於阻障層210上。在一些實施例中,金屬層108包含具有良好間隙填充性質以及良好光反射性以隔離隨後形成的彩色濾光片內之光學路徑的鎢,但是可使用其他合適的金屬。在一些實施例中,金屬網格層220可使用例如CVD、ALD、PECVD、PEALD、PVD、類似者,或其組合沉積於阻障層210上。金屬網格層220之厚度的範圍可例如自約1000埃至約3000埃。在一些實施例中,金屬網格層220之厚度為約2000埃。過度薄的金屬網格層220可能導致 隨後形成的彩色濾光片之不充分光學隔離。過度厚的金屬網格層220可能導致形成於隨後形成的彩色濾光片(例如,如第8圖中所示之彩色濾光片270)中的不需要的孔隙。
黏附增強層230係使用合適的沈積技術形成於金屬網格層220上。在一些實施例中,黏附增強層230包括氮化物材料,該氮化物材料提供至下層金屬網格層220及重疊介電網格層240更好的黏附強度。更詳細地,若金屬網格層220係由鎢形成且介電網格層240係由氧化物形成,則與氧化物網格層240直接沉積在鎢網格層220上的狀況相比,氮化物層230可提供至鎢網格層220及氧化物網格層240更強的黏附強度。以此方式,可減少隨後由層200-250形成的複合網格結構中之剝離缺陷,因而改良複合網格結構之強健性及因此畫素區域PI之間的光學隔離。在一些實施例中,黏附增強層230包括例如氮化矽(Si1-xN,x大於0.01)、氮化鈦(TiN),但是可使用提供至鎢的更強黏附強度的其他合適的材料。黏附增強層230及阻障層210包括相同化學元素(例如,氮),因為該黏附增強層及該阻障層兩者係由氮化物材料形成。值得注意的是,在一些實施例中,阻障層210無Si1-xN,因為當Si1-xN網格介於鎢網格與基板之背側110b之間時,可能使BSI-CIS之光學效能劣化。
在一些實施例中,黏附增強層230可使用例如CVD、ALD、PECVD、PEALD、PVD、類似者、或其組合沉積於金屬網格層220上。黏附增強層230之厚度的 範圍可例如自約100埃至約2000埃。在一些實施例中,黏附增強層230之厚度為約300埃。過度薄的黏附增強層230可能導致至鎢的不充分黏附強度。過度厚的黏附增強層230可能導致隨後形成的彩色濾光片(例如,如第8圖中所示之彩色濾光片270)中的不需要的孔隙。
在一些實施例中,黏附增強層230為由單個材料諸如Si1-xN或TiN形成的單層膜。在一些其他實施例中,黏附增強層230為由Si1-xN層及堆疊在Si1-xN上的TiN層,或TiN層及堆疊在TiN層上的Si1-xN層形成的多層膜。在其中黏附增強層230係由Si1-xN形成的一些實施例中,Si1-xN層230為對於具有範圍自約10nm至約1000nm之波長之光不透明的,因而改良相鄰畫素區域PI之間的光學隔離。在一些實施例中,Si1-xN層230具有自約1.5至約2.5之範圍內的折射係數(refractive index;RI)。在其中黏附增強層230係由TiN形成的一些實施例中,TiN層230具有自約80Ω每單位正方形面積至約120Ω每單位正方形面積之範圍內的片電阻(Rs)。
第一介電網格層240係使用合適的沈積技術形成於黏附增強層230上。在一些實施例中,第一介電網格層240的材料對於欲由影像感測元件SE接收的光之波長實質上光學透明,但其折射係數小於隨後形成的彩色濾光片之折射係數。由於低折射係數,由第一介電網格層240形成的介電網格可做為光導以將光導向至彩色濾光片且有效 地增加彩色濾光片之大小。此外,由於低折射係數,由第一介電網格層240形成的介電網格可用來提供相鄰畫素區域PI之間的光學隔離。打到彩色濾光片與介電網格之邊界的光可基於折射係數差異而在彩色濾光片內經歷全內反射。在一些實施例中,第一介電網格層240包括氧化物材料,諸如氧化矽(SiO2)、氧化鉿(HfO2),但是亦可使用具有小於彩色濾光片之折射係數的其他合適的材料。
因為介電網格層240之氧化物材料藉由黏附增強層230與下層鎢網格層220間隔開,所以隨後由層200-250形成的複合網格結構可缺乏氧化物/鎢界面,該氧化物/鎢界面具有相較於氮化物/鎢界面E1及氮化物/氧化物界面E2之黏附強度較弱的黏附強度,因而減少複合網格結構中之剝離缺陷。在一些實施例中,介電網格層240可使用例如CVD、ALD、PECVD、PEALD、PVD、類似者、或其組合沉積於黏附增強層230上。介電網格層240之厚度的範圍可例如自約2000埃至約4000埃。在一些實施例中,介電網格層240之厚度為約3200埃,該厚度可大於黏附增強層230、金屬網格層220、阻障層210及緩衝層200之厚度。過度薄的介電網格層240可能導致不充分的網格線至網格線間隙,而可能無法形成具有充分厚度之彩色濾光片。過度厚的介電網格層240可能導致不滿意的量子效率,因為介電網格層240之氧化物材料為對於欲由影像感測元件SE接收的光之波長係光學透明的。
第二介電網格層250係使用合適的沈積技術形成 於第一介電網格層240上。第二介電網格層250可做為用於圖案化下層之層以形成複合網格結構的硬遮罩層。在一些實施例中,第二介電網格層250包括氮氧化矽(SiON),但是亦可使用具有相較於下層第一介電網格層240的不同蝕刻選擇性之其他合適的材料。在一些實施例中,第二介電網格層250可使用例如CVD、ALD、PECVD、PEALD、PVD、類似者、或其組合沉積於第一介電網格層240上。第二介電網格層250之厚度的範圍可例如自約1000埃至約2000埃。在一些實施例中,第二介電網格層250之厚度為約1500埃。
第6A圖亦例示形成於第二介電網格層250上的圖案化遮罩層PM1的剖面圖,且第6B圖例示圖案化遮罩層PM1的俯視圖。圖案化遮罩層PM1可包含有機材料,諸如光阻劑材料,且可使用旋塗式塗佈製程,接著使用合適的微影術技術圖案化光阻劑材料以形成延伸穿過圖案化遮罩層PM1的複數個孔O1加以形成。例如,光阻劑材料經輻照(暴露)且顯影以移除光阻劑材料之部分。更詳細地,光罩(未示出)可經置放在光阻劑材料上,該光罩然後可暴露於輻射束,該輻射束可為紫外線(ultraviolet;UV)或準分子雷射器諸如氟化氪(KrF)準分子雷射器,或氟化氬(ArF)準分子雷射器。可例如使用浸入微影術工具或極紫外線光(extreme ultraviolet light;EUV)工具來執行光阻劑材料之暴露以增加解析度且減少最小可達成節距。烘烤或固化操作可經執行來使暴露光阻劑材料硬化,且顯 影劑可用來取決於使用正抗蝕劑或負抗蝕劑而移除光阻劑材料之暴露部分或未暴露部分。因而,如第6A圖及第6B圖中所例示之孔O1經形成於圖案化光阻劑層PM1中。在一些實施例中,孔O1佈置成列及行,使得圖案化光阻劑層PM具有如第6B圖之俯視圖中所例示的網格圖案。
在形成圖案化遮罩層PM1之後,對下層之層250-200執行圖案化製程以使圖案化遮罩層PM1中之孔O1之圖案轉移至下層之層250-200,從而導致延伸穿過圖案化第二介電網格層250’、圖案化第一介電網格層240’、圖案化黏附增強層230’、圖案化金屬網格層220’、圖案化阻障層210’及圖案化緩衝層200’的開口O2,如第7A圖及第7B圖中所例示,其中第7A圖為所得結構的剖面圖且第7B圖為所得結構的俯視圖。
因為圖案化層250’-200’係使用遮罩層PM1(如第6A圖及第6B圖中所例示)作為蝕刻遮罩加以圖案化,所以圖案化層250’-200’繼承來自遮罩層PM1的網格圖案。以此方式,如第7B圖中所例示,當自上方觀察時,圖案化第二介電網格層250’包括具有網格圖案GP之第二介電網格252,如第7B圖中所例示,當自上方觀察時,圖案化第一介電網格層240’包括具有網格圖案GP之第一介電網格242,如第7B圖中所例示,當自上方觀察時,圖案化黏附增強層230’包括具有網格圖案GP之黏附增強網格232,且如第7B圖中所例示,當自上方觀察時,圖案化金屬網格層220’、圖案化阻障層210’及圖案化緩衝層 200’分別包括具有網格圖案GP之金屬網格222、阻障網格212及緩衝網格202g。如第7B圖中所例示,當自上方觀察時,堆疊式網格202g、212、222、232、242、252可以組合方式稱為具有網格圖案GP之複合網格結構260。
如第7B圖中所示,網格圖案GP包括網格線GL,該等網格線定義複數個開口O2(可互換地稱為網格線至網格線間隙),該等開口以一對一方式對應於畫素區域PI。因而,開口O2可根據對應於影像感測元件SE的畫素陣列以週期性圖案佈置。在一些實施例中,網格線GLx在垂直於網格線GLy之方向上延伸。因為黏附增強網格232(例如,氮化物網格)包括同於金屬網格222(例如,鎢網格)及第一介電網格242(例如,氧化物網格)之網格圖案的網格圖案GP,所以黏附增強網格232可將金屬網格222與第一介電網格242完全間隔開。以此方式,複合網格結構260缺乏展現不良黏附強度的氧化物/鎢界面,因而減少在隨後清潔步驟中發生在複合網格結構260中的剝離缺陷,如以下將更詳細地論述。
在一些實施例中,用於形成複合網格結構260之圖案化製程涉及一或多個蝕刻製程,諸如一或多個乾式蝕刻製程,及/或一或多個濕式蝕刻製程。例如,圖案化製程可包括使用含氟蝕刻劑(例如,CF4、SF6或其他含氟蝕刻劑)的乾式蝕刻製程(例如,電漿蝕刻)。使用含氟蝕刻劑的乾式蝕刻製程可導致形成於複合網格結構260之側壁上的 不合需要的副產品(例如,含鎢聚合物殘留物)。
超音波清潔製程然後經執行移除來自複合網格結構260的副產品。超音波清潔製程包括使用用於在清潔溶液諸如去離子水(DI水)、其他適用的溶液等等中攪拌包括複合網格結構260之晶圓的機械攪拌產生器,以增強清潔製程。機械攪拌產生器可提供在自約1MHz至約100MHz之範圍內之攪拌頻率,但是可使用其他合適的攪拌頻率。值得注意的是,若包括金屬網格及接觸金屬網格的氧化物網格之複合網格結構經歷超音波清潔製程,則氧化物網格可能由於氧化物/鎢界面處之不充分黏附強度而自金屬網格剝離。然而,超音波清潔製程將不導致複合網格結構260中之剝離缺陷或導致複合網格結構260中之較少剝離缺陷,這是因為複合網格結構260缺乏任何氧化物/鎢界面。
在一些實施例中,刻蝕製程並未突破緩衝層200’,使得緩衝層200’包括在緩衝器網格202g下方且跨於基板之背側110b延伸的底部部分202b。在一些其他實施例中,刻蝕製程可突破緩衝層200’以暴露ARC 180。
在一些實施例中,由TiN形成的阻障網格212之網格線GL具有隨著距基板之背側110b之距離增加而增加之寬度。例如,TiN網格線具有底部寬度W1及大於底部寬度W1之頂部寬度W2。底部寬度W1可為例如在自約71nm至約89nm之範圍內(例如,約82nm),且頂部寬度W2可為例如在自約81nm至約99nm之範圍內(例如,約88nm)。由鎢形成的金屬網格222之網格線具 有隨著距基板之背側110b之距離增加而減少的寬度。例如,鎢網格線具有比得上TiN網格212之頂部寬度W2的在自約81nm至約99nm之範圍內的底部寬度W3,且具有小於底部寬度W3的頂部寬度W4。例如,頂部寬度W4可為在自約54nm至約66nm之範圍內(例如,約63nm)。
在一些實施例中,由Si1-xN形成的黏附增強網格232之網格線GL具有隨著距基板之背側110b之距離增加而增加的寬度。例如,Si1-xN網格線具有比得上鎢網格線之頂部寬度W4的在自約54nm至約66nm之範圍內的底部寬度W5,且具有大於底部寬度W5的頂部寬度W6。例如,頂部寬度W6可為在自約76.5nm至約93.5nm之範圍內(例如,約88nm)。
在一些實施例中,由氧化物形成的介電網格242之網格線GL具有自黏附增強網格232向上延伸的主分段242m及自主分段242m向上延伸的漸縮頂部分段242t。主分段242m具有隨著距基板之背側110b之距離增加而保持實質上恆定的寬度,且漸縮頂部分段242t具有隨著距基板之背側110b之距離增加而遞減的寬度。例如,主分段242m具有比得上Si1-xN網格線之頂部寬度W6的可在自76.5nm至約93.5nm之範圍內的底部寬度W7,及比得上底部寬度W7的頂部寬度W8。頂部分段具有比得上主分段242m之頂部寬度W8的底部寬度,及小於其底部寬度的頂部寬度W9。例如,頂部寬度W9可為在自 約63nm至約77nm之範圍內(例如,約71nm)。在一些實施例中,由SiON形成的介電網格252之網格線具有隨著距基板之背側110b之距離增加而保持實質上恆定的寬度。例如,SiNO網格線具有在自約63nm至約77nm之範圍內(例如,約71nm)的寬度。
儘管複合網格結構260具有帶有可導致剝離或裂縫之增加的風險的前述示例性奈米級寬度之網格線GL,但複合網格結構260中之氧化物/鎢界面之不存在可降低剝離或裂縫之風險。因此,即使網格線之寬度繼續按比例縮小至例如自約10埃至約500埃之範圍,亦可達成複合網格結構260之改良之強健性。在一些實施例中,黏附增強網格232具有小於下層金屬網格222及重疊介電網格242之厚度的厚度(沿著垂直於基板100之背側110b的方向量測)。在一些實施例中,網格線GL與DTI結構160中之對應的一個及STI結構120中之對應的一個垂直對齊。
一旦複合網格結構260之形成完成,將對應於製造中之影像感測器的彩色濾光片270形成於開口O2中。所得結構例示於第8圖中。在一些實施例中,彩色濾光片270填充開口O2,其中上表面與複合網格結構260之上表面近似平齊。彩色濾光片270具有指定顏色,諸如紅色、綠色及藍色,且用以透射指定顏色,而阻擋其他顏色。
用於形成彩色濾光片270之製程可包括,針對顏色指定中之每一個,形成彩色濾光片層且圖案化彩色濾光片層。彩色濾光片層可經形成,以便填充打開O2且覆蓋 複合網格結構260。在圖案化彩色濾光片層之前,彩色濾光片層然後可經平面化且/或回蝕以與複合網格結構260之上表面近似平齊。在一些實施例中,平面化及/或回蝕彩色濾光片層可導致彩色濾光片270具有低於複合網格結構260之最高位置的最高位置。
一旦彩色濾光片270之形成完成,將微透鏡280形成在各別彩色濾光片270上。用於形成微透鏡280之製程可包含將一或多個微透鏡層形成在彩色濾光片270及複合網格結構260上。微透鏡層(多個)可使用例如氣相沉積、ALD、旋轉塗佈等中之一或多個形成。在形成微透鏡層之後,微透鏡層經圖案化以限定對應微透鏡之覆蓋區。例如,微透鏡層之光阻劑層遮罩選擇區域可經形成於微透鏡層上,在微透鏡層之蝕刻期間用作遮罩,且隨後經移除。在微透鏡層經圖案化的情況下,對圖案化微透鏡層執行一或多個回流及/或加熱製程以使圖案化微透鏡層之拐角變圓,因而導致具有圓形表面之微透鏡。
第9圖例示根據一些實施例之形成BSI-CIS結構之方法M。儘管方法M經例示且/或描述為一系列動作或事件,但將理解,方法不限於所例示之次序或動作。因而,在一些實施例中,動作可以相較於所例示的不同順序實行,且/或可並行地實行。此外,在一些實施例中,所例示動作或事件可經再分成多個動作或事件,該等多個動作或事件可在分離時間或與其他動作或子動作並行地實行。在一些實施例中,可省略一些所例示動作或事件,且可包括其他 未例示之動作或事件。
在方塊S11處,將影像感測元件形成於基板中之分離畫素區域內。第1圖至第3圖例示對應於方塊S11中之動作的一些實施例之剖面圖。
在方塊S12處,將BEOL內連接結構形成於基板之前側上。第4圖例示對應於方塊S12中之動作的一些實施例之剖面圖。
在方塊S13處,形成自基板之背側延伸至基板中的DTI結構。第5圖例示對應於方塊S13中之動作的一些實施例之剖面圖。
在方塊S14處,將鎢網格層、黏附增強層及氧化物網格層順序地形成於基板之背側上。第6A圖例示對應於方塊S14中之動作的一些實施例之剖面圖。
在方塊S15處,圖案化鎢網格層、黏附增強層及氧化物網格層以形成複合網格結構。第7A圖及第7B圖分別例示對應於方塊S15中之動作的一些實施例之剖面圖及俯視圖。
在方塊S16處,將彩色濾光片形成於各別網格線至網格線間隙中。在方塊S17處,將微透鏡形成於各別彩色濾光片上。第8圖例示對應於方塊S16及S17中之動作的一些實施例之剖面圖。
第10圖為根據本揭示案之一些實施例之BSI-CIS結構的剖面圖。BSI-CIS結構包括畫素陣列區AA、周邊區PA、黏接墊區BA(亦稱為E-pad區域), 及切割線(scribe line)區SA。畫素陣列區AA含有畫素區域PI之陣列。每個畫素區域PI包括影像感測元件SE,該影像感測元件可感測或偵測具有特定波長之輻射,該等特定波長可對應於不同顏色之光。周邊區PA可包括保持光學上黑暗的其他元件PD。例如,元件PD可為數位元件,諸如特定應用積體電路(application-specific integrated circuit;ASIC)元件或系統單晶片(system-on-chip;SOC)元件。其他元件PD可為用來建立用於BSI-CIS結構之光強度之基線的參考畫素。黏接墊區BA可包括一或多個導電黏接墊或E-pad CP,BSI-CIS結構與外側元件之間的電氣連接可藉由該一或多個導電黏接墊或E-pad建立。例如,黏接導線BW藉由黏接球BB附接至導電黏接墊CP。切割線區SA可為將包括黏接墊區BA、周邊區PA、及畫素陣列區AA的一個半導體晶粒與鄰接半導體晶粒(未例示)分離的區域。在晶粒經封裝且作為積體電路晶片銷售之前,切割線區SA在稍後製造過程中經切割以將鄰接晶粒分離。切割線區SA係以使得不損壞各晶粒中之半導體元件的方式切割。
將黏附增強網格添加至下鎢網格與上氧化物網格之間將不導致對BSI-CIS整合晶片之光學效能之衝擊或導致對該光學效能之可忽略的衝擊。例如,黏附增強網格可導致量子效率(quantum efficiency;QE)之小於1%、干擾效能、角回應,及/或10dB之雜訊比(SNR10)之變化。如以上所論述之BSI-CIS元件為用於描述改良之複合 網格結構之一些實例。然而,以上所描述之改良之複合網格結構亦可整合至其他影像感測器諸如FSI影像感測器中。在此類情形下,改良之複合物結構將安置在基板110之前側110f上,其中BEOL內連接結構介入複合結構與基板110之間。
基於以上論述,可看出本揭示案提供優點。然而,應理解,其他實施例可提供額外優點,且並非所有優點必定在本文中加以揭示,且並非對於所有實施例需要特定優點。一個優點在於,可藉由將黏附增強網格添加至鎢網格與氧化物網格之間減少複合網格結構中之剝離缺陷。另一優點在於,複合網格結構可在超音波清潔製程之後保持強健,因為複合網格結構缺乏在超音波清潔製程期間對剝離敏感的任何氧化物/鎢界面。
在一些實施例中,影像感測器結構包括半導體元件、形成於半導體基板中的複數個影像感測元件、形成於半導體基板上的內連接結構,及半導體基板上的複合網格結構。複合網格結構包括鎢網格、鎢網格上方的氧化物網格,及將鎢網格與氧化物網格間隔開的黏附增強網格。在一些實施例中,黏附增強網格係由一氮化物材料形成。在一些實施例中,黏附增強網格包含複數網格線。該等網格線各自具有隨著距該半導體基板之一距離增加而增加的一寬度。在一些實施例中,該鎢網格包含複數網格線,該等網格線各自具有隨著距該半導體基板之一距離增加而減少的一寬度。在一些實施例中,該氧化物網格包含複數網格線,該 等網格線各自具有一漸縮頂部分段。在一些實施例中,該黏附增強網格包含複數網格線,該等網格線各自具有在自約10埃至約500埃之一範圍內的一寬度。在一些實施例中,黏附增強網格具有在自約1.5至約2.5之一範圍內的一折射係數。在一些實施例中,黏附增強網格具有在自約80Ω每單位正方形面積至約120Ω每單位正方形面積之一範圍內的一片電阻。在一些實施例中,複合網格結構更包含鎢網格與半導體基板之間的一阻障網格。在一些實施例中,阻障網格及黏附增強網格具有一相同化學元素。在一些實施例中,複合網格結構更包含氧化物網格上的一氮氧化物網格。
在一些實施例中,影像感測器結構包括半導體基板、半導體基板中之複數個光電二極體、半導體基板上的內連接結構,及半導體基板上之複合網格結構。複合網格結構包括氧化物網格及氧化物網格與半導體基板之間的金屬網格,且複合網格結構缺乏氧化物/金屬界面。在一些實施例中,該複合網格結構更包含一氮化物網格,該氮化物網格與該金屬網格之一頂部表面形成一氮化物/金屬界面。在一些實施例中,該氮化物網格更與該氧化物網格之一底部表面形成一氮化物/氧化物界面。在一些實施例中,該氮化物網格具有小於該金屬網格之一厚度的一厚度。在一些實施例中,該氮化物網格具有小於該氧化物網格之一厚度的一厚度。在一些實施例中,影像感測器結構更包含複數彩色濾光片,延伸穿過該氮化物網格。在一些實施例中,影像 感測器結構更包含複數微透鏡,分別在該等彩色濾光片上。
在一些實施例中,方法包括將光電二極體形成於基板中;將內連接結構形成於基板上;將金屬網格層沉積在基板上,將黏附增強層沉積在金屬網格層上,且將氧化物網格層沉積在黏附增強層上;以及蝕刻金屬網格層、黏附增強層及氧化物網格層以形成金屬網格線、分別在金屬網格線上方延伸的黏附增強網格線,及分別在黏附增強網格線上方延伸的氧化物網格線。在一些實施例中,此方法更包含在沉積該金屬網格層之前,形成一緩衝層形成於該基板上,且形成一阻障層於該緩衝層上;以及蝕刻該阻障層及該緩衝層以形成分別在該等金屬網格線下方延伸的複數阻障網格線,及分別在該等阻障網格線下方延伸的緩衝網格線。
前述內容概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭示案之態樣。熟習此項技術者應瞭解,他們可容易地將本揭示案用作設計或修改其他製程及結構之基礎,以用於實行相同目的及/或達成本文引入的實施例之相同優點。熟習此項技術者亦應認識到此類等效構造不脫離本揭示案之精神及範疇,且他們可在不脫離本揭示案之精神及範疇的情況下在本文中做出各種變化、置換及變更。
SE:影像感測元件
PI:畫素區域
110:基板
110b:背側
110f:前側
110T:溝槽
120:隔離特徵
130:電晶體閘極結構
140:介電結構
150:導電內連接層
160:背側深溝槽隔離結構
180:抗反射塗層
202b:底部部分
202g:緩衝網格
212:阻障網格
222:金屬網格
232:黏附增強網格
242:第一介電網格
252:第二介電網格
260:複合網格結構
270:彩色濾光片
280:微透鏡

Claims (10)

  1. 一種影像感測器結構,包含:一半導體基板;複數個影像感測元件,形成於該半導體基板中;一內連接結構,其形成於該半導體基板上;以及一複合網格結構,於該半導體基板上,其中該複合網格結構包含一鎢網格、形成於該鎢網格上方的一氧化物網格,及一黏附增強網格,該黏附增強網格將該鎢網格與該氧化物網格間隔開,且該氧化物網格的材質包含氧化矽(SiO2)或氧化鉿(HfO2)。
  2. 如請求項1所述之影像感測器結構,其中該黏附增強網格包含複數網格線,該等網格線各自具有隨著距該半導體基板之一距離增加而增加的一寬度。
  3. 如請求項1所述之影像感測器結構,其中該鎢網格包含複數網格線,該等網格線各自具有隨著距該半導體基板之一距離增加而減少的一寬度。
  4. 如請求項1所述之影像感測器結構,其中該氧化物網格包含複數網格線,該等網格線各自具有一漸縮頂部分段。
  5. 如請求項1所述之影像感測器結構,其中該 複合網格結構更包含該氧化物網格上的一氮氧化物網格。
  6. 一種影像感測器結構,包含:一半導體基板;複數個光電二極體,於該半導體基板中;一內連接結構,於該半導體基板上;以及一複合網格結構,於該半導體基板上,其中該複合網格結構包含一氧化物網格及該氧化物網格與該半導體基板之間的一金屬網格,該複合網格結構缺乏一氧化物/金屬界面,且該氧化物網格的材質包含氧化矽(SiO2)或氧化鉿(HfO2)。
  7. 如請求項6所述之影像感測器結構,其中該複合網格結構更包含一氮化物網格,該氮化物網格與該金屬網格之一頂部表面形成一氮化物/金屬界面。
  8. 如請求項7所述之影像感測器結構,更包含:複數彩色濾光片,延伸穿過該氮化物網格。
  9. 一種影像感測器結構之製造方法,包含以下步驟:形成複數光電二極體於一基板中;形成一內連接結構於該基板上;沉積一金屬網格層在該基板上、一黏附增強層在該金屬 網格層上,及一氧化物網格層在該黏附增強層上,其中該氧化物網格層的材質包含氧化矽(SiO2)或氧化鉿(HfO2);以及蝕刻該金屬網格層、該黏附增強層及該氧化物網格層以形成複數金屬網格線、分別在該等金屬網格線上方延伸的複數黏附增強網格線,及分別在該等黏附增強網格線上方延伸的複數氧化物網格線。
  10. 如請求項9所述之方法,更包含以下步驟:在沉積該金屬網格層之前,形成一緩衝層形成於該基板上,且形成一阻障層於該緩衝層上;以及蝕刻該阻障層及該緩衝層以形成分別在該等金屬網格線下方延伸的複數阻障網格線,及分別在該等阻障網格線下方延伸的緩衝網格線。
TW110101182A 2020-01-17 2021-01-12 影像感測器結構及其製造方法 TWI753745B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/746,720 2020-01-17
US16/746,720 US11532658B2 (en) 2020-01-17 2020-01-17 Image sensor grid and method of fabrication of same

Publications (2)

Publication Number Publication Date
TW202129944A TW202129944A (zh) 2021-08-01
TWI753745B true TWI753745B (zh) 2022-01-21

Family

ID=76810401

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101182A TWI753745B (zh) 2020-01-17 2021-01-12 影像感測器結構及其製造方法

Country Status (3)

Country Link
US (4) US11532658B2 (zh)
CN (1) CN113140582A (zh)
TW (1) TWI753745B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11528442B2 (en) * 2019-12-23 2022-12-13 Sivananthan Laboratories, Inc. Adjacent electrode which provides pixel delineation for monolithic integration of a colloidal quantum dot photodetector film with a readout integrated circuit
KR20210129856A (ko) * 2020-04-21 2021-10-29 삼성전자주식회사 이미지 센서 및 그 제조 방법
US11257758B2 (en) * 2020-06-24 2022-02-22 Taiwan Semiconductor Manufacturing Company Limited Backside connection structures for nanostructures and methods of forming the same
US20220013560A1 (en) * 2020-07-07 2022-01-13 Visera Technologies Company Limited Image sensor
CN115241221B (zh) * 2022-09-26 2023-01-06 合肥晶合集成电路股份有限公司 背照式图像传感器及其制作方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103579270A (zh) * 2012-08-08 2014-02-12 索尼公司 图像传感器、成像装置及制造图像传感器的装置及方法
CN105355639A (zh) * 2010-08-31 2016-02-24 索尼公司 固体摄像元件及其制造方法、固体摄像装置和摄像装置
CN105990384A (zh) * 2015-03-20 2016-10-05 台湾积体电路制造股份有限公司 减少背照式图像传感器中的串扰的复合栅格结构
CN106057838A (zh) * 2015-04-17 2016-10-26 台湾积体电路制造股份有限公司 半导体结构及其制造方法
TW201719875A (zh) * 2015-09-16 2017-06-01 台灣積體電路製造股份有限公司 針對複合格狀結構中相位偵測自動對焦像素的微透鏡及其形成方法
CN106876420A (zh) * 2015-10-15 2017-06-20 台湾积体电路制造股份有限公司 集成电路、图像传感器的集成电路及其制造方法
TW201926573A (zh) * 2017-11-27 2019-07-01 台灣積體電路製造股份有限公司 互補式金屬-氧化物-半導體影像感測器及其形成方法
CN112117287A (zh) * 2019-06-21 2020-12-22 三星电子株式会社 图像传感器

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9443899B1 (en) * 2015-11-04 2016-09-13 Omnivision Technologies, Inc. BSI CMOS image sensor with improved phase detecting pixel
US10498947B2 (en) * 2017-10-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor including light shielding layer and patterned dielectric layer

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105355639A (zh) * 2010-08-31 2016-02-24 索尼公司 固体摄像元件及其制造方法、固体摄像装置和摄像装置
CN103579270A (zh) * 2012-08-08 2014-02-12 索尼公司 图像传感器、成像装置及制造图像传感器的装置及方法
CN105990384A (zh) * 2015-03-20 2016-10-05 台湾积体电路制造股份有限公司 减少背照式图像传感器中的串扰的复合栅格结构
CN106057838A (zh) * 2015-04-17 2016-10-26 台湾积体电路制造股份有限公司 半导体结构及其制造方法
TW201719875A (zh) * 2015-09-16 2017-06-01 台灣積體電路製造股份有限公司 針對複合格狀結構中相位偵測自動對焦像素的微透鏡及其形成方法
CN106876420A (zh) * 2015-10-15 2017-06-20 台湾积体电路制造股份有限公司 集成电路、图像传感器的集成电路及其制造方法
TW201926573A (zh) * 2017-11-27 2019-07-01 台灣積體電路製造股份有限公司 互補式金屬-氧化物-半導體影像感測器及其形成方法
CN112117287A (zh) * 2019-06-21 2020-12-22 三星电子株式会社 图像传感器

Also Published As

Publication number Publication date
TW202129944A (zh) 2021-08-01
US20230120006A1 (en) 2023-04-20
US20210225918A1 (en) 2021-07-22
US11532658B2 (en) 2022-12-20
US20220359598A1 (en) 2022-11-10
CN113140582A (zh) 2021-07-20
US20240047496A1 (en) 2024-02-08

Similar Documents

Publication Publication Date Title
TWI753745B (zh) 影像感測器結構及其製造方法
US9978784B2 (en) Grids in backside illumination image sensor chips and methods for forming the same
CN109427832B (zh) 影像感应器集成芯片
US9478581B2 (en) Grids in backside illumination image sensor chips and methods for forming the same
TWI528536B (zh) 在背照式影像感測器晶片內形成多層金屬膜堆疊的方法及背照式影像感測器裝置
TWI476910B (zh) 半導體裝置及其製作方法
US8866250B2 (en) Multiple metal film stack in BSI chips
KR102456271B1 (ko) 후면 정렬 마크가 있는 bsi 칩
TW201639137A (zh) 背面感光式影像感測器及其形成方法
TWI809657B (zh) 影像感測器及其形成方法
TW202230767A (zh) 像素陣列
TW201342585A (zh) 半導體裝置的製造方法
TWI717795B (zh) 影像感測器及其形成方法
US20220384509A1 (en) Low-Refractivity Grid Structure and Method Forming Same
US20210351225A1 (en) Image sensor grid and method of manufacturing same
TW202220068A (zh) 半導體元件及製造其的方法
KR20090068655A (ko) 이미지 센서의 제조 방법