CN113140582A - 影像感测器结构及其制造方法 - Google Patents

影像感测器结构及其制造方法 Download PDF

Info

Publication number
CN113140582A
CN113140582A CN202110052276.4A CN202110052276A CN113140582A CN 113140582 A CN113140582 A CN 113140582A CN 202110052276 A CN202110052276 A CN 202110052276A CN 113140582 A CN113140582 A CN 113140582A
Authority
CN
China
Prior art keywords
mesh
layer
grid
oxide
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110052276.4A
Other languages
English (en)
Inventor
林津裕
廖耕颍
叶书佑
陈柏仁
董怀仁
陈咸利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113140582A publication Critical patent/CN113140582A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14629Reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14645Colour imagers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

一种影像感测器结构及其制造方法,该影像感测器结构包括半导体元件、形成于半导体基板中的多个影像感测元件、形成于半导体基板上的内连接结构,及半导体基板上的复合网格结构。复合网格结构包括钨网格、钨网格上方的氧化物网格,及将钨网格与氧化物网格间隔开的粘附增强网格。

Description

影像感测器结构及其制造方法
技术领域
本揭露是关于一种影像感测器,特别是关于影像感测器网格及其制造方法。
背景技术
具有影像感测器的集成电路(Integrated circuit;IC)使用在范围广泛的现代电子装置中。近年来,互补金氧半导体(complementary metal-oxide semiconductor;CMOS)影像感测器已开始看到广泛使用,主要替换电荷耦合装置(charge-coupled device;CCD)影像感测器。与CCD影像感测器相比,CMOS影像感测器由于低功率消耗、小尺寸、快速数据处理、直接数据输出及低制造成本而日益受欢迎。CMOS影像感测器的类型包括前照式(front-side illuminated;FSI)影像感测器及背照式(back-side illuminated;BSI)影像感测器。
发明内容
在一些实施例中,影像感测器结构包括半导体基板、形成于半导体基板中的多个影像感测元件、形成于半导体基板上的内连接结构、及半导体基板上的复合网格结构。复合网格结构包括钨网格、钨网格上方的氧化物网格、及将钨网格与氧化物网格间隔开的粘附增强网格。
在一些实施例中,影像感测器结构包括半导体基板、半导体基板中的多个光电二极管、半导体基板上的内连接结构、及半导体基板上的复合网格结构。复合网格结构包括氧化物网格及氧化物网格与半导体基板之间的金属网格,且复合网格结构缺乏氧化物/金属界面。
在一些实施例中,影像感测器结构的制造方法包括将光电二极管形成于基板中;将内连接结构形成于基板上;将金属网格层沉积在基板上,将粘附增强层沉积在金属网格层上,且将氧化物网格层沉积在粘附增强层上;以及蚀刻金属网格层、粘附增强层及氧化物网格层以形成金属网格线、分别在金属网格线上方延伸的粘附增强网格线、及分别在粘附增强网格线上方延伸的氧化物网格线。
附图说明
当与附图一起阅读时自以下详细描述更好地理解本揭示案的态样。应注意,根据行业中的标准实践,各种特征未按比例绘制。实际上,可出于论述的清晰性而任意地增加或减少各种特征的尺寸。
图1至图5为根据本揭示案的各种实施例的制造背照式(backside illuminated;BSI)CMOS影像感测器(backside illuminated CMOS image sensor;BSI-CIS)结构的各种中间级段的剖面图;
图6A为根据本揭示案的各种实施例的制造BSI-CIS结构的中间级段的剖面图;
图6B为如图6A中所示的制造BSI-CIS结构的中间级段的俯视图;
图7A为根据本揭示案的各种实施例的制造BSI-CIS结构的中间级段的剖面图;
图7B为如图7A中所示的制造BSI-CIS结构的中间级段的俯视图;
图8为根据本揭示案的各种实施例的制造BSI-CIS结构的中间级段的剖面图;
图9例示根据一些实施例的制造BSI-CIS结构的方法;
图10为根据本揭示案的一些实施例的BSI-CIS结构的剖面图。
【符号说明】
AA:像素阵列区
BA:粘接垫区
PA:周边区
SA:切割线区
BB:粘接球
BW:粘接导线
CP:导电粘接垫
PD:元件
SE:影像感测元件
PI:像素区域
E1:氮化物/钨界面
E2:氮化物/氧化物界面
M:方法
O1:孔
O2:开口
PM1:图案化遮罩层
GP:网格图案
GL:网格线
GLx:网格线
GLy:网格线
W1:底部宽度
W2:顶部宽度
W3:底部宽度
W4:顶部宽度
W5:底部宽度
W6:顶部宽度
W7:底部宽度
W8:顶部宽度
W9:顶部宽度
110:基板
110b:背侧
110f:前侧
110T:沟槽
120:隔离特征
130:晶体管栅极结构
132:栅极介电层
134:栅极电极
136:侧壁间隔物
140:介电结构
150:导电内连接层
160:背侧深沟槽隔离结构
180:抗反射涂层
200:缓冲层
200’:图案化缓冲层
202b:底部部分
202g:缓冲网格
210:阻障层
210’:图案化阻障层
212:阻障网格
220:金属网格层
220’:图案化金属网格层
222:金属网格
230:粘附增强层
230’:图案化粘附增强层
232:粘附增强网格
240:重叠介电网格层
240’:图案化第一介电网格层
242:第一介电网格
242m:主分段
242t:渐缩顶部分段
250:第二介电网格层
250’:图案化第二介电网格层
252:第二介电网格
260:复合网格结构
270:彩色滤光片
280:微透镜
S11~S17:方块
900:支撑基板
具体实施方式
以下揭示内容提供用于实行所提供主题的不同特征的许多不同实施例,或实例。以下描述组件及布置的特定实例以简化本揭示案。当然,这些仅为实例且不欲为限制。例如,以下描述中的第二特征上方或上的第一特征的形成可包括其中第一特征及第二特征是直接接触地形成的实施例,且可亦包括其中额外特征可形成在第一特征与第二特征之间,使得第一特征及第二特征可不直接接触的实施例。另外,本揭示案可在各种实例中重复元件符号及/或字母。此重复是用于简单性及清晰性的目的,且实质上并不规定所论述的各种实施例及/或组态之间的关系。
此外,空间相对术语,诸如“下方”、“以下”、“下”、“上方”、“上”等等,可在本文中使用于便于描述,以描述一个元件或特征与如图中所例示的另一元件(多个)或特征(多个)的关系。除图中所描绘的定向之外,空间相对术语意欲涵盖使用或操作中的装置的不同定向。设备可以其他方式定向(旋转90度或以其他定向),且同样可相应地解释本文中所使用的空间相对术语。
CMOS影像感测器(CMOS image sensor;CIS)包含多个像素区域,这些多个像素区域分别具有影像感测元件,诸如光电二极管。背照式(backside illuminated;BSI)CMOS影像感测器(backside illuminated CMOS image sensor;BSI-CIS)为能够有效地撷取光子且产生对应电气信号的半导体元件。BSI-CIS元件经使用于消费性电子产品以及其他应用,且取代了前照式感测器。这是因为这些BSI-CIS元件具有较高的效率,提供较高的解析度,且可降低制造成本。为形成BSI感测器,将诸如光电二极管的影像感测元件与逻辑电路及内连接结构一起形成于基板中。BSI感测器可基于穿过基板的背侧到达影像感测元件的光子的刺激而产生电气信号。
电气信号(例如,电流信号)的强度取决于由各别光侦测元件接收的入射光的强度。BSI感测器可经制造为影像感测元件的矩阵。这些感测器的信号输出可经聚集以产生数字、像素化影像。随着时间推移,半导体产业不断地减少像素区域的大小,以便增加BSI-CIS整合晶片中的像素区域的数目。增加BSI-CIS整合晶片中的像素区域的数目可进一步提高通过BSI-CIS整合晶片撷取的影像的解析度。然而,随着像素区域的尺寸变得较小,像素区域变得更接近,且相邻像素区域之间的干扰增加。
为减少像素区域之间的光学干扰且/或为改善通过BSI-CIS整合晶片撷取的影像的解析度,可使呈阵列排列的不同影像感测元件接收的光通过复合网格结构。该复合网格结构是由金属网格及堆叠在金属网格上的氧化物网格形成,该复合网格结构经设计来隔离光且/或过滤特定频谱。复合网格结构的强健性(robustness)是设计以最小化或防止光损失,该光损失会使量子效率(quantum efficiency;QE)(例如,光子至电子转换百分比)、角回应(angular response)及BSI影像感测器的10dB的信噪比(SNR10)劣化。然而,随着网格线的临界尺寸(critical dimension;CD)降低,氧化物网格与金属网格之间的粘附强度降低,因而将导致复合网格结构中的剥离(peeing)缺陷,因而使复合网格结构的强健性劣化。在本揭示案的一些实施例中,将额外粘附增强层插入氧化物网格与金属网格之间,以便提供金属网格及氧化物网格的改良的粘附强度。因此,即使网格线具有缩小的临界尺寸,亦可缓和复合网格结构中的剥离缺陷。
图1至图8例示根据本揭示案的各种实施例的制造用于背侧CMOS影像感测器(backside CMOS image sensor;BSI-CIS)结构的复合网格结构的方法。亦在图9中所示的过程流中示意性地反映图1至图8中所示的步骤。遍及各种视图及例示性实施例,相同元件符号用来指定相同元件。应理解,可在由图1至图8所示的制程之前、期间及之后提供额外操作,且对于方法的额外实施例,可替换或消除以下所描述的操作中的一些。操作/制程的顺序为可互换的。
图1例示具有前侧110f及背侧110b的基板110,该基板接合至支撑基板900。在所描绘实施例中,基板110可为包括硅的半导体基板。替代地或另外,基板110可包括另一元素半导体,诸如锗;化合物半导体包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟;合金半导体包括SiGe、GaAsP、AlinAs、AlGaAs、GanAs、GanP及/或GanASP;或其组合。基板110可为绝缘体上半导体(semiconductor on insulator;SOI)。在一些实施例中,基板110可包括掺杂磊晶层、浓度渐变半导体层及/或重叠不同类型的另一半导体层的半导体层,诸如硅锗层上的硅层。在一些实施例中,基板110可在接合至支撑基板900之后通过蚀刻及/或机械研磨基板110之前侧110f减薄。减薄基板110允许辐射更容易地传送至随后形成于基板110内的影像感测元件。
参考图2。一或多个隔离特征120形成于基板110之前侧110f内。隔离特征120包括浅沟槽隔离(shallow trench isolation;STI)结构及/或硅局部氧化(local oxidationof silicon;LOCOS)结构。隔离特征120可包括氧化硅、氮化硅、氮氧化硅、其他合适的材料,或其组合。隔离特征120可通过任何合适的制程形成。例如,形成STI结构120包括在基板110中之前侧110f中蚀刻沟槽(例如,通过使用干式蚀刻及/或湿式蚀刻),及以一或多个介电材料(例如,SiO2)填充沟槽(例如,通过使用化学气相沉积制程)。可执行化学机械研磨(chemical mechanical polishing;CMP)制程以使隔离特征120与基板110之前侧110f平面化。
参考图3。影像感测元件SE形成于基板110的各别像素区域PI内。影像感测元件SE侦测从基板110的背侧110b进入的辐射的强度(亮度)。在一些实施例中,影像感测元件SE可用以侦测可见光。替代地,影像感测元件SE可用以侦测红外线(infrared;IR)、紫外线(ultraviolet;UV)、X射线、微波、其他辐射类型、或其组合。此外,在某些实施例中,影像感测元件SE可用以展现对诸如红(red;R)、绿(green;G)、或蓝(blue;B)波长的特定光波长的敏感性。在此类状况下,影像感测元件SE可用以侦测具有特定波长的光的强度(亮度)。影像感测元件SE可经布置为像素阵列中的像素。
在一些实施例中,影像感测元件SE可包括光侦测器,诸如光电二极管,这些光侦测器侦测不同波长的强度(亮度)。例如,影像感测元件SE可包括用以侦测红、绿及/或蓝波长的光电二极管。在此类实施例中,影像感测元件SE可包括掺杂区域,这些掺杂的区域具有例如经由基板110的前侧110f植入一或多个掺杂剂物种形成于基板110中的n型及/或p型掺杂剂。例如,可通过选择性地执行第一植入制程(例如,根据遮罩层)以形成具有第一掺杂类型(例如,n型)的第一区域,及随后执行第二植入制程以形成邻接各别第一区域且具有不同于第一掺杂类型的第二掺杂类型(例如,p型)的第二区域,因而得到PN接面,来形成影像感测元件SE(例如,光电二极管),这些PN接面可作为基板110中的光电二极管SE。在一些实施例中,亦可使用第一植入制程或第二植入制程中的一个形成浮动扩散井(未示出)。
一或多个晶体管栅极结构130形成在像素区域PI内的基板110的前侧110f上。在各种实施例中,一或多个晶体管栅极结构130是转移晶体管、源极随耦器晶体管、列选择晶体管,及/或BSI-CIS整合晶片的重置晶体管的栅极。在一些实施例中,可通过将栅极介电膜及栅极电极膜沉积在基板110的前侧110f上来形成一或多个晶体管栅极结构130。栅极介电膜及栅极电极膜随后经图案化以形成栅极介电层132及栅极介电层132上的栅极电极134。可沿着栅极电极134的外侧壁形成侧壁间隔物136。在一些实施例中,可通过将间隔物层(例如,氮化物、氧化物等)沉积至基板110的前侧110f上且非等向性地蚀刻间隔物层以形成侧壁间隔物136来形成侧壁间隔物136。光电二极管及晶体管包括各别栅极结构130的形成可称为前端制程(front-end-of-line;FEOL)。
参考图4。导电内连接层150形成在介电结构140内,该介电结构形成在基板110的前侧110f上。介电结构140及导电内连接层150的形成可称为后端制程(back-end-of-line;BEOL),且介电结构140及导电内连接层150可一起称为内连接结构。介电结构140包括多层堆叠式层间介电质(interlayer dielectric;ILD)层,而导电内连接层150包括导电线及通孔(例如,侧向延伸的金属线及垂直延伸的金属通孔)的交替层。在一些实施例中,可使用镶嵌制程(例如,单镶嵌制程或双镶嵌制程)来形成导电内连接层150中的一或多个。镶嵌制程是通过将ILD层沉积在基板110的前侧110f上、蚀刻ILD层以形成通孔及/或沟槽、以导电材料(例如,金属)填充通孔及/或沟槽,及使用例如化学机械研磨(chemical mechanicalpolishing;CMP)制程平面化通孔及/或沟槽外侧的过量导电材料来执行。在一些实施例中,可通过物理气相沉积技术(例如,物理气相沉积(physical vapor deposition;PVD)、化学气相沉积(chemical vapor deposition;CVD)、电浆增强CVD(plasma enhanced CVD;PECVD)、原子层沉积(atomic layer deposition;ALD)等)沉积ILD层,且可使用沉积制程及/或镀覆制程(例如,电镀、无电镀覆等)形成导电材料。在各种实施例中,导电内连接层150可包括例如钨、铜或铝铜。
在一些实施例中,在BEOL制程完成之后,可自基板110的背侧110b移除支撑基板900。如图5中所示,在将介电结构140及导电内连接层150形成在基板110的前侧110f上之后,首先使图4的结构绕水平轴线翻转,然后移除支撑基板900。此后,穿过基板110的背侧110b将背侧深沟槽隔离(backside deep trench isolation;BDTI)结构160形成于基板110中。在一些实施例中,可通过使用合适的光微影及蚀刻技术图案化基板110的背侧110b以将沟槽110T形成于基板110中,接着使用合适的沉积技术以一或多个介电质材料填充沟槽110T来形成隔离特征160。然后执行化学机械研磨(chemical mechanical polishing;CMP)制程以使介电质材料与基板110的背侧110b平面化,因而将BDTI结构160形成于基板110中。BDTI结构160可包含例如氧化物(例如,SiO2)。在一些实施例中,自背侧110b延伸的BDTI结构160分别与自前侧110f延伸的STI结构120对准。BDTI结构160及STI结构120可围绕像素区域PI中的每一个,以便提供相邻像素区域PI之间的电气隔离,因而可减少相邻像素区域PI之间的干扰。
参考图6A。选择性地将抗反射涂层(antireflective coating;ARC)180形成在基板100的背侧110b上。ARC 180为衬里层,该衬里层可防止入射光射线被反射远离基板的背侧110b。ARC 180可包括高k材料(例如,具有高于3.9的k值(亦即,介电常数)的材料),诸如氧化铪(HfO2)、五氧化二钽(Ta2O5)、二氧化锆(ZrO2)、氧化铝(Al2O3),或任何其他高k材料。可使用溅镀制程、CVD制程、ALD制程,或任何其他合适的沉积技术来沉积ARC 180。ARC 180的厚度的范围可例如自约10埃至约500埃。
在形成ARC 180之后,可将缓冲层200沉积在ARC 180上以提供实质上平面的表面,后续用于形成复合网格结构的层210-250可形成于该实质上平面上。在一些实施例中,缓冲层200包含的材料是对于将由影像感测元件SE接收的光波长实质上光学透明的。在一些实施例中,缓冲层200包含氧化硅(例如,SiO2)或低k介电质材料。低k介电质材料具有低于约3.9的k值(介电常数)。一些低k介电材料具有低于约3.5的k值,且可具有低于约2.5的k值。在一些实施例中,缓冲层200可使用例如CVD、ALD、PECVD、PEALD、PVD、类似物、或其组合沉积于ARC 180上。缓冲层200的厚度的范围可例如自约500埃至约1500埃。在一些实施例中,缓冲层200的厚度为约1000埃。
阻障层210是使用合适的沉积技术形成在缓冲层200上。在一些实施例中,阻障层210的一或多个材料经选择以减少随后形成的金属网格内的金属外扩散至缓冲层200中。此外,阻障层210的一或多个材料亦经选择以提供随后形成的金属网格与缓冲层200中的下层氧化物材料之间更高的粘附强度。在一些实施例中,阻障层210包含氮化物材料,诸如,氮化钛(TiN)、氮化钽(TaN)、类似物,或其组合,以便达成满意的金属扩散减少及/或满意的粘附强度提升。在一些实施例中,阻障层210可使用例如CVD、ALD、PECVD、PEALD、PVD、类似者,或其组合沉积于缓冲层200上。阻障层210的厚度的范围可例如自约200埃至约400埃。在一些实施例中,阻障层210的厚度为约300埃。过度薄的阻障层210可导致金属网格内的金属之外扩散的不充分抑制及/或金属网格与下层氧化物材料之间的不充分粘附强度。过度厚的阻障层210可导致形成于随后形成的彩色滤光片(例如,如图8中所示的彩色滤光片270)中的不需要的孔隙。
金属网格层220是使用合适的沉积技术形成于阻障层210上。在一些实施例中,金属层108包含具有良好间隙填充性质以及良好光反射性以隔离随后形成的彩色滤光片内的光学路径的钨,但是可使用其他合适的金属。在一些实施例中,金属网格层220可使用例如CVD、ALD、PECVD、PEALD、PVD、类似者,或其组合沉积于阻障层210上。金属网格层220的厚度的范围可例如自约1000埃至约3000埃。在一些实施例中,金属网格层220的厚度为约2000埃。过度薄的金属网格层220可能导致随后形成的彩色滤光片的不充分光学隔离。过度厚的金属网格层220可能导致形成于随后形成的彩色滤光片(例如,如图8中所示的彩色滤光片270)中的不需要的孔隙。
粘附增强层230是使用合适的沉积技术形成于金属网格层220上。在一些实施例中,粘附增强层230包括氮化物材料,该氮化物材料提供至下层金属网格层220及重叠介电网格层240更好的粘附强度。更详细地,若金属网格层220是由钨形成且介电网格层240是由氧化物形成,则与氧化物网格层240直接沉积在钨网格层220上的状况相比,氮化物层230可提供至钨网格层220及氧化物网格层240更强的粘附强度。以此方式,可减少随后由层200-250形成的复合网格结构中的剥离缺陷,因而改良复合网格结构的强健性及因此像素区域PI之间的光学隔离。在一些实施例中,粘附增强层230包括例如氮化硅(Si1-xN,x大于0.01)、氮化钛(TiN),但是可使用提供至钨的更强粘附强度的其他合适的材料。粘附增强层230及阻障层210包括相同化学元素(例如,氮),因为该粘附增强层及该阻障层两者是由氮化物材料形成。值得注意的是,在一些实施例中,阻障层210无Si1-xN,因为当Si1-xN网格介于钨网格与基板的背侧110b之间时,可能使BSI-CIS的光学效能劣化。
在一些实施例中,粘附增强层230可使用例如CVD、ALD、PECVD、PEALD、PVD、类似者、或其组合沉积于金属网格层220上。粘附增强层230的厚度的范围可例如自约100埃至约2000埃。在一些实施例中,粘附增强层230的厚度为约300埃。过度薄的粘附增强层230可能导致至钨的不充分粘附强度。过度厚的粘附增强层230可能导致随后形成的彩色滤光片(例如,如图8中所示的彩色滤光片270)中的不需要的孔隙。
在一些实施例中,粘附增强层230为由单个材料诸如Si1-xN或TiN形成的单层膜。在一些其他实施例中,粘附增强层230为由Si1-xN层及堆叠在Si1-xN上的TiN层,或TiN层及堆叠在TiN层上的Si1-xN层形成的多层膜。在其中粘附增强层230是由Si1-xN形成的一些实施例中,Si1-xN层230为对于具有范围自约10nm至约1000nm的波长的光不透明的,因而改良相邻像素区域PI之间的光学隔离。在一些实施例中,Si1-xN层230具有自约1.5至约2.5的范围内的折射系数(refractive index;RI)。在其中粘附增强层230是由TiN形成的一些实施例中,TiN层230具有自约80Ω每单位正方形面积至约120Ω每单位正方形面积的范围内的片电阻(Rs)。
第一介电网格层240是使用合适的沉积技术形成于粘附增强层230上。在一些实施例中,第一介电网格层240的材料对于欲由影像感测元件SE接收的光的波长实质上光学透明,但其折射系数小于随后形成的彩色滤光片的折射系数。由于低折射系数,由第一介电网格层240形成的介电网格可做为光导以将光导向至彩色滤光片且有效地增加彩色滤光片的大小。此外,由于低折射系数,由第一介电网格层240形成的介电网格可用来提供相邻像素区域PI之间的光学隔离。打到彩色滤光片与介电网格的边界的光可基于折射系数差异而在彩色滤光片内经历全内反射。在一些实施例中,第一介电网格层240包括氧化物材料,诸如氧化硅(SiO2)、氧化铪(HfO2),但是亦可使用具有小于彩色滤光片的折射系数的其他合适的材料。
因为介电网格层240的氧化物材料通过粘附增强层230与下层钨网格层220间隔开,所以随后由层200-250形成的复合网格结构可缺乏氧化物/钨界面,其具有相较于氮化物/钨界面E1及氮化物/氧化物界面E2的粘附强度较弱的粘附强度,因而减少复合网格结构中的剥离缺陷。在一些实施例中,介电网格层240可使用例如CVD、ALD、PECVD、PEALD、PVD、类似者、或其组合沉积于粘附增强层230上。介电网格层240的厚度的范围可例如自约2000埃至约4000埃。在一些实施例中,介电网格层240的厚度为约3200埃,该厚度可大于粘附增强层230、金属网格层220、阻障层210及缓冲层200的厚度。过度薄的介电网格层240可能导致不充分的网格线至网格线间隙,而可能无法形成具有充分厚度的彩色滤光片。过度厚的介电网格层240可能导致不满意的量子效率,因为介电网格层240的氧化物材料为对于欲由影像感测元件SE接收的光的波长是光学透明的。
第二介电网格层250是使用合适的沉积技术形成于第一介电网格层240上。第二介电网格层250可做为用于图案化下层的层以形成复合网格结构的硬遮罩层。在一些实施例中,第二介电网格层250包括氮氧化硅(SiON),但是亦可使用具有相较于下层第一介电网格层240的不同蚀刻选择性的其他合适的材料。在一些实施例中,第二介电网格层250可使用例如CVD、ALD、PECVD、PEALD、PVD、类似者、或其组合沉积于第一介电网格层240上。第二介电网格层250的厚度的范围可例如自约1000埃至约2000埃。在一些实施例中,第二介电网格层250的厚度为约1500埃。
图6A亦例示形成于第二介电网格层250上的图案化遮罩层PM1的剖面图,且图6B例示图案化遮罩层PM1的俯视图。图案化遮罩层PM1可包含有机材料,诸如光阻剂材料,且可使用旋涂式涂布制程,接着使用合适的微影术技术图案化光阻剂材料以形成延伸穿过图案化遮罩层PM1的多个孔O1加以形成。例如,光阻剂材料经辐照(暴露)且显影以移除光阻剂材料的部分。更详细地,光罩(未示出)可经置放在光阻剂材料上,该光罩然后可暴露于辐射束,该辐射束可为紫外线(ultraviolet;UV)或准分子激光器诸如氟化氪(KrF)准分子激光器,或氟化氩(ArF)准分子激光器。可例如使用浸入微影术工具或极紫外线光(extremeultraviolet light;EUV)工具来执行光阻剂材料的暴露以增加解析度且减少最小可达成节距。烘烤或固化操作可经执行来使暴露光阻剂材料硬化,且显影剂可用来取决于使用正抗蚀剂或负抗蚀剂而移除光阻剂材料的暴露部分或未暴露部分。因而,如图6A及图6B中所例示的孔O1经形成于图案化光阻剂层PM1中。在一些实施例中,孔O1布置成列及行,使得图案化光阻剂层PM具有如图6B的俯视图中所例示的网格图案。
在形成图案化遮罩层PM1之后,对下层的层250-200执行图案化制程以使图案化遮罩层PM1中的孔O1的图案转移至下层的层250-200,从而导致延伸穿过图案化第二介电网格层250’、图案化第一介电网格层240’、图案化粘附增强层230’、图案化金属网格层220’、图案化阻障层210’及图案化缓冲层200’的开口O2,如图7A及图7B中所例示,其中图7A为所得结构的剖面图且图7B为所得结构的俯视图。
因为图案化层250’-200’是使用遮罩层PM1(如图6A及图6B中所例示)作为蚀刻遮罩加以图案化,所以图案化层250’-200’继承来自遮罩层PM1的网格图案。以此方式,如图7B中所例示,当自上方观察时,图案化第二介电网格层250’包括具有网格图案GP的第二介电网格252,如图7B中所例示,当自上方观察时,图案化第一介电网格层240’包括具有网格图案GP的第一介电网格242,如图7B中所例示,当自上方观察时,图案化粘附增强层230’包括具有网格图案GP的粘附增强网格232,且如图7B中所例示,当自上方观察时,图案化金属网格层220’、图案化阻障层210’及图案化缓冲层200’分别包括具有网格图案GP的金属网格222、阻障网格212及缓冲网格202g。如图7B中所例示,当自上方观察时,堆叠式网格202g、212、222、232、242、252可以组合方式称为具有网格图案GP的复合网格结构260。
如图7B中所示,网格图案GP包括网格线GL,这些网格线定义多个开口O2(可互换地称为网格线至网格线间隙),这些开口以一对一方式对应于像素区域PI。因而,开口O2可根据对应于影像感测元件SE的像素阵列以周期性图案布置。在一些实施例中,网格线GLx在垂直于网格线GLy的方向上延伸。因为粘附增强网格232(例如,氮化物网格)包括同于金属网格222(例如,钨网格)及第一介电网格242(例如,氧化物网格)的网格图案的网格图案GP,所以粘附增强网格232可将金属网格222与第一介电网格242完全间隔开。以此方式,复合网格结构260缺乏展现不良粘附强度的氧化物/钨界面,因而减少在随后清洁步骤中发生在复合网格结构260中的剥离缺陷,如以下将更详细地论述。
在一些实施例中,用于形成复合网格结构260的图案化制程涉及一或多个蚀刻制程,诸如一或多个干式蚀刻制程,及/或一或多个湿式蚀刻制程。例如,图案化制程可包括使用含氟蚀刻剂(例如,CF4、SF6或其他含氟蚀刻剂)的干式蚀刻制程(例如,电浆蚀刻)。使用含氟蚀刻剂的干式蚀刻制程可导致形成于复合网格结构260的侧壁上的不合需要的副产品(例如,含钨聚合物残留物)。
超音波清洁制程然后经执行移除来自复合网格结构260的副产品。超音波清洁制程包括使用用于在清洁溶液诸如去离子水(DI水)、其他适用的溶液等等中搅拌包括复合网格结构260的晶圆的机械搅拌产生器,以增强清洁制程。机械搅拌产生器可提供在自约1MHz至约100MHz的范围内的搅拌频率,但是可使用其他合适的搅拌频率。值得注意的是,若包括金属网格及接触金属网格的氧化物网格的复合网格结构经历超音波清洁制程,则氧化物网格可能由于氧化物/钨界面处的不充分粘附强度而自金属网格剥离。然而,超音波清洁制程将不导致复合网格结构260中的剥离缺陷或导致复合网格结构260中的较少剥离缺陷,这是因为复合网格结构260缺乏任何氧化物/钨界面。
在一些实施例中,刻蚀制程并未突破缓冲层200’,使得缓冲层200’包括在缓冲器网格202g下方且跨于基板的背侧110b延伸的底部部分202b。在一些其他实施例中,刻蚀制程可突破缓冲层200’以暴露ARC 180。
在一些实施例中,由TiN形成的阻障网格212的网格线GL具有随着距基板的背侧110b的距离增加而增加的宽度。例如,TiN网格线具有底部宽度W1及大于底部宽度W1的顶部宽度W2。底部宽度W1可为例如在自约71nm至约89nm的范围内(例如,约82nm),且顶部宽度W2可为例如在自约81nm至约99nm的范围内(例如,约88nm)。由钨形成的金属网格222的网格线具有随着距基板的背侧110b的距离增加而减少的宽度。例如,钨网格线具有比得上TiN网格212的顶部宽度W2的在自约81nm至约99nm的范围内的底部宽度W3,且具有小于底部宽度W3的顶部宽度W4。例如,顶部宽度W4可为在自约54nm至约66nm的范围内(例如,约63nm)。
在一些实施例中,由Si1-xN形成的粘附增强网格232的网格线GL具有随着距基板的背侧110b的距离增加而增加的宽度。例如,Si1-xN网格线具有比得上钨网格线的顶部宽度W4的在自约54nm至约66nm的范围内的底部宽度W5,且具有大于底部宽度W5的顶部宽度W6。例如,顶部宽度W6可为在自约76.5nm至约93.5nm的范围内(例如,约88nm)。
在一些实施例中,由氧化物形成的介电网格242的网格线GL具有自粘附增强网格232向上延伸的主分段242m及自主分段242m向上延伸的渐缩顶部分段242t。主分段242m具有随着距基板的背侧110b的距离增加而保持实质上恒定的宽度,且渐缩顶部分段242t具有随着距基板的背侧110b的距离增加而递减的宽度。例如,主分段242m具有比得上Si1-xN网格线的顶部宽度W6的可在自76.5nm至约93.5nm的范围内的底部宽度W7,及比得上底部宽度W7的顶部宽度W8。顶部分段具有比得上主分段242m的顶部宽度W8的底部宽度,及小于其底部宽度的顶部宽度W9。例如,顶部宽度W9可为在自约63nm至约77nm的范围内(例如,约71nm)。在一些实施例中,由SiON形成的介电网格252的网格线具有随着距基板的背侧110b的距离增加而保持实质上恒定的宽度。例如,SiNO网格线具有在自约63nm至约77nm的范围内(例如,约71nm)的宽度。
尽管复合网格结构260具有带有可导致剥离或裂缝的增加的风险的前述示例性纳米级宽度的网格线GL,但复合网格结构260中的氧化物/钨界面的不存在可降低剥离或裂缝的风险。因此,即使网格线的宽度继续按比例缩小至例如自约10埃至约500埃的范围,亦可达成复合网格结构260的改良的强健性。在一些实施例中,粘附增强网格232具有小于下层金属网格222及重叠介电网格242的厚度的厚度(沿着垂直于基板100的背侧110b的方向量测)。在一些实施例中,网格线GL与DTI结构160中的对应的一个及STI结构120中的对应的一个垂直对齐。
一旦复合网格结构260的形成完成,将对应于制造中的影像感测器的彩色滤光片270形成于开口O2中。所得结构例示于图8中。在一些实施例中,彩色滤光片270填充开口O2,其中上表面与复合网格结构260的上表面近似平齐。彩色滤光片270具有指定颜色,诸如红色、绿色及蓝色,且用以透射指定颜色,而阻挡其他颜色。
用于形成彩色滤光片270的制程可包括,针对颜色指定中的每一个,形成彩色滤光片层且图案化彩色滤光片层。彩色滤光片层可经形成,以便填充打开O2且覆盖复合网格结构260。在图案化彩色滤光片层之前,彩色滤光片层然后可经平面化且/或回蚀以与复合网格结构260的上表面近似平齐。在一些实施例中,平面化及/或回蚀彩色滤光片层可导致彩色滤光片270具有低于复合网格结构260的最高位置的最高位置。
一旦彩色滤光片270的形成完成,将微透镜280形成在各别彩色滤光片270上。用于形成微透镜280的制程可包含将一或多个微透镜层形成在彩色滤光片270及复合网格结构260上。微透镜层(多个)可使用例如气相沉积、ALD、旋转涂布等中的一或多个形成。在形成微透镜层之后,微透镜层经图案化以限定对应微透镜的覆盖区。例如,微透镜层的光阻剂层遮罩选择区域可经形成于微透镜层上,在微透镜层的蚀刻期间用作遮罩,且随后经移除。在微透镜层经图案化的情况下,对图案化微透镜层执行一或多个回流及/或加热制程以使图案化微透镜层的拐角变圆,因而导致具有圆形表面的微透镜。
图9例示根据一些实施例的形成BSI-CIS结构的方法M。尽管方法M经例示且/或描述为一系列动作或事件,但将理解,方法不限于所例示的次序或动作。因而,在一些实施例中,动作可以相较于所例示的不同顺序实行,且/或可并行地实行。此外,在一些实施例中,所例示动作或事件可经再分成多个动作或事件,这些多个动作或事件可在分离时间或与其他动作或子动作并行地实行。在一些实施例中,可省略一些所例示动作或事件,且可包括其他未例示的动作或事件。
在方块S11处,将影像感测元件形成于基板中的分离像素区域内。图1至图3例示对应于方块S11中的动作的一些实施例的剖面图。
在方块S12处,将BEOL内连接结构形成于基板的前侧上。图4例示对应于方块S12中的动作的一些实施例的剖面图。
在方块S13处,形成自基板的背侧延伸至基板中的DTI结构。图5例示对应于方块S13中的动作的一些实施例的剖面图。
在方块S14处,将钨网格层、粘附增强层及氧化物网格层顺序地形成于基板的背侧上。图6A例示对应于方块S14中的动作的一些实施例的剖面图。
在方块S15处,图案化钨网格层、粘附增强层及氧化物网格层以形成复合网格结构。图7A及图7B分别例示对应于方块S15中的动作的一些实施例的剖面图及俯视图。
在方块S16处,将彩色滤光片形成于各别网格线至网格线间隙中。在方块S17处,将微透镜形成于各别彩色滤光片上。图8例示对应于方块S16及S17中的动作的一些实施例的剖面图。
图10为根据本揭示案的一些实施例的BSI-CIS结构的剖面图。BSI-CIS结构包括像素阵列区AA、周边区PA、粘接垫区BA(亦称为E-pad区域),及切割线(scribe line)区SA。像素阵列区AA含有像素区域PI的阵列。每个像素区域PI包括影像感测元件SE,该影像感测元件可感测或侦测具有特定波长的辐射,这些特定波长可对应于不同颜色的光。周边区PA可包括保持光学上黑暗的其他元件PD。例如,元件PD可为数字元件,诸如特定应用集成电路(application-specific integrated circuit;ASIC)元件或系统单晶片(system-on-chip;SOC)元件。其他元件PD可为用来建立用于BSI-CIS结构的光强度的基线的参考像素。粘接垫区BA可包括一或多个导电粘接垫或E-pad CP,BSI-CIS结构与外侧元件之间的电气连接可通过该一或多个导电粘接垫或E-pad建立。例如,粘接导线BW通过粘接球BB附接至导电粘接垫CP。切割线区SA可为将包括粘接垫区BA、周边区PA、及像素阵列区AA的一个半导体晶粒与邻接半导体晶粒(未例示)分离的区域。在晶粒经封装且作为集成电路晶片销售之前,切割线区SA在稍后制造过程中经切割以将邻接晶粒分离。切割线区SA是以使得不损坏各晶粒中的半导体元件的方式切割。
将粘附增强网格添加至下钨网格与上氧化物网格之间将不导致对BSI-CIS整合晶片的光学效能的冲击或导致对该光学效能的可忽略的冲击。例如,粘附增强网格可导致量子效率(quantum efficiency;QE)的小于1%、干扰效能、角回应,及/或10dB的信噪比(SNR10)的变化。如以上所论述的BSI-CIS元件为用于描述改良的复合网格结构的一些实例。然而,以上所描述的改良的复合网格结构亦可整合至其他影像感测器诸如FSI影像感测器中。在此类情形下,改良的复合物结构将安置在基板110的前侧110f上,其中BEOL内连接结构介入复合结构与基板110之间。
基于以上论述,可看出本揭示案提供优点。然而,应理解,其他实施例可提供额外优点,且并非所有优点必定在本文中加以揭示,且并非对于所有实施例需要特定优点。一个优点在于,可通过将粘附增强网格添加至钨网格与氧化物网格之间减少复合网格结构中的剥离缺陷。另一优点在于,复合网格结构可在超音波清洁制程之后保持强健,因为复合网格结构缺乏在超音波清洁制程期间对剥离敏感的任何氧化物/钨界面。
在一些实施例中,影像感测器结构包括半导体元件、形成于半导体基板中的多个影像感测元件、形成于半导体基板上的内连接结构,及半导体基板上的复合网格结构。复合网格结构包括钨网格、钨网格上方的氧化物网格,及将钨网格与氧化物网格间隔开的粘附增强网格。在一些实施例中,粘附增强网格是由一氮化物材料形成。在一些实施例中,粘附增强网格包含多个网格线。这些网格线各自具有随着距该半导体基板的一距离增加而增加的一宽度。在一些实施例中,该钨网格包含多个网格线,这些网格线各自具有随着距该半导体基板的一距离增加而减少的一宽度。在一些实施例中,该氧化物网格包含多个网格线,这些网格线各自具有一渐缩顶部分段。在一些实施例中,该粘附增强网格包含多个网格线,这些网格线各自具有在自约10埃至约500埃的一范围内的一宽度。在一些实施例中,粘附增强网格具有在自约1.5至约2.5的一范围内的一折射系数。在一些实施例中,粘附增强网格具有在自约80Ω每单位正方形面积至约120Ω每单位正方形面积的一范围内的一片电阻。在一些实施例中,复合网格结构还包含钨网格与半导体基板之间的一阻障网格。在一些实施例中,阻障网格及粘附增强网格具有一相同化学元素。在一些实施例中,复合网格结构还包含氧化物网格上的一氮氧化物网格。
在一些实施例中,影像感测器结构包括半导体基板、半导体基板中的多个光电二极管、半导体基板上的内连接结构,及半导体基板上的复合网格结构。复合网格结构包括氧化物网格及氧化物网格与半导体基板之间的金属网格,且复合网格结构缺乏氧化物/金属界面。在一些实施例中,该复合网格结构还包含一氮化物网格,该氮化物网格与该金属网格的一顶部表面形成一氮化物/金属界面。在一些实施例中,该氮化物网格还与该氧化物网格的一底部表面形成一氮化物/氧化物界面。在一些实施例中,该氮化物网格具有小于该金属网格的一厚度的一厚度。在一些实施例中,该氮化物网格具有小于该氧化物网格的一厚度的一厚度。在一些实施例中,影像感测器结构还包含多个彩色滤光片,延伸穿过该氮化物网格。在一些实施例中,影像感测器结构还包含多个微透镜,分别在这些彩色滤光片上。
在一些实施例中,方法包括将光电二极管形成于基板中;将内连接结构形成于基板上;将金属网格层沉积在基板上,将粘附增强层沉积在金属网格层上,且将氧化物网格层沉积在粘附增强层上;以及蚀刻金属网格层、粘附增强层及氧化物网格层以形成金属网格线、分别在金属网格线上方延伸的粘附增强网格线,及分别在粘附增强网格线上方延伸的氧化物网格线。在一些实施例中,此方法还包含在沉积该金属网格层之前,形成一缓冲层形成于该基板上,且形成一阻障层于该缓冲层上;以及蚀刻该阻障层及该缓冲层以形成分别在这些金属网格线下方延伸的多个阻障网格线,及分别在这些阻障网格线下方延伸的缓冲网格线。
前述内容概述若干实施例的特征,使得熟悉此项技术者可更好地理解本揭示案的态样。熟悉此项技术者应了解,他们可容易地将本揭示案用作设计或修改其他制程及结构的基础,以用于实行相同目的及/或达成本文引入的实施例的相同优点。熟悉此项技术者还应认识到此类等效构造不脱离本揭示案的精神及范畴,且他们可在不脱离本揭示案的精神及范畴的情况下在本文中做出各种变化、置换及变更。

Claims (10)

1.一种影像感测器结构,其特征在于,包含:
一半导体基板;
多个影像感测元件,形成于该半导体基板中;
一内连接结构,其形成于该半导体基板上;以及
一复合网格结构,于该半导体基板上,其中该复合网格结构包含一钨网格、该钨网格上的一氧化物网格、及一粘附增强网格,该粘附增强网格将该钨网格与该氧化物网格间隔开。
2.根据权利要求1所述的影像感测器结构,其特征在于,该粘附增强网格包含多个网格线,所述多个网格线各自具有随着距该半导体基板的一距离增加而增加的一宽度。
3.根据权利要求1所述的影像感测器结构,其特征在于,该钨网格包含多个网格线,所述多个网格线各自具有随着距该半导体基板的一距离增加而减少的一宽度。
4.根据权利要求1所述的影像感测器结构,其特征在于,该氧化物网格包含多个网格线,所述多个网格线各自具有一渐缩顶部分段。
5.根据权利要求1所述的影像感测器结构,其特征在于,该复合网格结构还包含该氧化物网格上的一氮氧化物网格。
6.一种影像感测器结构,其特征在于,包含:
一半导体基板;
多个光电二极管,于该半导体基板中;
一内连接结构,于该半导体基板上;以及
一复合网格结构,于该半导体基板上,其中该复合网格结构包含一氧化物网格及该氧化物网格与该半导体基板之间的一金属网格,且该复合网格结构缺乏一氧化物/金属界面。
7.根据权利要求6所述的影像感测器结构,其特征在于,该复合网格结构还包含一氮化物网格,该氮化物网格与该金属网格的一顶部表面形成一氮化物/金属界面。
8.根据权利要求7所述的影像感测器结构,其特征在于,还包含:
多个彩色滤光片,延伸穿过该氮化物网格。
9.一种影像感测器结构的制造方法,其特征在于,包含以下步骤:
形成多个光电二极管于一基板中;
形成一内连接结构于该基板上;
沉积一金属网格层在该基板上、一粘附增强层在该金属网格层上、及一氧化物网格层在该粘附增强层上;以及
蚀刻该金属网格层、该粘附增强层及该氧化物网格层以形成多个金属网格线、分别在所述多个金属网格线上方延伸的多个粘附增强网格线、及分别在所述多个粘附增强网格线上方延伸的多个氧化物网格线。
10.根据权利要求9所述的方法,其特征在于,还包含以下步骤:
在沉积该金属网格层之前,形成一缓冲层形成于该基板上,且形成一阻障层于该缓冲层上;以及
蚀刻该阻障层及该缓冲层以形成分别在所述多个金属网格线下方延伸的多个阻障网格线,及分别在所述多个阻障网格线下方延伸的缓冲网格线。
CN202110052276.4A 2020-01-17 2021-01-15 影像感测器结构及其制造方法 Pending CN113140582A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/746,720 2020-01-17
US16/746,720 US11532658B2 (en) 2020-01-17 2020-01-17 Image sensor grid and method of fabrication of same

Publications (1)

Publication Number Publication Date
CN113140582A true CN113140582A (zh) 2021-07-20

Family

ID=76810401

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110052276.4A Pending CN113140582A (zh) 2020-01-17 2021-01-15 影像感测器结构及其制造方法

Country Status (3)

Country Link
US (4) US11532658B2 (zh)
CN (1) CN113140582A (zh)
TW (1) TWI753745B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11528442B2 (en) * 2019-12-23 2022-12-13 Sivananthan Laboratories, Inc. Adjacent electrode which provides pixel delineation for monolithic integration of a colloidal quantum dot photodetector film with a readout integrated circuit
KR20210129856A (ko) * 2020-04-21 2021-10-29 삼성전자주식회사 이미지 센서 및 그 제조 방법
US11257758B2 (en) * 2020-06-24 2022-02-22 Taiwan Semiconductor Manufacturing Company Limited Backside connection structures for nanostructures and methods of forming the same
US20220013560A1 (en) * 2020-07-07 2022-01-13 Visera Technologies Company Limited Image sensor
CN115241221B (zh) * 2022-09-26 2023-01-06 合肥晶合集成电路股份有限公司 背照式图像传感器及其制作方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012054321A (ja) 2010-08-31 2012-03-15 Sony Corp 固体撮像素子及びその製造方法、並びに固体撮像装置及び撮像装置
JP2014053591A (ja) 2012-08-08 2014-03-20 Sony Corp 撮像素子、撮像装置、製造装置および方法
US9564468B2 (en) 2015-03-20 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Composite grid structure to reduce crosstalk in back side illumination image sensors
US10515991B2 (en) 2015-04-17 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10002899B2 (en) 2015-09-16 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Microlens for a phase detection auto focus (PDAF) pixel of a composite grid structure
US9905605B2 (en) * 2015-10-15 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Phase detection autofocus techniques
US9443899B1 (en) * 2015-11-04 2016-09-13 Omnivision Technologies, Inc. BSI CMOS image sensor with improved phase detecting pixel
US10498947B2 (en) * 2017-10-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor including light shielding layer and patterned dielectric layer
US10461109B2 (en) 2017-11-27 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple deep trench isolation (MDTI) structure for CMOS image sensor
CN112117287A (zh) 2019-06-21 2020-12-22 三星电子株式会社 图像传感器

Also Published As

Publication number Publication date
TW202129944A (zh) 2021-08-01
US20230120006A1 (en) 2023-04-20
TWI753745B (zh) 2022-01-21
US11532658B2 (en) 2022-12-20
US20240047496A1 (en) 2024-02-08
US20210225918A1 (en) 2021-07-22
US20220359598A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
TWI753745B (zh) 影像感測器結構及其製造方法
US9978784B2 (en) Grids in backside illumination image sensor chips and methods for forming the same
CN106611765B (zh) 用于背侧深沟槽隔离的额外的掺杂区域
US8674467B2 (en) Image sensor and method of fabricating same
US9478581B2 (en) Grids in backside illumination image sensor chips and methods for forming the same
TWI476910B (zh) 半導體裝置及其製作方法
US8866250B2 (en) Multiple metal film stack in BSI chips
KR102456271B1 (ko) 후면 정렬 마크가 있는 bsi 칩
TW201436183A (zh) 影像感測器裝置及其製造方法
KR20220147503A (ko) 이미지 센서를 위한 후면 구조체
US20180122844A1 (en) Selective deposition and planarization for a cmos image sensor
TW202230767A (zh) 像素陣列
TW201342585A (zh) 半導體裝置的製造方法
KR101412883B1 (ko) 이미지 디바이스 및 그 형성방법
US20220384509A1 (en) Low-Refractivity Grid Structure and Method Forming Same
US11652133B2 (en) Image sensor grid and method of manufacturing same
TW202105699A (zh) 影像感測器、用於影像感測器的半導體結構及其製造方法
TW202236653A (zh) 形成半導體裝置的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination