TWI752608B - 封裝結構及其形成方法 - Google Patents

封裝結構及其形成方法 Download PDF

Info

Publication number
TWI752608B
TWI752608B TW109129470A TW109129470A TWI752608B TW I752608 B TWI752608 B TW I752608B TW 109129470 A TW109129470 A TW 109129470A TW 109129470 A TW109129470 A TW 109129470A TW I752608 B TWI752608 B TW I752608B
Authority
TW
Taiwan
Prior art keywords
conductive
layer
forming
opening
insulating layer
Prior art date
Application number
TW109129470A
Other languages
English (en)
Other versions
TW202115838A (zh
Inventor
李苓瑋
張容華
黃震麟
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202115838A publication Critical patent/TW202115838A/zh
Application granted granted Critical
Publication of TWI752608B publication Critical patent/TWI752608B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking

Abstract

提供封裝結構和封裝結構的形成方法,此方法包含形成導電結構於承載基板之上以及設置半導體晶粒於承載基板之上。此方法也包含形成保護層以圍繞導電結構與半導體晶粒。此方法也包含形成絕緣層於保護層之上。絕緣層具有開口暴露出導電結構的一部分。開口的寬度大於25微米。此外,此方法也包含形成導電層於絕緣層之上。導電層過量填充開口,且導電層具有大致平坦的頂面。

Description

封裝結構及其形成方法
本發明實施例是關於一種封裝結構及其形成方法,且特別是有關於具有扇出(fan-out)部件的封裝結構及其形成方法。
半導體積體電路(integrated circuit,IC)產業已經經歷了快速的增長。半導體製造製程的持續進步已經導致具有更精細部件和/或更高整合度的半導體裝置。功能密度(即,每單位晶片(chip)面積之互連裝置的數量)已普遍增加,同時特徵尺寸(即,可使用製造製程產生的最小組件)已減小。這種微縮過程通常可透過提高生產效率和降低相關成本來提供益處。
晶片封裝不僅為半導體裝置提供免於受到環境污染的保護,而且還為封裝於其中的半導體裝置提供連接接口(connection interface)。已經開發出利用較小面積或較低高度的較小封裝結構來封裝半導體裝置。
已經開發了新的封裝技術以進一步改善半導體晶粒(die)的密度和功能。這些相對新穎的半導體晶粒封裝技術面臨了製造挑戰。
本發明實施例提供封裝結構的形成方法,此方法包含形成導電結構於承載基板之上以及設置半導體晶粒於承載基板之上。此方法也包含形成保護層以圍繞導電結構與半導體晶粒。此方法也包含形成絕緣層於保護層之上。絕緣層具有開口暴露出導電結構的一部分。開口的寬度大於25微米。此外,此方法也包含形成導電層於絕緣層之上。導電層過量填充開口,且導電層具有大致平坦的頂面。導電層的一部分延伸橫跨導電結構的側壁。
本發明實施例提供封裝結構的形成方法,此方法包含設置保護層以圍繞導電結構和半導體晶粒。此方法也包含形成第一絕緣層於保護層之上。第一絕緣層具有第一開口暴露出導電結構的一部分。此方法也包含使用由下而上沉積製程,形成導電層於第一絕緣層之上,以過量填充第一開口。導電層的一部分延伸於開口之外,且延伸橫跨導電結構的側壁。此方法也包含形成第二絕緣層於導電層之上。第二絕緣層具有第二開口暴露出導電層的一部分。此方法也包含形成導電柱於導電層自第二開口暴露出來的部分之上。
本發明實施例提供封裝結構,此封裝結構包含彼此橫向隔開的導電結構與半導體晶粒。此封裝結構也包含圍繞導電結構和半導體晶粒的保護層。此封裝結構也包含位於保護層之上的絕緣層。此封裝結構也包含位於絕緣層之上且電性連接至導電結構的導電層。導電層具有大致平坦的頂面。導電層埋入絕緣層中的部分具有大於約25微米的寬度。
100:承載基板
102:黏著層
104:晶粒貼合膜
106A:半導體晶粒
106B:半導體晶粒
108:半導體基底
109:內連線結構
110:鈍化層
112:導電墊
114:保護層
115:重佈線結構
116:絕緣層
118:導電部件
120:導電墊
122:導電結構
124:半導體晶粒
126:半導體基底
128:內連線結構
130:導電墊
132:導電墊
134:導電凸塊
136:底部填充元件
138:基底貫孔
140:保護層
142a:絕緣層
142b:絕緣層
144:導電層
144s:頂面
146:導電柱
148:導電凸塊
150:膠帶載板
152:電路板
154:導電墊
202:開口
204:晶種層
206:遮罩元件
208:開口
212:開口
214:晶種層
216:遮罩元件
218:開口
H:高度差
P1:第一部分
P2:第二部分
T:厚度
t1:第一厚度
t2:第二厚度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)僅用於說明目 的,並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1A-1I圖是根據一些實施例顯示形成封裝結構的製程的各種階段的剖面示意圖。
第2A-2I圖是根據一些實施例顯示形成封裝結構的製程的各種階段的剖面示意圖。
第3圖是根據一些實施例顯示封裝結構的剖面示意圖。
以下內容提供了多個不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件或之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件設置於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,他們本身並非代表所討論各種實施例及/或配置之間有特定的關係。
此外,此處可能使用空間上的相關用語,例如「在...之下」、「在...下方」、「下方的」、「在...上方」、「上方的」和其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。當裝置被轉至其他方位時(旋轉90度或其他方位),則在此所使用的空間相對描 述可同樣依旋轉後的方位來解讀。
在敘述中,用語「大致上(substantially)」,例如「大致上平坦」或「大致上共平面」等,將被本領域中的通常知識者所理解。在一些實施例中,大致上將形容詞移除。在適用的情況下,用語「大致上」也可包含具有「完整地(entirely)」、「完全地(completely)」、「所有地(all)」等情況的實施例。在適用的情況下,用語「大致上」也可涉及90%或更多,例如95%或更多,尤其是99%或更多,或包含100%的情況。再者,用語「大致平行」或「大致垂直」被解讀為不排除與特定排列的微小偏差,並且可包含,例如高達10°的偏差。用語「大致上」不排除「完全地」,例如,「大致上不含(substantially free)」Y的組成,可以是完全地不含Y。
例如「大約(about)」的用語,與特定距離或尺寸連用時,被解讀為不排除與特定距離或尺寸的微小偏差,並且可包含,例如高達10%的偏差。用語「大約」與數值x的關係可以表示x±5或10%。
本文討論一些實施例。在這些實施例所提及的階段之前、期間、或之後可提供額外的步驟。對於不同的實施例,可以置換或刪減所述的一些階段。可增加額外的部件至封裝結構。對於不同的實施例,可以置換或刪減以下所述的一些部件。儘管一些實施例是以特定順序進行的步驟來討論,但這些步驟可以其他符合邏輯的順序來進行。
本文的實施例可涉及三維(3D)封裝或三維積體電路(3D-IC)裝置。也可包含其他部件或製程。舉例而言,可以包含測試結構,以幫助3D封裝或3D-IC裝置進行驗證測試。可以包含測試結構,例如,形成於重佈線(redistribution)層或基板上的測試墊(testing pad),其使3D封裝或3D-IC能進行測試、探針(probe) 或探針卡(probe card)得以使用等等。可對中間結構和最終結構進行驗證測試。另外,本文揭露的結構和方法可與測試方法(testing methodology)結合使用,這整合辨識良品晶粒(good die)的中間驗證,以提高良率並降低成本。
第1A-1I圖是根據一些實施例顯示形成封裝結構的製程的各種階段的剖面示意圖。提供或接收承載基板(carrier substrate)100,如第1A圖所示。在一些實施例中,承載基板100是作為暫時的支撐基板,後續會將承載基板100移除。承載基板100可包含或者由半導體材料、陶瓷材料、聚合物材料、金屬材料、一或多其他適合材料、或前述之組合形成。在一些實施例中,承載基板100是玻璃基板,例如玻璃晶圓(wafer)。在其他一些實施例中,承載基板100是半導體基板,例如矽晶圓。
根據一些實施例,之後,形成或貼附黏著層(adhesive layer)102於承載基板100之上,如第1A圖所示。黏著層102可以包含或者由黏膠(glue)、層壓材料(lamination material)、一或多其他適合材料、或前述之組合形成。在一些實施例中,黏著層102對於能量束照射敏感。在一些實施例中,黏著層102是包含或者由光熱轉換(light-to-heat conversion,LTHC)材料形成的釋放層(release layer)。舉例而言,使用雷射束及/或紫外光(UV light)照射黏著層102。在照射之後,黏著層102可輕易自承載基板100脫離(detach)。在其他一些實施例中,黏著層102是熱敏感的。可使用熱處理使黏著層102脫離。
在一些實施例中,黏著層102是單層。然而,本發明實施例不限於此。可以對發明實施例進行各種變化及/或修改。在其他一些實施例中,黏著層102包含多個子層。在一些實施例中,這些子層包含膠黏層、基於聚合物(polymer base)的層、及光熱轉換(LTHC)層。
根據一些實施例,之後,貼附晶粒貼合膜(die attach film,DAF)至黏著層102上,如第1A圖所示。晶粒貼合膜104可包含或者由一或多基於酚醛材料(phenolic base material)、一或多基於環氧基材料(epoxy base material)、一或多其他適合材料、前述之組合形成。
可以對發明實施例進行各種變化及/或修改。在其他一些實施例中,並未形成或貼附晶粒貼合膜104至黏著層102之上。
根據一些實施例,設置半導體晶粒(semiconductor die)106A和106B於晶粒貼合膜104之上,如第1B圖所示。在一些實施例中,半導體晶粒106A和106B可各自包含晶片(chip),例如,系統單晶片(system-on-chip,SoC)晶片,其包含一或多個期望的功能。在一些實施例中,半導體晶粒106A和106B的背側(back side)面向晶粒貼合膜104,並且半導體晶粒106A和106B的前側(front side)面上。可使用取放(pick and place)操作,設置半導體晶粒106A和106B。在一些實施例中,使用機械手臂拾取半導體晶粒106A,接著機械手臂將半導體晶粒106A放置於晶粒貼合膜104的對應位置上。之後,使用機械手臂拾取半導體晶粒106B,接著將半導體晶粒106B放置於晶粒貼合膜104的對應位置上。在其他一些實施例中,使用兩支或更多的機械手臂來同時取放和放置半導體晶粒106A和106B。可替代地,在其它一些實施例中,半導體晶粒106A和106B的前側面向晶粒貼合膜104,並且半導體晶粒106A和106B的背側面上。
半導體晶粒106A和106B可各自包含半導體基底108、內連線結構(interconnection structure)109、位於半導體晶粒前側的導電墊(conductive pad)112、以及圍繞導電墊112的鈍化層(passivation layer)110。在一些實施例中,形成各種裝置元件於半導體基底108內或半導體基底108上。示例的各種裝置元 件包含電晶體(transistor),例如,金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)電晶體、雙極接面電晶體(bipolar junction transistors,BJT)、高壓電晶體、高頻電晶體、p型通道及/或n型通道場效電晶體(pFET/nFET)、二極體(diodes)、或其他適合元件。
透過形成於內連線結構109中的導電部件,可互連裝置元件以形成積體電路(integrated circuit)裝置。內連線結構109可包含多個介電層和多個導電部件。導電部件可包含多個導線(line)、導電接觸件(contact)、以及導電通孔(via)。積體電路裝置包含邏輯裝置、記憶體裝置(例如,靜態隨機存取記憶體(SRAM))、射頻(RF)裝置、輸入/輸出(I/O)裝置、系統單晶片(SoC)裝置、一或多其他適用種類的裝置、或前述之組合。在一些實施例中,半導體晶粒106A或106B是包含多種功能的SoC晶片。
導電墊112可以是形成於內連線結構109上的一些導線的較寬部分。導電墊112可以部分埋入於鈍化層110中。每一個導電墊112透過內連線結構109中的一些導電部件,電性連接至一或多個裝置元件。因此,半導體基底108中及/或上的裝置元件可透過導電墊112電性連接至其他元件。
根據一些實施例,形成保護層114於承載基板100之上,以圍繞且保護半導體晶粒106A和106B,如第1C圖所示。一部分的保護層114可形成於半導體晶粒106A與106B之間。在一些實施例中,保護層114與半導體晶粒106A和106B直接接觸。在一些實施例中,保護層114包含或者由絕緣材料形成,例如模製材料(molding material)。
模製材料可包含聚合物材料,例如具有一或多個填充物散佈於其 內的環氧基樹脂(epoxy-based resin)。填充物可包含絕緣顆粒,絕緣纖維、一或多其他元件、或前述之組合。舉例而言,填充物包含氧化矽(silica)顆粒、氧化矽纖維、含碳顆粒、含碳纖維、一或多其他適合填充物、或前述之組合。
在一些實施例中,導入或射出模製材料(例如,液體模製材料),以覆蓋半導體晶粒106A和106B。在一些實施例中,接著使用熱操作以固化(cure)液體模製材料,並且將其轉變為保護層114。
在一些實施例中,使用平坦化製程以降低保護層114的厚度。在一些實施例中,平坦化保護層114,以暴露出半導體晶粒106A和106B的導電墊112。可使用機械研磨(mechanical grinding)製程、化學機械研磨(chemical mechanical polish,CMP)製程、乾式研磨製程、蝕刻製程、一或多其他適用製程、或前述之組合,實現保護層114的平坦化。
根據一些實施例,之後,形成重佈線結構115於保護層114和半導體晶粒106A和106B之上,如第1C圖所示。重佈線結構115用於繞線(routing),這使具有扇出(fan-out)部件的封裝結構得以形成。在一些實施例中,重佈線結構115延伸橫跨半導體晶粒106A(或106B)與保護層114之間的界面。
在一些實施例中,重佈線結構115包含一或多個絕緣層116和多個導電部件118。導電部件118被一或多個絕緣層116圍繞。導電部件118可包含導線、導電通孔、及/或導電墊。
重佈線結構115的絕緣層116可包含或者由一或多個聚合物(polymer)材料形成。聚合物材料可包含聚醯亞胺(polyimide,PI)、聚苯并噁唑(polybenzoxazole,PBO)、環氧基樹脂(epoxy-based resin)、一或多其他適合聚合物材料、或前述之組合。在一些實施例中,聚合物材料具有光敏感性。因此, 可使用微影(photolithography)製程,形成具有期望圖案的開口於絕緣層中。這些開口用於容納導電部件。
導電部件118可包含在水平方向上提供電連接的導線、以及在垂直方向上提供電連接的導電通孔。在一些實施例中,一些導電通孔彼此堆疊。較高導電通孔與較低導電通孔大致對準。在一些實施例中,一些導電通孔是錯開的導電通孔。較高導電通孔與較低導電通孔未對準。在一些實施例中,導電部件118是貫孔(through vias),其貫穿絕緣層116。在一些實施例中,每一個導電部件118與其下方對應的導電墊112對準。
重佈線結構115的導電部件118可包含或者由銅、鋁、金、鈷、鈦、鎳、銀、石墨烯、一或多其他適合導電材料、或前述之組合形成。在一些實施例中,導電部件118包含多個子層。舉例而言,每一個導電部件118含有多個子層,這些子層包含鈦/銅(Ti/Cu)、鈦/鎳/銅(Ti/Ni/Cu)、鈦/銅/鈦(Ti/Cu/Ti)、鋁/鈦/鎳/銀(Al/Ti/Ni/Ag)、其他適合子層、或前述之組合。
重佈線結構115的形成可涉及多道沉積或塗佈(coating)製程、多道圖案化製程、及/或多道平坦化製程。
可使用沉積或塗佈製程,以形成絕緣層及/或導電層。沉積或塗佈製程可包含旋轉塗佈(spin-on coating)製程、噴霧塗佈(spray coating)製程、電鍍(electroplating)製程、無電(electroless)製程、化學氣相沉積(chemical vapor deposition,CVD)製程、物理氣相沉積(physical vapor deposition,PVD)製程、原子層沉積(atomic layer deposition,ALD)製程、一或多其他適用製程、或前述之組合。
可使用圖案化製程,將形成的絕緣層及/或形成的導電層圖案化。 圖案化製程可包含微影製程、能量束鑽孔製程(例如,雷射束鑽孔製程、離子束鑽孔製程、或電子束鑽孔製程)、蝕刻製程、機械鑽孔製程、一或多其他適用製程、或前述之組合。
可使用平坦化製程,提供形成的絕緣層及/或形成的導電層具有平坦的頂面,以利於後續製程。平坦化製程可包含機械研磨製程、化學機械研磨(CMP)製程、乾式研磨製程、蝕刻製程、一或多其他適用製程、或前述之組合。
根據一些實施例,形成導電墊120和132於重佈線結構115之上,如第1D圖所示。每一個導電墊120和132電性連接至其下方對應的導電部件118。可使用導電墊120支撐或接收導電部件,例如導電柱(pillar)及/或導電凸塊(bump)。可使用導電墊132支撐或接收一或多個半導體晶粒或其他元件。在一些實施例中,導電墊120和132的功能是作為凸塊下金屬(under bump metallization,UBM)墊。導電墊120和132的形成涉及一或多道沉積製程以及一或多道圖案化製程。
根據一些實施例,之後,形成導電結構122於導電墊120之上,如第1D圖所示。在一些實施例中,導電結構122是導電柱。導電結構122的功能是作為貫孔(through vias)。在一些實施例中,每一個導電結構122具有大致垂直的側壁,其大致垂直於導電結構122的頂面。導電結構122可包含或者由銅、鈷、錫、鈦、金、一或多其他適合材料、或前述之組合形成。可使用電鍍製程、無電鍍製製程(electroless plating process)、一或多其他適用製程、或前述之組合,形成導電結構122。
可以對發明實施例進行各種變化及/或修改。在其他一些實施例中,拾取導電結構122且將其放置於導電墊120上。在一些實施例中,使用焊料元件或焊料(例如,含錫焊料)來黏貼(affix)導電結構122於導電墊120上。在一些實 施例中,使用遮罩元件來輔助導電結構122的放置。
根據一些實施例,設置半導體晶粒124於重佈線結構105之上,如第1E圖所示。在一些實施例中,半導體晶粒124的前側面向重佈線結構105,且半導體晶粒124的背側面上。可使用取放操作,設置半導體晶粒124。
半導體晶粒124可包含半導體基底126、內連線結構128、以及位於半導體晶粒前側的導電墊130。在一些實施例中,形成各種裝置元件於半導體晶粒126中或半導體晶粒126上。示例的各種裝置元件包含電晶體,例如,金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極接面電晶體(BJT)、高壓電晶體、高頻電晶體、p型通道及/或n型通道場效電晶體(pFET/nFET)、二極體、或其他適合元件。
透過形成於內連線結構128中的導電部件,互連裝置元件以形成積體電路裝置。內連線結構128可包含多個介電層和多個導電部件。導電部件可包含多個導線、導電接觸件、以及導電通孔。積體電路裝置包含邏輯裝置、記憶體裝置(例如,靜態隨機存取記憶體(SRAM))、射頻(RF)裝置、輸入/輸出(I/O)裝置、系統單晶片(SoC)裝置、一或多其他適用種類的裝置、或前述之組合。在一些實施例中,半導體晶粒124是包含多種功能的SoC晶片。
然而,本發明實施例不限於此。可以對發明實施例進行各種變化及/或修改。在其他一些實施例中,半導體晶粒124的功能是作為互聯晶粒(interconnection die),其用以形成半導體晶粒106A和106B的裝置元件之間的電性連接。在一些實施例中,沒有裝置元件形成於半導體晶粒124中。在一些實施例中,半導體晶粒124包含基底貫孔(through substrate hole)138。基底貫孔138可穿過半導體基底126,並且電性連接至內線結構128中的一或多個導電部件。
導電墊130可以是形成於內連線結構128上的一些導線的較寬部分。一些導電墊130可透過內連線結構128中的一些導電部件,電性連接至一或多個裝置元件。可替代地,一些導電墊130可透過內連線結構128中的一些導電部件,電性連接至基底貫孔138。因此,半導體基底126中及/或上的裝置元件可透過導電墊130電性連接至其他元件。
在一些實施例中,半導體晶粒124的導電墊130透過導電凸塊134接合至導電墊132,如第1E圖所示。在一些實施例中,導電凸塊134是含錫焊料凸塊。含錫焊料凸塊可進一步包含銅、銀、金、鋁、鉛、一或多其他適合材料、或前述之組合。在其它一些實施例中,導電凸塊134是無鉛的(lead-free)。在一些實施例中,形成底部填充(underfill)元件136,以圍繞且保護包含導電凸塊134以及導電墊130和132的接合結構,如第1E圖所示。
根據一些實施例,形成保護層140於重佈線結構105之上,以圍繞且保護半導體晶粒124和導電結構122,如第1F圖所示。在一些實施例中,保護層140、導電結構122與半導體晶粒124的基底貫孔138的頂面彼此大致齊平。保護層140的材料與形成方法可與保護層114相同或相似。
根據一些實施例,形成絕緣層142a和142b、導電層144和導電柱146於第1F圖所示的結構之上,如第1G圖所示。
第2A-2I圖是根據一些實施例顯示形成封裝結構的製程的各種階段的剖面示意圖。在一些實施例中,第2A-2I圖是放大的剖面示意圖,其顯示第1G圖中繪示的絕緣層142a和142b、其中一個導電層144、以及其中一個導電柱146的形成。
根據一些實施例,形成絕緣層142a於保護層140、導電結構122、 以及半導體晶粒124之上,如第2A及/或1G圖所示。絕緣層142a可包含或者由一或多聚合物材料形成。聚合物材料可包含聚醯亞胺(PI)、聚苯并噁唑(pPBO)、環氧基樹脂、一或多其他適合聚合物材料、或前述之組合。可使用旋轉塗佈製程、噴霧塗佈製程、一或多其他適用製程、或前述之組合,形成絕緣層142a。
在一些實施例中,聚合物材料具有光敏感性。因此,使用微影製程以形成具有期望圖案的開口於絕緣層中。根據一些實施例,形成開口202於絕緣層142a中,以暴露出其中一個導電結構122,如第2A圖所示。可使用微影製程形成開口202。在一些實施例中,開口202的寬度大於約25微米(μm)。
根據一些實施例,之後,形成導電層144。第2B-2E和1G圖是根據一些實施例顯示形成其中一個導電層144。根據一些實施例,沉積晶種層204於絕緣層142a和導電結構122之上,如第2B圖所示。晶種層204延伸於開口202的側壁和底面之上。在一些實施例中,晶種層204以大致順應(conformal)方式延伸於開口202的側壁和底面之上。
晶種層204可以包含或者由金屬材料形成。晶種層204可包含或者由鈦、鈦合金、銅、銅合金、一或多其他適合材料、或前述之組合形成。鈦合金或銅合金可進一步含有銀、鉻、鎳、錫、金、鎢、一或多其他適合元素、或前述之組合。在一些實施例中,晶種層204是單層。在其他一些實施例中,晶種層204包含多個子層。可使用物理氣相沉積(PVD)製程、化學氣相沉積(CVD)製程、旋轉塗佈製程、原子層沉積(ALD)製程、一或多其他適用製程、或前述之組合,沉積晶種層204。
根據一些實施例,形成遮罩元件206於晶種層204之上,如第2C圖所示。遮罩元件206具有開口208,開口208暴露出上方將形成導電層之晶種 層204的一部分。在一些實施例中,遮罩元件206是光敏感層。遮罩元件206可以包含或者由光阻材料形成。因此,使用微影製程以形成遮罩元件206的開口208,微影製程包含曝光步驟和顯影步驟。
根據一些實施例,沉積導電層144於晶種層204被開口208暴露出來的部分之上,如第2D圖所示。在一些實施例中,導電層144過量填充絕緣層142a的開口202。在一些實施例中,導電層144具有第一部分P1和第二部分P2。第二部分P2覆蓋開口202。在一些實施例中,第二部分P2完全覆蓋開口202,並且具有第二厚度t2。第一部分P1延伸於晶種層204覆蓋絕緣層142a的部分之上。第一部分P1具有第一厚度t1。第一厚度t1可以範圍在約2微米至約7微米。第二厚度t2對第一厚度t1的比值(t2/t1)可以範圍在約1.5至約3。具有第二厚度t2的第二部分P2比具有第一厚度t1的第一部分P1厚,如第2D圖所示。在這樣的情況下,導電層144可呈現大致平坦的頂面144s,如第2D圖所示。在一些實施例中,導電層144的整個頂面是大致平坦的。
導電層144可包含或者由銅、鈷、錫、鈦、金、鎳、鉑、一或多其他適合材料、或前述之組合形成。在一些實施例中,使用由下而上(bottom-up)沉積製程沉積導電層144。也就是說,選擇性沉積或成長用於形成導電層144下部的導電材料於晶種層204表面上,並且沉積或成長用於形成導電層144上部的更多導電材料於已沉積或成長的導電材料。由下而上沉積製程可以是電化學製程。由下而上沉積製程可包含電鍍製程、無電鍍製製程、一或多其他適用製程、或前述之組合。在一些實施例中,由下而上沉積製程是原子層沉積(ALD)製程。
在一些實施例中,導電層144由銅形成,並且使用電鍍製程沉積。用於電鍍銅的電解液可含有硫酸銅(CuSO4)以及硫酸(H2SO4)。硫酸自硫酸銅解離 出銅離子,使得硫酸銅遷移至晶種層204表面,並形成導電層144。
電解液可進一步包含添加物,例如加速劑(accelerator)、整平劑(leveler)、以及抑制劑(suppressor)。將可作為示例添加物的有機化合物加入電鍍浴(electroplating bath)以作為整平劑,來增加在晶種層204的不同區域上的金屬沉積均勻性。這些區域包含晶種層204延伸於開口202側壁與底部之上的部分、以及晶種層204在開口202之外的部分。整平劑可以是含氮分子。例如聚乙二醇(polyethylene glycol,PEG),或者可替代的聚烯烴基二醇(Polyalkylene glycol,PAG),可以做為抑制劑,並且雙(3-磺丙基)-二磺酸鈉(Bis(3-sulfopropyl)-disodium-sulfonate,C6H12Na2O6S4,SPS)的有機化合物可以作為加速劑。可使用抑制劑來抑制在開口202的側壁上的鍍製。可使用加速劑來加速在開口202底端處的沉積。
在一些實施例中,在導電層144電化學電鍍期間,使用大量的整平劑。因此,導電層144可呈現大致平坦頂面、或者至少覆蓋開口202的大致平坦頂面。大致平坦頂面延伸橫跨開口202邊緣,如第2D圖所示。這可改善導電層144的可靠性,後續將詳細說明。
在特定情況下,開口202的寬度大於約25微米,如果整平劑的量不夠,則可能以順應(conformal)的方式沉積導電層。導電層可能無法過量填充開口202,特別是當開口202的寬度大於約25微米。結果,可能於導電層在導電結構122正上方的部分處形成凹陷(recess)。在後續熱壓縮製程(例如,與電路板的接合製程)期間,凹陷可能會成為弱點。導電層可能會在此弱點處裂開,這對於封裝結構的性能和可靠性有不利的影響。
相反地,在形成導電層144具有大致平坦上表面的一些實施例中, 沒有弱點(例如,凹陷或凹形(concave)輪廓)形成。導電層144能支撐後續熱壓縮製程造成的應力。這提升封裝結構的性能和可靠性。
根據一些實施例,移除遮罩元件206,如第2E圖所示。可使用剝除(stripping)步驟及/或灰化(ashing)步驟,以移除遮罩元件206。
根據一些實施例,之後,移除晶種層204未被導電層144覆蓋的部分,以暴露出絕緣層142a,如第2E圖所示。可使用蝕刻製程(例如,濕蝕刻製程)部分移除晶種層204,以暴露出絕緣層142a。在蝕刻製程期間,也可些許蝕刻導電層144的表面部分。
第2F-2I和1G圖是根據一些實施例,顯示形成絕緣層142b和其中一個導電柱146。跟據一些實施例,形成絕緣層142b於絕緣層142a以及導電層144之上,如第2F圖所示。絕緣層142b可包含或者由一或多聚合物材料形成。聚合物材料可包含聚醯亞胺(PI)、聚苯并噁唑(PBO)、環氧基樹脂(epoxy-based resin)、一或多其他適合聚合物材料、或前述之組合。可使用旋轉塗佈製程、噴霧塗佈製程、一或多其他適用製程、或前述之組合,形成絕緣層142b。
在一些實施例中,聚合物材料是光敏感的。因此可使用微影製程來形成具有期望圖案的開口於絕緣層中。根據一些實施例,形成開口212於絕緣層142b中,以暴露出一部分的導電層144,如第2F圖所示。可使用微影製程形成開口212。在一些實施例中,開口212與開口202未對準。根據一些實施例,開口212與202彼此側向隔開,而在垂直方向上彼此未重疊,如第2F圖所示。
根據一些實施例,沉積晶種層214於絕緣層142b和導電層144之上,如第2G圖所示。晶種層214延伸於開口212的側壁和底面之上。在一些實施例中,晶種層214以大致順應方式延伸於開口212的側壁和底面之上。
晶種層214可以包含或者由金屬材料形成。晶種層214可包含或者由鈦、鈦合金、銅、銅合金、一或多其他適合材料、或前述之組合形成。鈦合金或銅合金可進一步含有銀、鉻、鎳、錫、金、鎢、一或多其他適合元素、或前述之組合。在一些實施例中,晶種層214是單層。在其他一些實施例中,晶種層214包含多個子層。可使用物理氣相沉積(PVD)製程、化學氣相沉積(CVD)製程、旋轉塗佈製程、原子層沉積(ALD)製程、一或多其他適用製程、或前述之組合,沉積晶種層204。
根據一些實施例,形成遮罩元件216於晶種層214之上,如第2G圖所示。遮罩元件216具有開口218,開口218暴露出上方將形成導電柱之晶種層214的一部分。在一些實施例中,遮罩元件216是光敏感層。因此,使用微影製程以形成遮罩元件216的開口218,微影製程包含曝光步驟和顯影步驟。
根據一些實施例,形成導電柱146於開口218中,如第2H圖所示。導電柱146可包含或者由銅、鈷、錫、鈦、金、一或多其他適合材料、或前述之組合形成。可使用電鍍製程、無電鍍製製程、一或多其他適用製程、或前述之組合,形成導電柱146。將導電材料鍍在晶種層214的暴露部分上,以形成電性連接至導電層144的導電柱146。
根據一些實施例,移除遮罩元件216,如第2I圖所示。可以使用剝除步驟及/或灰化步驟,以移除遮罩元件216。
根據一些實施例,之後,移除晶種層214未被導電柱146覆蓋的部分,以暴露出絕緣層142b,如第2I圖所示。可使用蝕刻製程(例如,濕式蝕刻製程)部分移除晶種層204,以暴露出絕緣層142b。在蝕刻製程期間,也可些許蝕刻導電柱146的表面部分。留下的晶種層214與導電柱146共同作為延伸至導 電層142b中的導電柱,以與導電層144電性接觸。
在一些實施例中,絕緣層142b圍繞導電柱146的下部。然而,可對本發明實施例進行許多變化及/或修改。在其它一些實施例中,整個導電柱146放置於絕緣層142b的頂面上方。
在一些實施例中,導電柱146和導電結構122彼此橫向隔開,如第2I圖所示。在一些實施例中,導電柱146和導電結構122彼此未對準。在一些實施例中,導電柱146和導電結構122在導電柱146的垂直延伸方向上彼此未重疊。
根據一些實施例,回頭參考第1G圖,形成導電凸塊148於導電柱146之上。在一些實施例中,導電凸塊148是焊料凸塊。在一些實施例中,導電凸塊148包含球柵陣列(ball grid array,BGA)連接件、焊球、控制倒塌晶片連接件(controlled collapse chip connector)凸塊、微凸塊(micro bump)、無電鍍鎳無電鍍鈀浸鍍金(electroless nickel electroless palladium immersion gold,ENEPIG)形成的凸塊、一或多其他適和連接件、或前述之組合。在一些實施例中,導電凸塊148是含錫焊料凸塊。含錫焊料凸塊可進一步包含銅、銀、金、鋁、鉛、一或多其他適合材料、或前述之組合。在其它一些實施例中,導電凸塊148是無鉛的。在一些實施例中,可使用焊球放置製程和熱回流(thermal reflow)製程形成導電凸塊148。
可替代地,可施加或電鍍含錫材料於導電柱146上。之後,使用熱製程以回流含錫材料,從而形成導電凸塊148。在一些實施例中,在移除第2H圖所示的遮罩元件216之前,施加或電鍍含錫材料於導電柱146上。
根據一些實施,上下翻轉第1G圖所示的結構,並將其貼合至膠 帶載板(tape carrier)150上,如第1H圖所示。在一些實施例中,移除晶粒貼合膜、黏著層102和承載基板100。
之後,可使用切割製程,切穿第1H圖所示的結構,以成為多個分離的封裝結構。在一些實施例中,拾取其中一個封裝結構,並放置於電路板152上,如第1I圖所示。在一些實施例中,電路板152是印刷電路板。在其他一些實施例中,電路板152是接合至其他基板的中介(interposer)基板。在一些實施例中,透過導電凸塊148,將封裝結構接合至電路板152的導電墊154。
封裝結構與電路板152之間的結合可涉及熱壓縮製程。在一些實施例中,於高溫下,抵靠著電路板施加壓應力於封裝結構。在一些實施例中,此高溫可高於120℃並且低於導電凸塊148的熔點。在一些實施例中,此高溫可以範圍在約120℃至約200℃。在其它一些實施例中,此高溫可以範圍在約150℃至約180℃。接合製程所造成的應力可能擴張至導電層144。因為形成導電層144具有大致平坦的頂面,沒有弱點(例如凹陷或凹形輪廓)形成。導電層144能支撐結合製程所造成的應力,而不會損傷。這提升了封裝結構的性能和可靠性。
如前所述,在一些實施例中,形成導電層144以具有大致平坦的頂面,以增強導電層144的強度。然而,本發明實施例並不限於此。可以對發明實施例進行各種變化及/或修改。
第3圖是根據一些實施例顯示封裝結構的剖面示意圖。形成與第2I圖所示相似的結構。導電層144具有第一部分P1和第二部分P2,如第3圖所示。第一部分P1位於導電柱146正下方,而第二部分P2位於導電結構122正上方。在一些實施例中,第二部分P2的頂端高於第一部分P1的頂端。
在一些實施例中,導電層144的第二部分P2具有突出表面。此突 出表面可以是曲面。在一些實施例中,此突出表面可以是面上的凸形表面。透過微調形成導電層144的電化學電鍍製程,可形成第二部分P2具有上述輪廓,以增強導電層144的第二部分P2的強度。這可提升導電層144的抗裂能力。
第二部分P2頂端的水平高度比第一部分P1頂端的水平高度(以虛線表示)高出一段高度差H,如第3圖所示。在一些實施例中,高度差H範圍在約0.1微米至約2微米。第一部分P1具有厚度T。厚度T可以範圍在約2微米至約7微米。在一些實施例中,高度差H對厚度T的比值(H/T)可以範圍在約0.1至約0.5。
可以對發明實施例進行各種變化及/或修改。在其他一些實施例中,第一部分P1與第二部分P2的水平高度之間沒有高度差。在這樣的情況下,導電層144可具有大致平坦的頂面。高度差H對厚度T的比值(H/T)大致等於0。
本發明實施例形成封裝結構,此封裝結構包含導電結構(例如,被保護層圍繞的貫孔)以及導電柱。封裝結構也包含導電層,導電層形成導電結構與導電柱之間的電連接。透過微調形成導電層的由下而上沉積製程,形成導電層在導電結構正上方的部分具有大致平坦的頂面或突起表面。因為形成導電層具有上述輪廓,沒有弱點(例如凹陷)形成。因此,提升導電層的抗裂能力。導電層能支撐後續製程(例如,結合製程)所造成的應力。這改善了封裝結構的性能和可靠性。
根據一些實施例,提供封裝結構的形成方法。此方法包含形成導電結構於承載基板之上以及設置半導體晶粒於承載基板之上。此方法也包含形成保護層以圍繞導電結構與半導體晶粒。此方法也包含形成絕緣層於保護層之上。絕緣層具有開口暴露出導電結構的一部分。開口的寬度大於25微米。此外, 此方法也包含形成導電層於絕緣層之上。導電層過量填充開口,且導電層具有大致平坦的頂面。導電層的一部分延伸橫跨導電結構的側壁。在一些實施例中,導電層是使用由下而上沉積製程形成。在一些實施例中,由下而上沉積製程是電化學電鍍製程。在一些實施例中,此方法也包含形成導電柱於導電層之上。導電柱電性連接至導電層在一些實施例中,導電柱與導電結構彼此橫向隔開,且在導電柱的垂直延伸方向上彼此未重疊。在一些實施例中,使用電化學電鍍製程,形成導電柱於導電層正上方。在一些實施例中,此方法也包含形成含錫焊料元件於導電柱正上方。在一些實施例中,此方法也包含在形成導電柱之前,形成第二絕緣層於導電層之上。導電柱延伸至第二絕緣層中,以與導電層電性接觸。在一些實施例中,此方法也包含在形成導電結構之前且在設置半導體晶粒之前,形成重佈線結構於承載基板之上。在一些實施例中,此方法也包含設置第二半導體晶粒於承載基板之上、以及在形成重佈線結構之前,形成第二保護層於承載基板之上,以圍繞第二半導體晶粒。重佈線結構延伸橫跨第二半導體晶粒與第二保護層之間的界面。
根據一些實施例,提供封裝結構的形成方法。此方法包含設置保護層以圍繞導電結構和半導體晶粒。此方法也包含形成第一絕緣層於保護層之上。第一絕緣層具有第一開口暴露出導電結構的一部分。此方法也包含使用由下而上沉積製程,形成導電層於第一絕緣層之上,以過量填充第一開口。導電層的一部分延伸於開口之外,且延伸橫跨導電結構的側壁。此方法也包含形成第二絕緣層於導電層之上。第二絕緣層具有第二開口暴露出導電層的一部分。此方法也包含形成導電柱於導電層自第二開口暴露出來的部分之上。在一些實施例中,此方法也包含在形成導電層之前,形成晶種層於第一開口的側壁和底 面。在一些實施例中,由下而上沉積製程是電化學電鍍製程。在一些實施例中,此方法也包含形成焊料元件於導電柱之上。在一些實施例中,第一開口與第二開口彼此未對準。
根據一些實施例,提供封裝結構。此封裝結構包含彼此橫向隔開的導電結構與半導體晶粒。此封裝結構也包含圍繞導電結構和半導體晶粒的保護層。此封裝結構也包含位於保護層之上的絕緣層。此封裝結構也包含位於絕緣層之上且電性連接至導電結構的導電層。導電層具有大致平坦的頂面。導電層埋入絕緣層中的部分具有大於約25微米的寬度。在一些實施例中,此封裝結構也包含位於導電層之上的導電柱。導電柱電性連接至導電層。在一些實施例中,導電柱與導電結構彼此未對準,且在導電柱的垂直延伸方向上彼此未重疊。在一些實施例中,此封裝結構也包含位於導電層之上的第二絕緣層。第二絕緣層圍繞導電柱的下部。在一些實施例中,此封裝結構也包含位於導電柱之上的焊料凸塊。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
122:導電結構
140:保護層
142a:絕緣層
144:導電層
202:開口
204:晶種層
206:遮罩元件
208:開口
P1:第一部分
P2:第二部分
t1:第一厚度
t2:第二厚度

Claims (10)

  1. 一種封裝結構的形成方法,包括:形成一導電結構於一承載基板之上;設置一半導體晶粒於該承載基板之上;形成一保護層以圍繞該導電結構與該半導體晶粒;形成一絕緣層於該保護層之上,其中該絕緣層具有一第一開口暴露出該導電結構的一部分,且該第一開口的寬度大於25微米;形成一遮罩元件於該絕緣層之上,其中該遮罩元件具有位於該第一開口正上方的一第二開口;形成一導電層於該絕緣層之上,其中該導電層部分填充該第二開口且過量填充該第一開口,使得該導電層的一部分延伸橫跨該導電結構的一側壁,且該導電層具有大致平坦的一頂面;以及移除該遮罩元件以暴露出該導電層的一側壁以及該絕緣層的一上表面。
  2. 如請求項1的封裝結構的形成方法,其中該導電層是使用一由下而上沉積製程形成。
  3. 如請求項1的封裝結構的形成方法,更包括:形成一導電柱於該導電層之上,其中該導電柱電性連接至該導電層。
  4. 如請求項1至3中任一項的封裝結構的形成方法,更包括:在形成該導電結構之前且在設置該半導體晶粒之前,形成一重佈線結構於該承載基板之上。
  5. 如請求項4的封裝結構的形成方法,更包括:設置一第二半導體晶粒於該承載基板之上;以及在形成該重佈線結構之前,形成一第二保護層於該承載基板之上,以圍繞該第二半導體晶粒,其中該重佈線結構延伸橫跨該第二半導體晶粒與該第二保 護層之間的一界面。
  6. 一種封裝結構的形成方法,包括:設置一保護層以圍繞一導電結構和一半導體晶粒;形成一第一絕緣層於該保護層之上,其中該第一絕緣層具有一第一開口暴露出該導電結構的一部分;形成一遮罩元件於該第一絕緣層之上,其中該遮罩元件具有位於該第一開口正上方的一第二開口;使用一由下而上沉積製程,形成一導電層於該第一絕緣層之上,以部分填充該第二開口且過量填充該第一開口,其中該導電層的一部分延伸於該開口之外,且延伸橫跨該導電結構的一側壁;移除該遮罩元件以暴露出該導電層的一側壁以及該第一絕緣層的一上表面;形成一第二絕緣層於該導電層之上,其中該第二絕緣層具有一第三開口暴露出該導電層的一部分;以及形成一導電柱於該導電層自該第三開口暴露出來的該部分之上。
  7. 如請求項6的封裝結構的形成方法,更包括:在形成該導電層之前,形成一晶種層於該第一開口的側壁和底面。
  8. 一種封裝結構,包括:一導電結構與一半導體晶粒,彼此橫向隔開;一保護層,圍繞該導電結構和該半導體晶粒;一絕緣層,位於該保護層之上;以及一導電層,位於該絕緣層之上且電性連接至該導電結構,其中該導電層具有與該導電結構橫向隔開的一第一部分、以及位於該導電結構正上方的一第二部分,該第一部分的頂端的水平低於該第二部分的頂端的水平,且該導電層的 該第二部分具有埋入該絕緣層中的一較低部分,該較低部分具有大於約25微米的寬度。
  9. 如請求項8的封裝結構,更包括:一導電柱,位於該導電層之上,其中該導電柱電性連接至該導電層。
  10. 如請求項9的封裝結構,其中該導電柱與該導電結構彼此未對準,且在該導電柱的垂直延伸方向上彼此未重疊。
TW109129470A 2019-08-30 2020-08-28 封裝結構及其形成方法 TWI752608B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962894336P 2019-08-30 2019-08-30
US62/894,336 2019-08-30
US16/893,939 2020-06-05
US16/893,939 US11784091B2 (en) 2019-08-30 2020-06-05 Structure and formation method of chip package with fan-out feature

Publications (2)

Publication Number Publication Date
TW202115838A TW202115838A (zh) 2021-04-16
TWI752608B true TWI752608B (zh) 2022-01-11

Family

ID=74681735

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129470A TWI752608B (zh) 2019-08-30 2020-08-28 封裝結構及其形成方法

Country Status (3)

Country Link
US (2) US11784091B2 (zh)
CN (1) CN112447527A (zh)
TW (1) TWI752608B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11784091B2 (en) * 2019-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US11450562B2 (en) * 2019-09-16 2022-09-20 Tokyo Electron Limited Method of bottom-up metallization in a recessed feature
US20210195734A1 (en) * 2019-12-23 2021-06-24 Qdos Flexcircuits Sdn Bhd Integrated circuit substrate having a recess for receiving a solder fillet
US11527614B2 (en) 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
TWI798805B (zh) * 2021-09-01 2023-04-11 恆勁科技股份有限公司 半導體封裝載板及其製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150061123A1 (en) * 2012-03-21 2015-03-05 Stats Chippac, Ltd. Semiconductor Device and Method for Forming Openings and Trenches in Insulating Layer by First LDA and Second LDA for RDL Formation
US20180350745A1 (en) * 2017-05-31 2018-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5610422B2 (ja) * 2010-02-04 2014-10-22 富士通株式会社 半導体装置及び半導体装置の製造方法
US20130213816A1 (en) * 2010-04-06 2013-08-22 Tel Nexx, Inc. Incorporating High-Purity Copper Deposit As Smoothing Step After Direct On-Barrier Plating To Improve Quality Of Deposited Nucleation Metal In Microscale Features
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9184128B2 (en) * 2013-12-13 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC package and methods of forming the same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
JP2017135237A (ja) * 2016-01-27 2017-08-03 東京エレクトロン株式会社 Cu配線の製造方法およびCu配線製造システム
US11018080B2 (en) * 2016-03-21 2021-05-25 Agency For Science, Technology And Research Semiconductor package and method of forming the same
US9997464B2 (en) * 2016-04-29 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy features in redistribution layers (RDLS) and methods of forming same
US10319683B2 (en) * 2017-02-08 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stacked package-on-package structures
US10325839B2 (en) * 2017-04-06 2019-06-18 International Business Machines Corporation Reduction of stress in via structure
US11784091B2 (en) * 2019-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150061123A1 (en) * 2012-03-21 2015-03-05 Stats Chippac, Ltd. Semiconductor Device and Method for Forming Openings and Trenches in Insulating Layer by First LDA and Second LDA for RDL Formation
US20180350745A1 (en) * 2017-05-31 2018-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same

Also Published As

Publication number Publication date
US11784091B2 (en) 2023-10-10
US20230369115A1 (en) 2023-11-16
US20210066125A1 (en) 2021-03-04
CN112447527A (zh) 2021-03-05
TW202115838A (zh) 2021-04-16

Similar Documents

Publication Publication Date Title
US11721559B2 (en) Integrated circuit package pad and methods of forming
TWI752608B (zh) 封裝結構及其形成方法
CN108122860B (zh) 形成封装结构的方法
US11854877B2 (en) Semiconductor device and manufacturing method of the same
US20220384377A1 (en) Semiconductor structure and method of manufacturing the same
TWI514542B (zh) 具有圍繞矽穿封裝孔(TPV)的末端部分之開口的晶粒封裝及使用該晶粒封裝之層疊封裝(PoP)
US8759964B2 (en) Wafer level package structure and fabrication methods
US9761513B2 (en) Method of fabricating three dimensional integrated circuit
CN107039287B (zh) 双侧集成扇出封装件
TW201812888A (zh) 製作半導體元件的方法
TWI625783B (zh) 封裝半導體裝置之方法及封裝的半導體裝置
TW201921526A (zh) 封裝體及其製造方法
TW202021085A (zh) 半導體封裝
US10770427B1 (en) Chip package structure and method for forming the same
TW202105543A (zh) 封裝結構的製造方法
TWI730629B (zh) 封裝結構及其形成方法
TWI757864B (zh) 封裝結構及其形成方法
TWI787075B (zh) 半導體封裝結構及其形成方法
US11404394B2 (en) Chip package structure with integrated device integrated beneath the semiconductor chip
US11948876B2 (en) Package structure with through vias