TWI735964B - Transport system - Google Patents

Transport system Download PDF

Info

Publication number
TWI735964B
TWI735964B TW108135855A TW108135855A TWI735964B TW I735964 B TWI735964 B TW I735964B TW 108135855 A TW108135855 A TW 108135855A TW 108135855 A TW108135855 A TW 108135855A TW I735964 B TWI735964 B TW I735964B
Authority
TW
Taiwan
Prior art keywords
substrate
transportation
vacuum tunnel
processing
carrier
Prior art date
Application number
TW108135855A
Other languages
Chinese (zh)
Other versions
TW202022974A (en
Inventor
傑寇柏 纽曼
烏爾利希 奧登朵夫
馬丁 艾尼斯
安德魯J 康斯坦特
薛伊 艾薩福
傑佛瑞C 休根斯
艾力克斯 伯格
威廉T 韋佛爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202022974A publication Critical patent/TW202022974A/en
Application granted granted Critical
Publication of TWI735964B publication Critical patent/TWI735964B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/92Devices for picking-up and depositing articles or materials incorporating electrostatic or magnetic grippers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

Embodiments herein relate to a transport system and a substrate processing and transfer (SPT) system. The SPT system includes a transport system that connects two processing tools. The transport system includes a vacuum tunnel that is configured to transport substrates between the processing tools. The vacuum tunnel includes a substrate transport carriage to move the substrate through the vacuum tunnel. The SPT system has a variety of configurations that allow the user to add or remove processing chambers, depending on the process chambers required for a desired substrate processing procedure.

Description

運輸系統Transportation System

本申請案一般相關於一設備,且更特定地相關於一運輸系統。This application is generally related to a device, and more specifically to a transportation system.

製造半導體裝置通常涉及對例如矽基板、玻璃板等的基板或晶圓實行一系列程序。該等步驟可包含拋光、沉積、蝕刻、光刻、熱處理等。通常,可在包含複數個處理腔室的單一處理系統或工具中實行多個不同的處理步驟。然而,通常情況是在製造設施內的其他處理位置處實行其他處理,且據此有必要在製造設施內將基板從一個處理位置運輸至另一處理位置。取決於要製造的半導體裝置的類型,在製造設施內的許多不同處理位置處可能要實行相對大量的處理步驟。Manufacturing semiconductor devices usually involves performing a series of procedures on substrates or wafers such as silicon substrates, glass plates, etc. These steps may include polishing, deposition, etching, photolithography, heat treatment, and the like. Generally, multiple different processing steps can be performed in a single processing system or tool containing a plurality of processing chambers. However, it is usually the case that other processing is performed at other processing locations in the manufacturing facility, and accordingly, it is necessary to transport the substrate from one processing location to another processing location in the manufacturing facility. Depending on the type of semiconductor device to be manufactured, a relatively large number of processing steps may be performed at many different processing locations within the manufacturing facility.

傳統上是在例如密封盒、匣、容器等基板載體內將基板從一個處理位置運輸至另一處理位置。傳統上也包含自動基板載體運輸裝置,例如自動引導車輛、高架運輸系統、基板載體搬運機器人等,以在製造設施內將基板載體從一個位置移動至另一位置,或從一基板載體運輸裝置傳送基板載體或將基板載體傳送至基板載體運輸裝置。Traditionally, substrates are transported from one processing location to another in a substrate carrier such as a sealed box, cassette, container, or the like. Traditionally, it also includes automatic substrate carrier transportation devices, such as automatic guided vehicles, overhead transportation systems, substrate carrier handling robots, etc., to move substrate carriers from one location to another in a manufacturing facility, or to transfer substrate carriers from a substrate carrier transport device. The substrate carrier or the substrate carrier is transferred to the substrate carrier transportation device.

基板的該運輸通常涉及將基板暴露於室內空氣或至少暴露於非真空條件。任一種都可使基板暴露於不期望的環境(例如,氧化性物質)及/或污染物中。This transportation of the substrate usually involves exposing the substrate to room air or at least to non-vacuum conditions. Either one can expose the substrate to undesirable environments (e.g., oxidizing substances) and/or contaminants.

因此,需要用於在處理工具之間傳送基板的改進的傳送系統。Therefore, there is a need for an improved transfer system for transferring substrates between processing tools.

本文揭露的實施例包含傳輸系統及基板處理及運輸(SPT)系統。運輸和SPT系統包含真空隧道、載具、和其他特徵以幫助保護基板免受不期望環境的影響。The embodiments disclosed herein include transport systems and substrate handling and transportation (SPT) systems. The transportation and SPT system contains vacuum tunnels, carriers, and other features to help protect the substrate from undesired environmental effects.

在一個實施例中,提供了一種運輸系統,包含:一真空隧道,該真空隧道經配置以與一第一處理工具及一第二處理工具交界。該真空隧道包含:一擴大區域;一基板運輸載具,及設置於該擴大區域中的一旋轉台。該旋轉台經配置以在約0度及約180度之間旋轉該基板運輸載具。該基板運輸載具包含:一載具主體;及一末端執行器,該末端執行器耦合至該載具主體。該末端執行器經配置以在運輸期間在該真空隧道內支撐一基板。該末端執行器經配置以延伸進入該第一或該第二處理工具以提取或放置一基板,同時該載具主體保持於該真空隧道內。In one embodiment, a transportation system is provided, including: a vacuum tunnel configured to interface with a first processing tool and a second processing tool. The vacuum tunnel includes: an enlarged area; a substrate transportation carrier, and a rotating platform arranged in the enlarged area. The rotating table is configured to rotate the substrate transportation carrier between about 0 degrees and about 180 degrees. The substrate transportation vehicle includes: a vehicle body; and an end effector coupled to the vehicle body. The end effector is configured to support a substrate in the vacuum tunnel during transportation. The end effector is configured to extend into the first or the second processing tool to extract or place a substrate, while the carrier body is held in the vacuum tunnel.

在另一實施例中,提供了一種基板處理及運輸(SPT)系統,包含:一第一處理工具及一第二處理工具,每一處理工具包含:一傳送腔室,該傳送腔室經配置以耦合至一個或更多個處理腔室;一裝載閘腔室,該裝載閘腔室具有一第一存取開口,該第一存取開口經配置以從一設施前端模組接收一基板;一第二存取開口,該第二存取開口經配置以傳送基板進出該第一處理工具的該傳送腔室;及一第三存取開口;及一真空隧道,該真空隧道在該第一處理工具的該第三存取開口與該第二處理工具的該第三存取開口之間耦合。該真空隧道包含一基板運輸載具。該基板支撐載具包含:一載具主體;及一末端執行器,該末端執行器耦合至該載具主體。該末端執行器經配置以在運輸期間在該真空隧道內支撐該基板,且經配置以使用該第一及該第二處理工具之每一者的該第三存取開口來延伸進入該第一及該第二處理工具的該等裝載閘腔室。In another embodiment, a substrate processing and transportation (SPT) system is provided, including: a first processing tool and a second processing tool, each processing tool includes: a transfer chamber configured to To be coupled to one or more processing chambers; a load lock chamber having a first access opening configured to receive a substrate from a facility front-end module; A second access opening configured to transfer substrates in and out of the transfer chamber of the first processing tool; and a third access opening; and a vacuum tunnel in the first The third access opening of the processing tool is coupled with the third access opening of the second processing tool. The vacuum tunnel contains a substrate transportation carrier. The substrate supporting carrier includes: a carrier body; and an end effector coupled to the carrier body. The end effector is configured to support the substrate in the vacuum tunnel during transportation, and is configured to use the third access opening of each of the first and second processing tools to extend into the first And the loading lock chambers of the second processing tool.

而在另一實施例中,提供了一種運輸系統,包含:一真空隧道,該真空隧道經配置以在一第一處理工具及一第二處理工具之間延伸,該真空隧道包含一基板運輸載具;一第一升降器單元,第一升降器單元置於該第一處理工具附近,以便允許該基板運輸載具在該第一處理工具與該真空隧道之間的運輸;一第二升降器單元,該第二升降器單元置於該第二處理工具附近,以便允許該基板運輸載具在該第一處理工具與該真空隧道之間的運輸;及一緊急煞停系統,該緊急煞停系統經配置以防止該第一及該第二升降器單元內的基板運輸載具系統在一電力損耗期間掉落。該真空隧道置於該第一及該第二處理工具上方。In another embodiment, a transportation system is provided, including: a vacuum tunnel configured to extend between a first processing tool and a second processing tool, the vacuum tunnel including a substrate transportation carrier A first lifter unit, the first lifter unit is placed near the first processing tool, so as to allow the substrate transport carrier to be transported between the first processing tool and the vacuum tunnel; a second lifter Unit, the second lifter unit is placed near the second processing tool, so as to allow the substrate transport vehicle to be transported between the first processing tool and the vacuum tunnel; and an emergency stop system, the emergency stop The system is configured to prevent the substrate transportation carrier system in the first and second lifter units from falling during a power loss period. The vacuum tunnel is placed above the first and second processing tools.

根據本文所述的實施例,一個或更多個處理工具經由一個或更多個真空隧道耦合在一起。該系統允許基板在真空下被運輸至多個處理工具的各個腔室位置,且有效地增加了可用的高真空或清潔腔室位置(例如,小平面)的數量。該等處理工具通常將用作彼此獨立操作的分開的、獨立運作的處理工具。According to the embodiments described herein, one or more processing tools are coupled together via one or more vacuum tunnels. This system allows substrates to be transported to various chamber locations of multiple processing tools under vacuum, and effectively increases the number of available high vacuum or clean chamber locations (eg, facets). These processing tools will generally be used as separate and independently operating processing tools that operate independently of each other.

在一些實施例中,使用真空隧道在第一處理工具的裝載閘腔室與第二處理工具的裝載閘腔室之間傳送基板。例如,在與處理工具相同的高度水平處或在不同的高度處(例如,處理工具上方)實行基板傳送。在一些實施例中,當在處理工具之間運輸基板時,真空隧道允許對基板實行計量及/或檢查。在一個或更多個實施例中,磁浮(maglev)被用於在處理工具之間的真空隧道內運輸基板。 In some embodiments, a vacuum tunnel is used to transfer the substrate between the load lock chamber of the first processing tool and the load lock chamber of the second processing tool. For example, the substrate transfer is performed at the same height level as the processing tool or at a different height (e.g., above the processing tool). In some embodiments, the vacuum tunnel allows for metering and/or inspection of the substrate when transporting the substrate between processing tools. In one or more embodiments, maglev is used to transport substrates in vacuum tunnels between processing tools.

如本文所使用,術語「約」是指與標稱值變化+/-10%。應理解,該變化可包含於本文提供的任何值中。 As used herein, the term "about" refers to a variation of +/- 10% from the nominal value. It should be understood that this change can be included in any of the values provided herein.

圖1A至1E圖示了根據一個實施例的運輸系統100的頂部示意圖。傳輸系統100經配置以在多個處理工具之間運輸基板。所圖示如圖所示,運輸系統100包含真空隧道102。真空隧道102經配置以在第一處理工具104a與第二處理工具104b之間延伸。第一及第二處理工具104a、104b可為任何合適的處理工具,例如可從加利福尼亞州聖克拉拉市的應用材料公司取得的Endura 2主機、也可從應用材料公司獲得的Centura ACP主機等。可使用其他處理工具及/或主機。 Figures 1A to 1E illustrate top schematic views of a transportation system 100 according to one embodiment. The transport system 100 is configured to transport substrates between multiple processing tools. As shown in the figure, the transportation system 100 includes a vacuum tunnel 102. The vacuum tunnel 102 is configured to extend between the first processing tool 104a and the second processing tool 104b. The first and second processing tools 104a, 104b can be any suitable processing tools, such as the Endura 2 host available from Applied Materials, Inc., Santa Clara, California, or the Centura ACP host available from Applied Materials, etc. Other processing tools and/or hosts can be used.

第一及第二處理工具104a、104b可包含耦合至傳送腔室的處理腔室、設施前端模組、裝載閘腔室、預處理腔室及/或類似物(下文進一步描述)。示例性處理腔室包含沉積腔室(例如,物理氣相沉積、化學氣相沉積、電漿增強化學氣相沉積等)、蝕刻腔室、脫氣腔室及/或任 何其他類型的處理腔室。任何數量的處理腔室可實行相同或不同的處理。 The first and second processing tools 104a, 104b may include processing chambers coupled to the transfer chamber, facility front-end modules, load lock chambers, pretreatment chambers, and/or the like (described further below). Exemplary processing chambers include deposition chambers (eg, physical vapor deposition, chemical vapor deposition, plasma enhanced chemical vapor deposition, etc.), etching chambers, degassing chambers, and/or any What other types of processing chambers. Any number of processing chambers can perform the same or different processing.

一般而言,真空隧道102可耦合至設施前端模組、裝載閘腔室、傳送腔室、處理腔室或處理工具的其他位置。儘管可使用其他真空水平,真空隧道102的範例真空水平在約10-10Torr至約760Torr的範圍。 Generally speaking, the vacuum tunnel 102 may be coupled to a facility front-end module, a load lock chamber, a transfer chamber, a processing chamber, or other locations of a processing tool. Although other vacuum level, the vacuum tunnel 102 sample the vacuum level in the range of about 10 -10 Torr to about 760Torr.

所圖示如圖所示,真空隧道102包含具有載具主體108的基板運輸載具106和耦合至載具主體108的末端執行器110。末端執行器110經配置以在運輸期間在真空隧道102內支撐基板112。末端執行器110經配置以將基板112延伸進入第一或第二處理工具104a、104b,以提取或放置基板112,同時載具主體108完全或部分保持在真空隧道102內。例如,末端執行器110具有足夠長度以延伸進入裝載閘腔室、處理腔室、傳送腔室或第一及第二處理工具104a、104b內的其他位置,以接收或放置基板112,同時基板運輸載具106的其餘部分仍保持在真空隧道102中。在一些實施例中,基板運輸載具106被升高或降低以便於將基板112傳送至第一處理工具104a或第二處理工具104b或從第一處理工具104a或第二處理工具104b提取(例如,經由z軸馬達,增加的磁浮系統的磁場強度等)。在其他實施例中,在基板112的拾取及/或放置操作期間,基板運輸載具106依賴於在第一及第二處理工具104a及/或104b內的升降銷、機器人等來提供z軸運動。 As shown in the figure, the vacuum tunnel 102 includes a substrate transport carrier 106 having a carrier body 108 and an end effector 110 coupled to the carrier body 108. The end effector 110 is configured to support the substrate 112 within the vacuum tunnel 102 during transportation. The end effector 110 is configured to extend the substrate 112 into the first or second processing tool 104 a, 104 b to extract or place the substrate 112 while the carrier body 108 is fully or partially maintained within the vacuum tunnel 102. For example, the end effector 110 has a sufficient length to extend into the load lock chamber, the processing chamber, the transfer chamber, or other positions within the first and second processing tools 104a, 104b to receive or place the substrate 112 while the substrate is transported The rest of the carrier 106 is still kept in the vacuum tunnel 102. In some embodiments, the substrate transport carrier 106 is raised or lowered to facilitate transfer of the substrate 112 to the first processing tool 104a or the second processing tool 104b or extraction from the first processing tool 104a or the second processing tool 104b (e.g. , Via the z-axis motor, increase the magnetic field strength of the maglev system, etc.). In other embodiments, during the picking and/or placing operation of the substrate 112, the substrate transport carrier 106 relies on the lift pins, robots, etc. in the first and second processing tools 104a and/or 104b to provide z-axis motion .

在一些實施例中,真空隧道102包含具有旋轉台116的擴大區域114,旋轉台116經配置以在所需的角度範圍(例如,在一些實施例中為0度和180度)之間旋轉基板運輸載具106。這允許了末端執行器110旋轉以面對第一處理工具104a或第二處理工具104b。圖1A至1E圖示了在基板112從第一處理工具104a傳送至第二處理工具104b期間基板運輸載具106、末端執行器110、和基板112的範例運動。 In some embodiments, the vacuum tunnel 102 includes an enlarged area 114 with a rotating table 116 that is configured to rotate the substrate between a desired angular range (e.g., 0 degrees and 180 degrees in some embodiments) Transport vehicle 106. This allows the end effector 110 to rotate to face the first treatment tool 104a or the second treatment tool 104b. 1A to 1E illustrate example movements of the substrate transport carrier 106, the end effector 110, and the substrate 112 during the transfer of the substrate 112 from the first processing tool 104a to the second processing tool 104b.

在一些實施例中,運輸系統100包含相關於真空隧道102放置的計量或檢查工具118,以便允許在放置於末端執行器110上的基板112上進行計量或檢查,同時通過旋轉台116來旋轉基板運輸載具106。例如,計量工具118經配置以測量膜厚度、膜均勻性、基板缺陷水平、實行邊緣檢查等。在其他實施例中,計量工具118經配置以用於刻痕查找、基板重新對準等。可使用其他計量及/或檢查工具。而在其他實施例中,計量工具118或另一工具使用於真空隧道102的擴大區域114內的其他製程,例如基板脫氣、基板冷卻、預清潔等操作。 In some embodiments, the transportation system 100 includes a metering or inspection tool 118 placed in relation to the vacuum tunnel 102 to allow metering or inspection on the substrate 112 placed on the end effector 110 while rotating the substrate by the rotating table 116 Transport vehicle 106. For example, the metrology tool 118 is configured to measure film thickness, film uniformity, substrate defect levels, perform edge inspections, and the like. In other embodiments, the metrology tool 118 is configured for scoring lookup, substrate realignment, and the like. Other measurement and/or inspection tools can be used. In other embodiments, the metrology tool 118 or another tool is used for other processes in the enlarged area 114 of the vacuum tunnel 102, such as substrate degassing, substrate cooling, and pre-cleaning operations.

在一些實施例中,運輸系統100包含磁浮系統120,磁浮系統120經配以懸浮基板運輸載具106且在第一處理工具104a與第二處理工具104b之間移動基板運輸載具106。例如,基板運輸載具106包含被驅動機構所使用的永久及/或其他磁鐵排斥的複數個永久及/或其他磁體,該驅動機構在一些實施例中位於真空隧道102外 部。電磁鐵可經配置以便於控制基板運輸載具106的移動及/或定位。控制器122(例如一個或更多個微控制器、可編程邏輯控制器、專用硬體及/或軟體等)可經配置以控制計量工具118、磁浮系統120、真空隧道102等的一個或更多個操作。 In some embodiments, the transportation system 100 includes a magnetic levitation system 120 that is equipped with a levitation substrate transportation carrier 106 and moves the substrate transportation carrier 106 between the first processing tool 104a and the second processing tool 104b. For example, the substrate transport carrier 106 includes a plurality of permanent and/or other magnets repelled by the permanent and/or other magnets used by the driving mechanism, which in some embodiments is located outside the vacuum tunnel 102 Department. The electromagnet may be configured to facilitate controlling the movement and/or positioning of the substrate transportation carrier 106. The controller 122 (e.g., one or more microcontrollers, programmable logic controllers, dedicated hardware and/or software, etc.) may be configured to control one or more of the metrology tool 118, the maglev system 120, the vacuum tunnel 102, etc. Multiple operations.

在操作中,通過將末端執行器110從真空隧道102延伸進入第一處理工具104a,基板運輸載具106可經配置以從第一處理工具104a取回基板112。例如,末端執行器110延伸進入第一處理工具104a的裝載閘腔室、傳送腔室、處理腔室等,以取回基板112(例如,在基板運輸載具106提供或不提供z方向運動的情況下)。此後,基板運輸載具106朝向第二處理工具104b行進,在擴大區域114內旋轉適當的量,使得末端執行器110經定向以延伸進入第二處理工具104b。基板運輸載具106旋轉大約180度,使得末端執行器110面對第二處理工具104b。在其他實施例中,其中第一及第二處理工具104a、104b不沿著直線放置,可使用其他旋轉角度(例如45度、90度等)。 In operation, by extending the end effector 110 from the vacuum tunnel 102 into the first processing tool 104a, the substrate transport carrier 106 may be configured to retrieve the substrate 112 from the first processing tool 104a. For example, the end effector 110 extends into the load lock chamber, transfer chamber, processing chamber, etc. of the first processing tool 104a to retrieve the substrate 112 (for example, in the substrate transport carrier 106 with or without z-direction motion) Case). Thereafter, the substrate transport carrier 106 travels toward the second processing tool 104b, rotating an appropriate amount in the enlarged area 114, so that the end effector 110 is oriented to extend into the second processing tool 104b. The substrate transport carrier 106 is rotated about 180 degrees so that the end effector 110 faces the second processing tool 104b. In other embodiments, where the first and second processing tools 104a, 104b are not placed along a straight line, other rotation angles (for example, 45 degrees, 90 degrees, etc.) may be used.

在基板運輸載具106旋轉期間,計量工具118可在基板112上實行一個或更多個計量、檢查或其他測量。另外地或可替代地,在擴大區域114內實行其他製程(例如,脫氣、預清潔、冷卻等)。 During the rotation of the substrate transport carrier 106, the metrology tool 118 may perform one or more metrology, inspection, or other measurements on the substrate 112. Additionally or alternatively, other processes (eg, degassing, pre-cleaning, cooling, etc.) are performed in the enlarged area 114.

一旦末端執行器110面對第二處理工具104b,基板運輸載具106可行進以將基板112放置在第 二處理工具104b中。例如,末端執行器110延伸進入第二處理工具104b的裝載閘腔室、傳送腔室、處理腔室等以將基板112放置在其中(例如,在基板運輸載具106提供或不提供z方向運動的情況下)。 Once the end effector 110 faces the second processing tool 104b, the substrate transport carrier 106 can move forward to place the substrate 112 on the second processing tool 104b. The second processing tool 104b. For example, the end effector 110 extends into the load lock chamber, transfer chamber, processing chamber, etc. of the second processing tool 104b to place the substrate 112 therein (for example, the substrate transport carrier 106 provides or does not provide z-direction movement in the case of).

圖2圖示了根據一個實施例的基板處理及運輸(SPT)系統200的頂部示意圖。所圖示如圖所示,SPT系統200包含兩個處理工具202a、202b。例如,處理工具202a、202b為可從應用材料公司取得的Endura 2系統,或另一種合適的處理工具(例如,單或雙傳送腔室處理工具)。SPT系統200經配置以在兩個處理工具202a、202b之間移動基板。 Figure 2 illustrates a top schematic view of a substrate handling and transportation (SPT) system 200 according to one embodiment. As shown in the figure, the SPT system 200 includes two processing tools 202a, 202b. For example, the processing tools 202a, 202b are the Endura 2 system available from Applied Materials, or another suitable processing tool (for example, a single or dual transfer chamber processing tool). The SPT system 200 is configured to move the substrate between two processing tools 202a, 202b.

所圖示如圖2所示,處理工具202a包含耦合至傳送腔室208a和208b的處理腔室206a至g,及在傳送腔室208a和設施前端模組(EFEM)212之間耦合的裝載閘腔室210a、210b。所圖示如圖2所示,處理工具202b包含耦合至傳送腔室214a和214b的處理腔室202h至n,及在傳送腔室214a和EFEM 218之間耦合的裝載閘腔室216a、216b。在一些實施例中,處理工具202a及/或202b各自包含脫氣及/或預清潔腔室220a、220b。可使用其他數量及/或類型的腔室。處理工具202a、202b經配置以使基板繞著處理工具的各個腔室移動,從而允許在每一個別處理腔室中處理基板。 As shown in FIG. 2, the processing tool 202a includes processing chambers 206a to g coupled to the transfer chambers 208a and 208b, and a loading gate coupled between the transfer chamber 208a and the facility front end module (EFEM) 212 Chambers 210a, 210b. As shown in FIG. 2, the processing tool 202b includes processing chambers 202h to n coupled to the transfer chambers 214a and 214b, and load lock chambers 216a, 216b coupled between the transfer chamber 214a and the EFEM 218. In some embodiments, the processing tools 202a and/or 202b each include a degassing and/or pre-cleaning chamber 220a, 220b. Other numbers and/or types of chambers can be used. The processing tools 202a, 202b are configured to move the substrate around the various chambers of the processing tool, thereby allowing the substrate to be processed in each individual processing chamber.

處理腔室206a至n可為任何類型的處理腔室,例如沉積腔室(例如,物理氣相沉積、化學氣相沉積、 電漿增強化學氣相沉積等)、蝕刻腔室、脫氣腔室及/或任何其他類型的處理腔室。任何數量的處理腔室206a至n可實行相同或不同的處理。 The processing chambers 206a to n can be any type of processing chambers, such as deposition chambers (for example, physical vapor deposition, chemical vapor deposition, Plasma enhanced chemical vapor deposition, etc.), etching chambers, degassing chambers and/or any other types of processing chambers. Any number of processing chambers 206a to n can perform the same or different processing.

處理工具202a、202b經由真空隧道102耦合。例如,真空隧道102將處理工具202a的裝載閘腔室210b耦合至處理工具202b的裝載閘腔室216b。在一些實施例中,裝載閘腔室210b具有第一存取開口、第二存取開口、及第三存取開口,該第一存取開口經配置以從EFEM 212接收基板或將基板供應至EFEM 212,該第二存取開口經配置以向第一處理工具202a的傳送腔室208a傳送基板或從第一處理工具202a的傳送腔室208a傳送基板,該第三存取開口耦合至真空隧道102。同樣地,裝載閘腔室216b具有第一存取開口、第二存取開口、及第三存取開口,該第一存取開口經配置以從EFEM 218接收基板或將基板供應至EFEM 218,該第二存取開口經配置以向第二處理工具202b的傳送腔室214a傳送基板或從第二處理工具202b的傳送腔室214a傳送基板,該第三存取開口耦合至真空隧道102。 The processing tools 202a, 202b are coupled via a vacuum tunnel 102. For example, the vacuum tunnel 102 couples the load lock chamber 210b of the processing tool 202a to the load lock chamber 216b of the processing tool 202b. In some embodiments, the load gate chamber 210b has a first access opening, a second access opening, and a third access opening. The first access opening is configured to receive substrates from EFEM 212 or supply substrates to EFEM 212, the second access opening is configured to transfer the substrate to or from the transfer chamber 208a of the first processing tool 202a, and the third access opening is coupled to the vacuum tunnel 102. Similarly, the load gate chamber 216b has a first access opening, a second access opening, and a third access opening, the first access opening is configured to receive the substrate from the EFEM 218 or supply the substrate to the EFEM 218, The second access opening is configured to transfer the substrate to or from the transfer chamber 214a of the second processing tool 202b, and the third access opening is coupled to the vacuum tunnel 102.

圖3圖示了根據一個實施例的裝載閘腔室300。裝載閘腔室300可用於圖2的裝載閘腔室210b或216b。裝載閘腔室300經配置以從處理工具202a、202b接收基板。所圖示如圖所示,裝載閘腔室300包含第一存取開口302、第二存取開口304、及第三存取開口306,第一存取開口302經配置以從EFEM(例如,212)接收基 板及/或將基板供應至EFEM,第二存取開口304經配置以向傳送腔室(例如,208a)傳送基板及/或從傳送腔室傳送基板,第三存取開口306經配置以耦合至真空隧道102。 Figure 3 illustrates a load lock chamber 300 according to one embodiment. The load lock chamber 300 can be used for the load lock chamber 210b or 216b of FIG. 2. The load lock chamber 300 is configured to receive substrates from the processing tools 202a, 202b. As shown in the figure, the load lock chamber 300 includes a first access opening 302, a second access opening 304, and a third access opening 306. The first access opening 302 is configured to access from the EFEM (for example, 212) Receiving base Board and/or supply the substrate to the EFEM, the second access opening 304 is configured to transfer the substrate to and/or from the transfer chamber (eg, 208a), and the third access opening 306 is configured to be coupled To the vacuum tunnel 102.

回到圖2,真空隧道102可包含擴大區域114,擴大區域114包含旋轉台116。旋轉台116經配置以使基板運輸載具106在約0度及約180度之間旋轉。這允許末端執行器110旋轉以便面對處理工具202a或處理工具202b。 Returning to FIG. 2, the vacuum tunnel 102 may include an enlarged area 114, and the enlarged area 114 includes a rotating table 116. The rotating table 116 is configured to rotate the substrate transport carrier 106 between about 0 degrees and about 180 degrees. This allows the end effector 110 to rotate so as to face the treatment tool 202a or the treatment tool 202b.

在一些實施例中,相對於真空隧道102放置計量工具118,以便允許在放置於末端執行器110上的基板上進行計量及/或檢查,同時基板運輸載具106位於擴大區域114中及/或由旋轉台116旋轉。範例的計量工具118包含測量膜厚度、膜均勻性、基板缺陷水平、邊緣特性等的工具,以及刻痕查找器、基板對準器及/或重新定向器等,以在基板在處理工具202a、202b之間通過時,決定及/或調整基板的對準/定向。真空隧道102可在與處理工具202a、202b相同的高度處或在不同高度處在處理工具202a、202b之間傳送基板。 In some embodiments, the metrology tool 118 is placed relative to the vacuum tunnel 102 to allow metrology and/or inspection on the substrate placed on the end effector 110, while the substrate transport carrier 106 is located in the enlarged area 114 and/or Rotated by the rotating table 116. Exemplary metrology tools 118 include tools for measuring film thickness, film uniformity, substrate defect levels, edge characteristics, etc., as well as scoring finder, substrate aligner and/or redirector, etc., to process the substrate in the tool 202a, When passing between 202b, the alignment/orientation of the substrate is determined and/or adjusted. The vacuum tunnel 102 can transfer substrates between the processing tools 202a, 202b at the same height as the processing tools 202a, 202b or at different heights.

圖4A圖示了根據一個實施例的運輸系統400的示意正視圖。圖4B圖示了根據一個實施例的運輸系統400的示意側視圖。放置真空隧道102於處理工具202a、202b上方。第一升降器單元402a可經配置以在處理工具202a和真空隧道102之間傳送基板運輸載具 106。相似地,第二升降器單元402b可被包含以在處理工具202b和真空隧道102之間傳送基板運輸載具106。第一及第二升降器單元402a、402b可包含機械的、磁浮的或其他升降機構。所圖示如圖所示,方向改變模組404a、404b可經配置以將基板運輸載具106的方向從沿著y軸(垂直)改變為沿著x軸(水平),及/或反之亦然(例如,使用合適的傳送或移交操作)。在一些實施例中,第一及第二升降器單元402a、402b及/或方向改變模組404a、404b被維持在與真空隧道102相似的真空水平。 Figure 4A illustrates a schematic front view of a transportation system 400 according to one embodiment. Figure 4B illustrates a schematic side view of a transportation system 400 according to one embodiment. Place the vacuum tunnel 102 above the processing tools 202a, 202b. The first elevator unit 402a may be configured to transfer the substrate transport carrier between the processing tool 202a and the vacuum tunnel 102 106. Similarly, the second elevator unit 402b may be included to transfer the substrate transport carrier 106 between the processing tool 202b and the vacuum tunnel 102. The first and second lifter units 402a, 402b may include mechanical, magnetic levitation or other lifting mechanisms. As shown in the figure, the direction changing modules 404a, 404b can be configured to change the direction of the substrate transport carrier 106 from along the y-axis (vertical) to along the x-axis (horizontal), and/or vice versa Of course (for example, use the appropriate transfer or handover operation). In some embodiments, the first and second elevator units 402a, 402b and/or the direction changing modules 404a, 404b are maintained at a vacuum level similar to that of the vacuum tunnel 102.

圖4C圖示了根據一個實施例的運輸系統401的示意正視圖。圖4D圖示了根據一個實施例的運輸系統401的示意側視圖。運輸系統401包含在不同高度處的堆疊的真空隧道102a、102b。運輸系統401包含在第一及第二升降器單元402a、402b之其中一者內的計量工具118。展示了許多額外的方向改變模組404a至e。該等升高的真空隧道102a及/或102b可被包含在本文描述的任何運輸系統內。例如,在一些實施例中,裝載閘腔室300(圖3)包含存取開口以允許從裝載閘腔室300的頂部存取基板。可包含額外的堆疊及/或升高的真空隧道(例如,3、4、5個真空隧道等)。 Figure 4C illustrates a schematic front view of a transportation system 401 according to one embodiment. Figure 4D illustrates a schematic side view of a transportation system 401 according to one embodiment. The transport system 401 contains stacked vacuum tunnels 102a, 102b at different heights. The transportation system 401 includes a metering tool 118 in one of the first and second elevator units 402a, 402b. Many additional direction change modules 404a to e are shown. The elevated vacuum tunnels 102a and/or 102b may be included in any transportation system described herein. For example, in some embodiments, the load lock chamber 300 (FIG. 3) includes access openings to allow access to the substrate from the top of the load lock chamber 300. Additional stacks and/or elevated vacuum tunnels may be included (e.g., 3, 4, 5 vacuum tunnels, etc.).

回到圖4A,置於第一處理工具202a附近的第一升降器單元402a允許在第一處理工具202a與真空隧道102之間運輸基板運輸載具106。置於第二處理工具202b附近的第二升降器單元402b允許在第二處理工具 202b和真空隧道102之間運輸基板運輸載具106。在圖4C和4D中,將額外的真空隧道102b置於處理工具上方,且可由第一和第二升降器單元402a、402b存取。基板運輸載具106也可經配置以支撐一個以上的基板。 Returning to FIG. 4A, the first elevator unit 402a placed near the first processing tool 202a allows the substrate transport carrier 106 to be transported between the first processing tool 202a and the vacuum tunnel 102. The second lifter unit 402b placed near the second processing tool 202b allows The substrate transport carrier 106 is transported between 202b and the vacuum tunnel 102. In Figures 4C and 4D, an additional vacuum tunnel 102b is placed above the processing tool and can be accessed by the first and second elevator units 402a, 402b. The substrate transport carrier 106 may also be configured to support more than one substrate.

圖4E圖示了根據一個實施例的磁浮系統120的側視圖。所圖示如圖所示,磁浮系統120包含被動動子424,被動動子424包含上端執行器466和下端執行器468。儘管兩個末端執行器允許快速地從負載鎖或其他腔室取回基板及在負載鎖或其他腔室中放置另一基板,可包含更少或更多的末端執行器。在一些實施例中,複數個永久及/或其他磁鐵460位於下端執行器468中。磁鐵460被位於架板472中的磁鐵462排斥。例如,架板472被附接至或位於相對於水平磁浮軌道464的固定位置中。水平磁浮軌道464可包含驅動線圈和位置感測器(未圖示),以經由磁力移動被動動子424。在一些實施例中,水平磁浮軌道464(及/或驅動線圈及/或位置感測器)位於真空區域外部,該真空區域含有被動動子424和上端及下端執行器466、468。例如,水平磁浮軌道464位於大氣環境中。 FIG. 4E illustrates a side view of the magnetic levitation system 120 according to one embodiment. As shown in the figure, the maglev system 120 includes a passive mover 424, and the passive mover 424 includes an upper actuator 466 and a lower actuator 468. Although two end effectors allow for quick retrieval of a substrate from the load lock or other chamber and placement of another substrate in the load lock or other chamber, fewer or more end effectors may be included. In some embodiments, a plurality of permanent and/or other magnets 460 are located in the lower end effector 468. The magnet 460 is repelled by the magnet 462 located in the shelf plate 472. For example, the shelf 472 is attached to or located in a fixed position relative to the horizontal magnetic suspension rail 464. The horizontal magnetic levitation track 464 may include a driving coil and a position sensor (not shown) to move the passive mover 424 via magnetic force. In some embodiments, the horizontal magnetic levitation track 464 (and/or the driving coil and/or the position sensor) is located outside the vacuum area, which contains the passive mover 424 and the upper and lower actuators 466 and 468. For example, the horizontal maglev track 464 is located in an atmospheric environment.

架板472包含頂部表面474,在一些實施例中,頂部表面474以小於90度的角度與水平磁浮軌道464相交,或平行於以小於90度的角度與水平磁浮軌道464相交的平面。下端執行器468具有可平行於架板472的頂部表面474的下表面476。 The shelf 472 includes a top surface 474. In some embodiments, the top surface 474 intersects the horizontal maglev track 464 at an angle of less than 90 degrees, or is parallel to a plane intersecting the horizontal maglev track 464 at an angle of less than 90 degrees. The lower end effector 468 has a lower surface 476 that can be parallel to the top surface 474 of the frame plate 472.

在操作中,被動動子424通過磁鐵460、462維持在遠離架板472的垂直位置中。無論是否向磁浮系統120供電,都可維持該垂直位置。在被動動子424和水平磁浮軌道464中的磁鐵(未圖示)維持水平磁浮軌道464和被動動子424之間的間隙480。在功率損失的情況下,水平磁浮軌道464不能在水平磁浮軌道464和被動動子424之間維持間隙480。隨後,頂部表面474和下表面476的斜率迫使被動動子424朝向水平磁浮軌道464至被動動子424接觸水平磁浮軌道464處,並通過摩擦防止移動(例如,水平移動)。被動動子424保持由磁力垂直地支撐。 In operation, the passive mover 424 is maintained in a vertical position away from the shelf 472 by the magnets 460 and 462. The vertical position can be maintained regardless of whether power is supplied to the maglev system 120. The magnets (not shown) in the passive mover 424 and the horizontal maglev track 464 maintain the gap 480 between the horizontal maglev track 464 and the passive mover 424. In the case of power loss, the horizontal maglev track 464 cannot maintain the gap 480 between the horizontal maglev track 464 and the passive mover 424. Subsequently, the slopes of the top surface 474 and the lower surface 476 force the passive mover 424 toward the horizontal maglev track 464 to where the passive mover 424 contacts the horizontal maglev track 464 and prevent movement (for example, horizontal movement) by friction. The passive mover 424 remains vertically supported by the magnetic force.

在一些實施例中,只要維持間隙480以保持被動動子424在水平磁浮軌道464上不受約束,水平磁浮軌道464是彎曲的。 In some embodiments, as long as the gap 480 is maintained to keep the passive mover 424 unconstrained on the horizontal maglev track 464, the horizontal maglev track 464 is curved.

當具有上端及下端執行器466、468的被動動子424由永久磁場支撐時,可由磁浮分開板(未圖示)後面的線圈(未圖示)沿著水平磁浮軌道464水平驅動被動動子424。該等線圈不限定被動動子的垂直位置,而僅維持水平磁浮軌道464和被動動子424之間的間隙480,且沿著水平磁浮軌道464水平地推動被動動子424。這可允許水平磁浮軌道464非常簡單,且使用更少的功率,因為減低了或沒有接觸、摩擦和與重力的對抗。 When the passive mover 424 with upper and lower end actuators 466, 468 is supported by a permanent magnetic field, the passive mover 424 can be driven horizontally along the horizontal maglev track 464 by the coil (not shown) behind the maglev separating plate (not shown) . The coils do not limit the vertical position of the passive mover, but only maintain the gap 480 between the horizontal maglev track 464 and the passive mover 424, and push the passive mover 424 horizontally along the horizontal maglev track 464. This may allow the horizontal maglev track 464 to be very simple and use less power because of reduced or no contact, friction, and resistance to gravity.

回到圖4A,在一些實施例中,第一及第二升降器單元402a、402b包含緊急煞停系統406a、406b,經配置以防止在第一或第二升降器單元402a、402b內的 基板運輸載具在電力損耗期間掉落。緊急煞停系統406a、406b可用作備用或代替標準的不間斷電源。 Returning to FIG. 4A, in some embodiments, the first and second lifter units 402a, 402b include emergency stop systems 406a, 406b configured to prevent in the first or second lifter unit 402a, 402b The substrate transportation carrier falls during the power loss period. The emergency stop system 406a, 406b can be used as a backup or instead of a standard uninterruptible power supply.

圖5A圖示了根據一個實施例的第二升降器單元402b的立面側視圖。圖5B圖示了根據一個實施例的第二升降器單元402b的立面頂部視圖。第二升降器單元402b被展示為處於解鎖狀態。所圖示如圖所示,第二升降器單元402b包含線性馬達520,經配置以升高和降低耦合至基板運輸載具106的被動動子424。在一些實施例中,被動動子424是其中沒有任何電子裝置的被動裝置。如果線性馬達520失靈,緊急煞停系統406b可防止被動動子424自由下落。第一升降器單元402a可具有相似的鎖定和解鎖狀態。 Figure 5A illustrates an elevational side view of the second lifter unit 402b according to one embodiment. Figure 5B illustrates an elevational top view of the second lifter unit 402b according to one embodiment. The second lifter unit 402b is shown in an unlocked state. As shown in the figure, the second lifter unit 402b includes a linear motor 520 configured to raise and lower the passive mover 424 coupled to the substrate transport carrier 106. In some embodiments, the passive mover 424 is a passive device without any electronic devices therein. If the linear motor 520 fails, the emergency stop system 406b can prevent the passive mover 424 from falling freely. The first lifter unit 402a may have similar locked and unlocked states.

緊急煞停系統406b可包含位於線性馬達520上的摩擦表面522,或摩擦表面522可位於線性馬達520附近。條帶526可延伸第二升降器單元402b的長度且可經配置以由電磁鐵528磁化。例如,響應於電磁鐵528被通電,條帶526的外表面被極化。例如,當電磁鐵528被通電時,條帶526的外表面極化成南。可使用相反的極性。 The emergency stop system 406b may include a friction surface 522 located on the linear motor 520, or the friction surface 522 may be located near the linear motor 520. The strap 526 may extend the length of the second lifter unit 402b and may be configured to be magnetized by an electromagnet 528. For example, in response to the electromagnet 528 being energized, the outer surface of the strip 526 is polarized. For example, when the electromagnet 528 is energized, the outer surface of the strip 526 is polarized to the south. The opposite polarity can be used.

圖5C和5D圖示了根據一個實施例的突片530的放大視圖。突片530被包含在煞停系統406b中。突片530可繞著樞轉點532相對於被動動子424樞轉。突片530可繞著樞轉點532在逆時針方向534上偏置(例如,經由彈簧或其他張緊裝置,未圖示)。據此,突片530的下部朝著摩擦表面522偏置。突片530可包含永久磁鐵 538,永久磁鐵538具有面對條帶526的磁極,該磁極的極性與當條帶被電磁鐵528磁化時條帶526的極性相同。 Figures 5C and 5D illustrate enlarged views of the tab 530 according to one embodiment. The tab 530 is included in the braking system 406b. The tab 530 can pivot about the pivot point 532 relative to the passive mover 424. The tab 530 may be biased in a counterclockwise direction 534 about the pivot point 532 (eg, via a spring or other tensioning device, not shown). According to this, the lower portion of the tab 530 is biased toward the friction surface 522. Tab 530 may contain permanent magnets 538, the permanent magnet 538 has a magnetic pole facing the strip 526, and the polarity of the magnetic pole is the same as that of the strip 526 when the strip is magnetized by the electromagnet 528.

儘管在圖5B中圖示了兩個緊急煞停系統406b,每一者位於被動動子424的每一側上,應理解,可使用更少或更多的緊急煞停系統。 Although two emergency braking systems 406b are illustrated in FIG. 5B, each on each side of the passive mover 424, it should be understood that fewer or more emergency braking systems may be used.

在操作中,可從操作線性馬達520的來源向電磁鐵528通電。通電的電磁鐵528使條帶526磁化,從而排斥磁鐵538並迫使突片530相對於方向534樞轉,如圖5D中所圖示。在該配置中,第二升降器單元402b處於解鎖狀態,且基板運輸載具106可自由地升高或降低。當功率損失至線性馬達520時,電磁鐵528不能使條帶526磁化,因此突片530逆時針旋轉進入摩擦表面522,如圖5C中所圖示。突片530與摩擦表面522的接觸鎖定了第二升降器單元402b並防止被動動子424掉落。當突片530接觸摩擦表面522時,支架540可防止被動動子424掉落或移動遠離線性馬達520。 In operation, the electromagnet 528 can be energized from the source that operates the linear motor 520. The energized electromagnet 528 magnetizes the strip 526, thereby repelling the magnet 538 and forcing the tab 530 to pivot relative to the direction 534, as illustrated in Figure 5D. In this configuration, the second lifter unit 402b is in an unlocked state, and the substrate transportation carrier 106 can be raised or lowered freely. When power is lost to the linear motor 520, the electromagnet 528 cannot magnetize the strip 526, so the tab 530 rotates counterclockwise into the friction surface 522, as illustrated in FIG. 5C. The contact of the tab 530 with the friction surface 522 locks the second lifter unit 402b and prevents the passive mover 424 from falling. When the tab 530 contacts the friction surface 522, the bracket 540 can prevent the passive mover 424 from falling or moving away from the linear motor 520.

圖6圖示了根據一個實施例的SPT系統600的頂部示意圖。SPT系統600相似於SPT系統200,但SPT系統600包含帶有處理工具202a的雙裝載閘腔室602a。另外,真空隧道102不包含擴大區域或旋轉台。雙裝載閘腔室602a的尺寸被設置成允許基板運輸載具106延伸進入雙裝載閘腔室602a,使得傳送腔室208a內的機器人可從基板運輸載具106取回基板(或將基板放置於該機器人上)。例如,雙裝載閘腔室602a的內部區域具 有足夠的空間,以允許基板運輸載具106在其中行進一足夠距離,以使從基板運輸載具106中取回基板。在一些實施例中,雙裝載閘腔室602a包含用於允許基板運輸載具106在其中移動的機構(例如,磁浮軌道或其他移動機構)。以此方式,當在處理工具202a和202b之間運輸基板時不採用旋轉。在一些實施例中,雙裝載閘腔室602b相似地被配置為雙裝載閘腔室602a,儘管單一裝載閘腔室可與處理工具202b一起使用。 Figure 6 illustrates a top schematic view of an SPT system 600 according to one embodiment. The SPT system 600 is similar to the SPT system 200, but the SPT system 600 includes a double loading lock chamber 602a with a processing tool 202a. In addition, the vacuum tunnel 102 does not include an enlarged area or a rotating table. The size of the dual loading gate chamber 602a is set to allow the substrate transport carrier 106 to extend into the dual loading gate chamber 602a so that the robot in the transfer chamber 208a can retrieve the substrate from the substrate transport carrier 106 (or place the substrate in On the robot). For example, the internal area of the double loading lock chamber 602a has There is enough space to allow the substrate transportation carrier 106 to travel a sufficient distance therein, so that the substrate can be retrieved from the substrate transportation carrier 106. In some embodiments, the dual loading gate chamber 602a includes a mechanism (for example, a magnetic levitation rail or other moving mechanism) for allowing the substrate transport carrier 106 to move therein. In this way, no rotation is employed when transporting the substrate between the processing tools 202a and 202b. In some embodiments, the dual load lock chamber 602b is similarly configured as a dual load lock chamber 602a, although a single load lock chamber may be used with the processing tool 202b.

圖7圖示了根據一個實施例的SPT系統700的頂部示意圖。SPT系統700相似於SPT系統200,但在SPT系統700中,移動EFEM 212以提供對裝載閘腔室210b的存取,且移動EFEM 218以提供對裝載閘腔室216b的存取。以此方式,真空隧道102在裝載閘腔室210b的前存取開口與裝載閘腔室216b的前存取開口之間延伸。在一些實施例中,提供了兩個旋轉台702a和702b,以允許基板運輸載具106經定向以用於從裝載閘腔室210b或裝載閘腔室216b放置或取回基板(如虛線所圖示)。該配置為採用處理工具202a和202b的額外的小面F1和F2個別提供了足夠的空間。額外的小面F1、F2允許處理工具202a、202b容納額外的處理腔室。 Figure 7 illustrates a top schematic view of an SPT system 700 according to one embodiment. The SPT system 700 is similar to the SPT system 200, but in the SPT system 700, the EFEM 212 is moved to provide access to the load lock chamber 210b, and the EFEM 218 is moved to provide access to the load lock chamber 216b. In this way, the vacuum tunnel 102 extends between the front access opening of the load lock chamber 210b and the front access opening of the load lock chamber 216b. In some embodiments, two rotating stages 702a and 702b are provided to allow the substrate transport carrier 106 to be oriented for placing or retrieving substrates from the load lock chamber 210b or the load lock chamber 216b (as shown in the dashed line Show). This configuration provides enough space for the additional facets F1 and F2 of the processing tools 202a and 202b, respectively. The additional facets F1, F2 allow the processing tools 202a, 202b to accommodate additional processing chambers.

圖8圖示了根據一個實施例的SPT系統800的頂部示意圖。SPT系統800相似於SPT系統700,但在SPT系統800中,移除了裝載閘腔室210a和210b(例如,以提供更緊密的佈局)。相反地,SPT系統800的每 一處理工具202a、202b僅包含單一裝載閘腔室210a、210b。真空隧道102直接與傳送腔室208a、208b交界。 Figure 8 illustrates a top schematic view of an SPT system 800 according to one embodiment. The SPT system 800 is similar to the SPT system 700, but in the SPT system 800, the load lock chambers 210a and 210b are removed (for example, to provide a tighter layout). Conversely, each of the SPT system 800 A processing tool 202a, 202b includes only a single load lock chamber 210a, 210b. The vacuum tunnel 102 directly borders the transfer chambers 208a, 208b.

圖9圖示了根據一個實施例的SPT系統900的頂部示意圖。SPT系統900相似於SPT系統800,但SPT系統900包含在真空隧道102內的彎曲路徑。由於真空隧道102是彎曲的,當在處理工具202a和202b之間實行基板傳送時,使用單一旋轉台902來重新定向基板運輸載具106。 Figure 9 illustrates a top schematic view of an SPT system 900 according to one embodiment. The SPT system 900 is similar to the SPT system 800, but the SPT system 900 includes a tortuous path in the vacuum tunnel 102. Since the vacuum tunnel 102 is curved, a single rotating table 902 is used to reorient the substrate transport carrier 106 when performing substrate transfer between the processing tools 202a and 202b.

圖10圖示了根據一個實施例的SPT系統1000的頂部示意圖。真空隧道102經配置以在兩個或更多個處理工具(例如,至少處理工具202a和202b)之間線性地延伸。與圖6的SPT系統600一樣,SPT系統1000不包含旋轉台。 Figure 10 illustrates a top schematic view of an SPT system 1000 according to one embodiment. The vacuum tunnel 102 is configured to extend linearly between two or more processing tools (e.g., at least processing tools 202a and 202b). Like the SPT system 600 of FIG. 6, the SPT system 1000 does not include a rotating table.

真空隧道102置於處理工具202a的裝載閘腔室210a、210b與EFEM 212之間,及處理工具202b的裝載閘腔室216a、216b與EFEM 218之間。使用EFEM 212內的機器人或傳送腔室208a內的機器人,將基板傳送進入處理工具202a附近的真空隧道102。例如,傳送腔室208a內的機器人透過延伸穿過裝載閘腔室210a或210b來於真空隧道102內拾取或放置基板。隔絕閥1002a至1002g允許在基板傳送期間隔絕真空隧道102的部分,尤其是在EFEM 212與真空隧道102之間傳送基板時,因為通常不在真空水平下操作EFEM 212。隔絕閥1002a至1002g防止真空隧道102及/或裝載閘腔 室210a、210b的其餘部分暴露於EFEM 212內的大氣壓力環境。真空隧道102可相似地在處理工具202b附近配置有隔絕閥(未圖示),以允許在真空隧道102、裝載閘腔室216a、216b和EFEM 218之間傳送基板。 The vacuum tunnel 102 is placed between the load lock chambers 210a and 210b of the processing tool 202a and the EFEM 212, and between the load lock chambers 216a and 216b and the EFEM 218 of the processing tool 202b. The robot in the EFEM 212 or the robot in the transfer chamber 208a is used to transfer the substrate into the vacuum tunnel 102 near the processing tool 202a. For example, the robot in the transfer chamber 208a picks up or places substrates in the vacuum tunnel 102 by extending through the load lock chamber 210a or 210b. The isolation valves 1002a to 1002g allow to isolate portions of the vacuum tunnel 102 during substrate transfer, especially when transferring substrates between the EFEM 212 and the vacuum tunnel 102, because the EFEM 212 is generally not operated at a vacuum level. Isolation valves 1002a to 1002g prevent the vacuum tunnel 102 and/or the loading gate cavity The rest of the chambers 210a, 210b are exposed to the atmospheric pressure environment within the EFEM 212. The vacuum tunnel 102 may similarly be equipped with an isolation valve (not shown) near the processing tool 202b to allow transfer of substrates between the vacuum tunnel 102, the load gate chambers 216a, 216b, and the EFEM 218.

SPT系統1000的一個優點為:當在處理工具202a、202b之間移動時不採用旋轉。另外,在一些實施例中,由於在拾取和放置操作期間基板運輸載具不延伸進入處理工具202a、202b,因此簡化了基板運輸載具106。相反地,EFEM 212、218或傳送腔室208a、214a內的機器人進入真空隧道102。進一步地,可使用真空隧道102使任何數量的處理工具互連。基板運輸載具106不包含末端執行器(儘管可使用末端執行器)。 One advantage of the SPT system 1000 is that no rotation is used when moving between the processing tools 202a, 202b. Additionally, in some embodiments, since the substrate transport carrier does not extend into the processing tools 202a, 202b during the pick and place operation, the substrate transport carrier 106 is simplified. Conversely, the robot in the EFEM 212, 218 or the transfer chamber 208a, 214a enters the vacuum tunnel 102. Further, the vacuum tunnel 102 can be used to interconnect any number of processing tools. The substrate transport carrier 106 does not include an end effector (although an end effector can be used).

在一些實施例中,裝載閘腔室210a、210b、216a、216b用於預熱、冷卻、計量、檢查等,或以該等腔室置換,因為真空隧道102有效地起到裝載閘腔室的作用。 In some embodiments, the load lock chambers 210a, 210b, 216a, 216b are used for preheating, cooling, metering, inspection, etc., or replaced with these chambers, because the vacuum tunnel 102 effectively serves as the loading lock chamber effect.

在一些實施例中,包含一個或更多個輔助基板緩衝位置1004,且輔助基板緩衝位置經配置以儲存基板。例如,採用隔絕閥1006將基板與真空隧道102隔絕。基板緩衝位置1004可與真空隧道102位於相同的平面中、垂直於真空隧道102、垂直定向等。 In some embodiments, one or more auxiliary substrate buffer positions 1004 are included, and the auxiliary substrate buffer positions are configured to store substrates. For example, an isolation valve 1006 is used to isolate the substrate from the vacuum tunnel 102. The substrate buffer position 1004 may be located in the same plane as the vacuum tunnel 102, perpendicular to the vacuum tunnel 102, vertically oriented, and so on.

如上所述,提供了運輸系統及SPT系統。SPT系統包含連接兩個處理工具的運輸系統。運輸系統包含真 空隧道,真空隧道經配置以在處理工具之間運輸基板。真空隧道包含基板運輸載具,以移動基板通過真空隧道。 As mentioned above, a transportation system and an SPT system are provided. The SPT system includes a transportation system that connects two processing tools. The transportation system contains true Empty tunnels, vacuum tunnels are configured to transport substrates between processing tools. The vacuum tunnel contains a substrate transport carrier to move the substrate through the vacuum tunnel.

SPT系統具有多種配置以允許使用者根據期望的基板處理程序所需的處理腔室來增加或移除處理腔室。可包含一個或更多個真空隧道,而允許了傳送多個基板的可能性。帶有煞停系統的升降器單元在斷電時防止了對SPT系統的基板和部件的損壞。 The SPT system has a variety of configurations to allow users to add or remove processing chambers according to the processing chambers required by the desired substrate processing procedure. One or more vacuum tunnels can be included, allowing the possibility of transporting multiple substrates. The elevator unit with brake system prevents damage to the substrate and components of the SPT system when the power is off.

發明所屬領域具有通常知識者將理解,前述範例是示例性的而非限制性的。意圖對發明所屬領域具有通常知識者而言,在閱讀說明書和研究附圖之後顯而易見的所有排列、增強、等同和改進都包含在本揭示案的真實精神和範圍內。因此,意圖將以下所附請求項包含符合該等教示的真實精神和範圍內的所有此種修改、排列和同等內容。 Those with ordinary knowledge in the art to which the invention pertains will understand that the foregoing examples are illustrative and not restrictive. It is intended that all arrangements, enhancements, equivalents and improvements that are obvious after reading the specification and studying the drawings are included in the true spirit and scope of this disclosure for those with ordinary knowledge in the field to which the invention belongs. Therefore, it is intended that the following appended claims include all such modifications, arrangements and equivalent content within the true spirit and scope of these teachings.

100:運輸系統 100: Transportation system

102:真空隧道 102: vacuum tunnel

102a:真空隧道 102a: vacuum tunnel

102b:真空隧道 102b: vacuum tunnel

104a:第一處理工具 104a: The first processing tool

104b:第二處理工具 104b: Second processing tool

106:基板運輸載具 106: substrate transport vehicle

108:載具主體 108: Vehicle body

110:末端執行器 110: End effector

112:基板 112: substrate

114:擴大區域 114: expand area

116:旋轉台 116: Rotating table

118:計量工具 118: Measuring Tools

120:磁浮系統 120: Maglev system

122:控制器 122: Controller

200:基板處理及運輸(SPT)系統 200: Substrate Processing and Transportation (SPT) System

202a-202n:處理工具 202a-202n: processing tools

206a-206n:處理腔室 206a-206n: processing chamber

208a:傳送腔室 208a: transfer chamber

208b:傳送腔室 208b: transfer chamber

210a:裝載閘腔室 210a: Loading lock chamber

210b:裝載閘腔室 210b: Loading lock chamber

212:設施前端模組(EFEM) 212: Facility Front End Module (EFEM)

214a:傳送腔室 214a: transfer chamber

214b:傳送腔室 214b: transfer chamber

216a:裝載閘腔室 216a: Loading lock chamber

216b:裝載閘腔室 216b: Loading lock chamber

220a:預清潔腔室 220a: pre-cleaning chamber

220b:預清潔腔室 220b: pre-cleaning chamber

300:裝載閘腔室 300: Loading lock chamber

302:第一存取開口 302: First Access Opening

304:第二存取開口 304: second access opening

306:第三存取開口 306: Third Access Opening

400:氣體源 400: gas source

401:運輸系統 401: Transportation System

402a:第一升降器單元 402a: First lifter unit

402b:第二升降器單元 402b: second lifter unit

404a-404e:方向改變模組 404a-404e: Direction change module

406a:緊急煞停系統 406a: Emergency stop system

406b:緊急煞停系統 406b: Emergency stop system

424:被動動子 424: Passive Mover

460:磁鐵 460: Magnet

462:磁鐵 462: Magnet

464:水平磁浮軌道 464: Horizontal Maglev Track

466:上端執行器 466: upper actuator

468:下端執行器 468: Lower end actuator

472:架板 472: Shelf Board

474:頂部表面 474: top surface

476:下表面 476: lower surface

480:間隙 480: Gap

520:線性馬達 520: Linear motor

522:摩擦表面 522: Friction Surface

526:條帶 526: Strip

528:電磁鐵 528: Electromagnet

530:突片 530: Tab

532:樞轉點 532: Pivot Point

534:方向 534: direction

538:磁鐵 538: Magnet

540:支架 540: bracket

600:基板處理及運輸(SPT)系統 600: Substrate Handling and Transportation (SPT) System

602a:雙裝載閘腔室 602a: Double loading lock chamber

602b:雙裝載閘腔室 602b: Double loading lock chamber

700:基板處理及運輸(SPT)系統 700: Substrate Handling and Transportation (SPT) System

702a:旋轉台 702a: Rotating table

702b:旋轉台 702b: Rotating table

800:基板處理及運輸(SPT)系統 800: Substrate Handling and Transportation (SPT) system

900:基板處理及運輸(SPT)系統 900: Substrate Handling and Transportation (SPT) System

902:單一旋轉台 902: Single rotary table

1000:基板處理及運輸(SPT)系統 1000: Substrate Handling and Transportation (SPT) System

1002a-1002g:隔絕閥 1002a-1002g: isolation valve

1004:基板緩衝位置 1004: substrate buffer position

1006:隔絕閥 1006: isolation valve

為了可詳細理解本揭示案的上述特徵的方式,可透過參考實施例來對本揭示案進行更特定的描述(在上面簡要地概述),其中一些圖示於附圖中。然而,應注意,附圖僅圖示了本揭示案的示例性實施例,且因此不應被認為是對其範圍的限制,因為本揭示案可應用至其他等效實施例。In order to understand the above-mentioned features of the present disclosure in detail, the present disclosure can be described more specifically (briefly summarized above) by referring to embodiments, some of which are shown in the accompanying drawings. However, it should be noted that the drawings only illustrate exemplary embodiments of the present disclosure, and therefore should not be considered as limiting the scope thereof, as the present disclosure can be applied to other equivalent embodiments.

圖1A至1E圖示了根據一個實施例的運輸系統的頂部示意圖。Figures 1A to 1E illustrate top schematic views of a transportation system according to one embodiment.

圖2圖示了根據一個實施例的基板處理及運輸(SPT)系統的頂部示意圖。Figure 2 illustrates a top schematic view of a substrate handling and transportation (SPT) system according to one embodiment.

圖3圖示了根據一個實施例的裝載閘腔室。Figure 3 illustrates a load lock chamber according to one embodiment.

圖4A圖示了根據一個實施例的運輸系統的示意正視圖。Figure 4A illustrates a schematic front view of a transportation system according to one embodiment.

圖4B圖示了根據一個實施例的運輸系統的示意側視圖。Figure 4B illustrates a schematic side view of a transportation system according to one embodiment.

圖4C圖示了根據一個實施例的運輸系統的示意正視圖。Figure 4C illustrates a schematic front view of a transportation system according to one embodiment.

圖4D圖示了根據一個實施例的運輸系統的示意側視圖。Figure 4D illustrates a schematic side view of a transportation system according to one embodiment.

圖4E圖示了根據一個實施例的磁浮系統的側視圖。Figure 4E illustrates a side view of a magnetic levitation system according to one embodiment.

圖5A圖示了根據一個實施例的升降器單元的立面側視圖。Figure 5A illustrates an elevational side view of a riser unit according to one embodiment.

圖5B圖示了根據一個實施例的升降器單元的立面頂部視圖。Figure 5B illustrates an elevational top view of the lifter unit according to one embodiment.

圖5C和5D圖示了根據一個實施例的突片的放大視圖。Figures 5C and 5D illustrate enlarged views of a tab according to one embodiment.

圖6圖示了根據一個實施例的SPT系統的頂部示意圖。Figure 6 illustrates a top schematic view of an SPT system according to one embodiment.

圖7圖示了根據一個實施例的SPT系統的頂部示意圖。Figure 7 illustrates a top schematic view of an SPT system according to one embodiment.

圖8圖示了根據一個實施例的SPT系統的頂部示意圖。Figure 8 illustrates a top schematic view of an SPT system according to one embodiment.

圖9圖示了根據一個實施例的SPT系統的頂部示意圖。Figure 9 illustrates a top schematic view of an SPT system according to one embodiment.

圖10圖示了根據一個實施例的SPT系統的頂部示意圖。Figure 10 illustrates a top schematic view of an SPT system according to one embodiment.

為了便於理解,儘可能使用相同的附圖標記來表示圖式中共有的相同元件。可以預期的是,一個實施例的元件和特徵可被有益地併入其他實施例中,而無需進一步敘述。For ease of understanding, the same reference numerals are used as much as possible to denote the same elements in the drawings. It is expected that the elements and features of one embodiment can be beneficially incorporated into other embodiments without further description.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic hosting information (please note in the order of hosting organization, date and number) without

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign hosting information (please note in the order of hosting country, institution, date, and number) without

102:真空隧道 102: vacuum tunnel

106:基板運輸載具 106: substrate transport vehicle

114:擴大區域 114: expand area

116:旋轉台 116: Rotating table

118:計量工具 118: Measuring Tools

120:磁浮系統 120: Maglev system

122:控制器 122: Controller

200:基板處理及運輸(SPT)系統 200: Substrate Processing and Transportation (SPT) System

202a-202n:處理工具 202a-202n: processing tools

206a-206n:處理腔室 206a-206n: processing chamber

208a:傳送腔室 208a: transfer chamber

208b:傳送腔室 208b: transfer chamber

210a:裝載閘腔室 210a: Loading lock chamber

210b:裝載閘腔室 210b: Loading lock chamber

212:設施前端模組(EFEM) 212: Facility Front End Module (EFEM)

214a:傳送腔室 214a: transfer chamber

214b:傳送腔室 214b: transfer chamber

216a:裝載閘腔室 216a: Loading lock chamber

216b:裝載閘腔室 216b: Loading lock chamber

220a:預清潔腔室 220a: pre-cleaning chamber

220b:預清潔腔室 220b: pre-cleaning chamber

Claims (19)

一種運輸系統,包括:一真空隧道,該真空隧道經配置以與一第一處理工具及一第二處理工具交界,該真空隧道包括:一擴大區域;一基板運輸載具,包括:一載具主體;及一末端執行器,該末端執行器耦合至該載具主體,該末端執行器經配置以在運輸期間在該真空隧道內支撐一基板,該末端執行器經配置以延伸進入該第一或該第二處理工具以提取或放置一基板,同時該載具主體保持於該真空隧道內;及一旋轉台,該旋轉台設置於該擴大區域中,該旋轉台經配置以在約0度及約180度之間旋轉該基板運輸載具。 A transportation system includes: a vacuum tunnel configured to interface with a first processing tool and a second processing tool, the vacuum tunnel including: an enlarged area; a substrate transportation vehicle, including: a vehicle Body; and an end effector coupled to the carrier body, the end effector is configured to support a substrate in the vacuum tunnel during transportation, the end effector is configured to extend into the first Or the second processing tool is used to extract or place a substrate while the carrier body is held in the vacuum tunnel; and a rotating table, the rotating table is arranged in the enlarged area, the rotating table is configured to be at about 0 degrees And rotate the substrate transport carrier between about 180 degrees. 如請求項1所述之運輸系統,其中該真空隧道進一步包括一計量工具,其中該計量工具經配置以在放置於該末端執行器上的該基板上實行計量,同時該基板運輸載具位於該擴大區域內。 The transportation system according to claim 1, wherein the vacuum tunnel further includes a measuring tool, wherein the measuring tool is configured to perform metering on the substrate placed on the end effector, and the substrate transportation carrier is located at the Expand the area. 如請求項1所述之運輸系統,進一步包括一磁浮系統,該磁浮系統經配置以懸浮該基板運輸載具且在該第一與該第二處理工具之間移動該基板運輸載 具。 The transportation system of claim 1, further comprising a maglev system configured to suspend the substrate transportation carrier and move the substrate transportation carrier between the first and second processing tools Tool. 如請求項1所述之運輸系統,進一步包括:一第一升降器單元,該第一升降器單元置於該第一處理工具附近,以便允許該基板運輸載具在該第一處理工具與該真空隧道之間的運輸;及一第二升降器單元,該第二升降器單元置於該第二處理工具附近,以便允許該基板運輸載具在該第二處理工具與該真空隧道之間的運輸;其中該真空隧道置於該第一及該第二處理工具上方。 The transportation system according to claim 1, further comprising: a first lifter unit, the first lifter unit is placed near the first processing tool, so as to allow the substrate transportation carrier to be between the first processing tool and the first processing tool. Transportation between vacuum tunnels; and a second lifter unit that is placed near the second processing tool to allow the substrate transportation carrier to be transported between the second processing tool and the vacuum tunnel Transportation; wherein the vacuum tunnel is placed above the first and second processing tools. 如請求項4所述之運輸系統,進一步包括一額外的真空隧道,該額外的真空隧道置於該第一及該第二處理工具上方,可由該第一及該第二升降器單元存取該真空隧道。 The transportation system of claim 4, further comprising an additional vacuum tunnel, the additional vacuum tunnel is placed above the first and the second processing tool, the first and the second elevator unit can access the Vacuum tunnel. 如請求項4所述之運輸系統,進一步包括一緊急煞停系統,該緊急煞停系統經配置以防止該第一及該第二升降器單元內的該基板運輸載具在一電力損耗期間掉落。 The transportation system according to claim 4, further comprising an emergency stop system configured to prevent the substrate transportation vehicle in the first and second lifter units from dropping during a power loss period fall. 一種基板處理及運輸(SPT)系統,包括:一第一處理工具及一第二處理工具,每一者包括:一傳送腔室,該傳送腔室經配置以耦合至一個或更多個處理腔室; 一裝載閘腔室,該裝載閘腔室具有一第一存取開口,該第一存取開口經配置以從一設施前端模組接收一基板;一第二存取開口,該第二存取開口經配置以傳送基板至該第一處理工具的該傳送腔室或從該第一處理工具的該傳送腔室傳送基板;及一第三存取開口;及一真空隧道,該真空隧道在該第一處理工具的該第三存取開口及該第二處理工具的該第三存取開口之間耦合,該真空隧道包括一基板運輸載具,該基板運輸載具包括:一載具主體;及一末端執行器,該末端執行器耦合至該載具主體,該末端執行器經配置以在運輸期間在該真空隧道內支撐該基板,且經配置以使用該第一及該第二處理工具之每一者的該第三存取開口來延伸進入該第一及該第二處理工具的該等裝載閘腔室。 A substrate processing and transportation (SPT) system includes: a first processing tool and a second processing tool, each of which includes: a transfer chamber configured to be coupled to one or more processing chambers room; A load lock chamber with a first access opening configured to receive a substrate from a facility front-end module; a second access opening, the second access opening The opening is configured to transfer the substrate to or from the transfer chamber of the first processing tool; and a third access opening; and a vacuum tunnel in the The third access opening of the first processing tool and the third access opening of the second processing tool are coupled, the vacuum tunnel includes a substrate transport carrier, and the substrate transport carrier includes: a carrier main body; And an end effector coupled to the carrier body, the end effector configured to support the substrate in the vacuum tunnel during transportation, and configured to use the first and second processing tools The third access opening of each of them extends into the load lock chambers of the first and second processing tools. 如請求項7所述之SPT系統,該真空隧道進一步包括一第二旋轉台。 According to the SPT system of claim 7, the vacuum tunnel further includes a second rotating table. 如請求項7所述之SPT系統,進一步包括一磁浮系統,該磁浮系統經配置以懸浮該基板運輸載具且在該第一與該第二處理工具之間移動該基板運輸載 具。 The SPT system according to claim 7, further comprising a maglev system configured to suspend the substrate transport carrier and move the substrate transport carrier between the first and second processing tools Tool. 如請求項7所述之SPT系統,其中該真空隧道進一步包括:一擴大區域,該基板運輸載具設置於該擴大區域中;及一旋轉台,該旋轉台設置於該擴大區域中,該旋轉台經配置以在約0度及約180度之間旋轉該基板運輸載具。 The SPT system according to claim 7, wherein the vacuum tunnel further includes: an enlarged area in which the substrate transport carrier is arranged; and a rotating table, in which the rotating table is arranged in the enlarged area, The table is configured to rotate the substrate transport carrier between about 0 degrees and about 180 degrees. 如請求項10所述之SPT系統,進一步包括一計量工具,相對於該真空隧道放置該計量工具,以便允許在放置於該末端執行器上的該基板上計量,同時該基板運輸載具藉由該旋轉台來旋轉。 The SPT system according to claim 10, further comprising a measuring tool, the measuring tool is placed relative to the vacuum tunnel so as to allow measuring on the substrate placed on the end effector, and the substrate transportation carrier The rotating table rotates. 如請求項10所述之SPT系統,其中該真空隧道進一步包括一彎曲路徑。 The SPT system according to claim 10, wherein the vacuum tunnel further includes a tortuous path. 如請求項7所述之SPT系統,進一步包括複數個隔絕閥,其中該複數個隔絕閥的該等隔絕閥之每一者設置於該真空隧道與該第一及該第二處理工具的該等裝載閘腔室之間。 The SPT system according to claim 7, further comprising a plurality of isolation valves, wherein each of the isolation valves of the plurality of isolation valves is provided in the vacuum tunnel and the first and the second processing tools Between loading lock chambers. 一種運輸系統,包括:一真空隧道,該真空隧道經配置以在一第一處理工具與一第二處理工具之間延伸,其中放置該真空隧道於該第一及該第二處理工具上方,該真空隧道包括: 一基板運輸載具;一擴大區域,該基板運輸載具設置於該擴大區域中;及一旋轉台,該旋轉台設置於該擴大區域中,該旋轉台經配置以在約0度及約180度之間旋轉該基板運輸載具;一第一升降器單元,該第一升降器單元置於該第一處理工具附近,以便允許該基板運輸載具在該第一處理工具與該真空隧道之間的運輸;一第二升降器單元,該第二升降器單元置於該第二處理工具附近,以便允許該基板運輸載具在該第二處理工具與該真空隧道之間的運輸;及一緊急煞停系統,該緊急煞停系統經配置以防止該第一及該第二升降器單元內的該基板運輸載具在一電力損耗期間掉落。 A transportation system includes: a vacuum tunnel configured to extend between a first processing tool and a second processing tool, wherein the vacuum tunnel is placed above the first and second processing tools, the The vacuum tunnel includes: A substrate transport carrier; an enlarged area in which the substrate transport carrier is set in the enlarged area; and a rotating table in which the rotating table is set in the enlarged area, the rotating table being configured to be at about 0 degrees and about 180 Rotate the substrate transport carrier between degrees; a first elevator unit, the first elevator unit is placed near the first processing tool, so as to allow the substrate transport carrier to be between the first processing tool and the vacuum tunnel A second lifter unit, the second lifter unit is placed near the second processing tool, so as to allow the substrate transport carrier to be transported between the second processing tool and the vacuum tunnel; and a An emergency stop system configured to prevent the substrate transportation vehicle in the first and second lifter units from falling during a power loss period. 如請求項14所述之運輸系統,其中該基板運輸載具包括:一載具主體;及一末端執行器,該末端執行器耦合至該載具主體,該末端執行器經配置以在運輸期間在該真空隧道內支撐一基板。 The transportation system according to claim 14, wherein the substrate transportation vehicle includes: a vehicle body; and an end effector, the end effector is coupled to the vehicle body, and the end effector is configured to be during transportation A substrate is supported in the vacuum tunnel. 如請求項14所述之運輸系統,其中該真空 隧道進一步包括一計量工具,其中該計量工具經配置以在放置於該末端執行器上的一基板上實行計量,同時該基板運輸載具位於該擴大區域內。 The transportation system according to claim 14, wherein the vacuum The tunnel further includes a metering tool, wherein the metering tool is configured to perform metering on a substrate placed on the end effector, while the substrate transportation carrier is located in the enlarged area. 如請求項14所述之運輸系統,該真空隧道進一步包括一第二旋轉台,該第二旋轉台設置於該擴大區域中。 According to the transportation system of claim 14, the vacuum tunnel further includes a second rotating table, and the second rotating table is arranged in the enlarged area. 如請求項14所述之運輸系統,進一步包括一磁浮系統,該磁浮系統經配置以懸浮該基板運輸載具且在該第一與該第二處理工具之間移動該基板運輸載具。 The transportation system of claim 14, further comprising a maglev system configured to suspend the substrate transportation carrier and move the substrate transportation carrier between the first and second processing tools. 如請求項14所述之運輸系統,其中該第一及該第二升降器單元之其中至少一者包含一磁浮的升降機構。The transportation system according to claim 14, wherein at least one of the first and second lifter units includes a maglev lifting mechanism.
TW108135855A 2018-10-04 2019-10-03 Transport system TWI735964B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862741265P 2018-10-04 2018-10-04
US62/741,265 2018-10-04

Publications (2)

Publication Number Publication Date
TW202022974A TW202022974A (en) 2020-06-16
TWI735964B true TWI735964B (en) 2021-08-11

Family

ID=70052410

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135855A TWI735964B (en) 2018-10-04 2019-10-03 Transport system

Country Status (6)

Country Link
US (2) US11232965B2 (en)
JP (2) JP7231721B2 (en)
KR (2) KR102531483B1 (en)
CN (1) CN112840447A (en)
TW (1) TWI735964B (en)
WO (1) WO2020072507A1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6844715B2 (en) * 2017-11-02 2021-03-17 村田機械株式会社 Temporary storage method of goods in the ceiling carrier system and the ceiling carrier system
CN112840447A (en) 2018-10-04 2021-05-25 应用材料公司 Transport system
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
DE102020212223A1 (en) * 2020-09-29 2022-03-31 Robert Bosch Gesellschaft mit beschränkter Haftung Non-contact conveyor
DE102021202915A1 (en) * 2021-03-25 2022-09-29 Robert Bosch Gesellschaft mit beschränkter Haftung Non-contact conveyor
US20230085667A1 (en) * 2021-09-22 2023-03-23 Applied Materials, Inc. Substrate transfer systems and methods of use thereof
WO2023212234A1 (en) * 2022-04-28 2023-11-02 Life Technologies Corporation Laboratory automation using labware movement
DE102022123236A1 (en) * 2022-09-12 2024-03-14 Mafu Robotics GmbH Treatment of workpieces, especially wafers
KR102621401B1 (en) 2023-07-03 2024-01-05 주식회사 트리버스시스템 Semiconductor processing system with two stage chamber unit

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120213614A1 (en) * 2001-08-31 2012-08-23 Bonora Anthony C Universal modular wafer transport system
US20180141762A1 (en) * 2011-10-26 2018-05-24 Brooks Automation, Inc. Semiconductor wafer handling and transport

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62121134A (en) 1985-11-19 1987-06-02 Toshiba Corp Magnetically levitated transfer device
US5323847A (en) 1990-08-01 1994-06-28 Hitachi, Ltd. Electronic apparatus and method of cooling the same
US5180048A (en) 1990-10-12 1993-01-19 Mitsubishi Jukogyo Kabushiki Kaisha Magnetic levitating transportation system
JP3544208B2 (en) 1992-07-07 2004-07-21 株式会社荏原製作所 Magnetic levitation transfer device
JP3654597B2 (en) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ Manufacturing system and manufacturing method
JPH0797050A (en) * 1993-09-30 1995-04-11 Ebara Corp Carrying device
JPH07117847A (en) 1993-10-21 1995-05-09 Ebara Corp Conveying device
JPH07122616A (en) 1993-10-21 1995-05-12 Ebara Corp Semiconductor production system
JPH07147310A (en) * 1993-11-22 1995-06-06 Ebara Corp Method and apparatus for transportation
JPH07172578A (en) 1993-12-15 1995-07-11 Ebara Corp Tunnel carrying device
JPH07176591A (en) 1993-12-16 1995-07-14 Ebara Corp Substrate treatment apparatus
JPH07228344A (en) * 1994-02-14 1995-08-29 Ebara Corp Method and device for tunnel conveyance
JP3625127B2 (en) 1997-10-24 2005-03-02 シャープ株式会社 Substrate transfer device and vacuum device
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US7125477B2 (en) 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
NL1020633C2 (en) 2002-05-21 2003-11-24 Otb Group Bv Composition for treating substrates.
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7017654B2 (en) 2003-03-17 2006-03-28 Cooligy, Inc. Apparatus and method of forming channels in a heat-exchanging device
US7591302B1 (en) 2003-07-23 2009-09-22 Cooligy Inc. Pump and fan control concepts in a cooling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20140003800A1 (en) 2004-09-24 2014-01-02 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
JP4543181B2 (en) 2004-12-20 2010-09-15 国立大学法人九州工業大学 Non-contact transfer device by superconducting magnetic levitation
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
CN100541337C (en) 2005-01-17 2009-09-16 皇家飞利浦电子股份有限公司 Mobile device
US20060180174A1 (en) 2005-02-15 2006-08-17 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
US7438175B2 (en) 2005-06-10 2008-10-21 Applied Materials, Inc. Linear vacuum deposition system
US20070002692A1 (en) 2005-06-29 2007-01-04 Van Brunt David L Programmable mask for waking an individual
SG170048A1 (en) 2006-02-27 2011-04-29 Blueshift Technologies Inc Semiconductor wafer handling and transport
US20080175694A1 (en) 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
JP4973267B2 (en) 2007-03-23 2012-07-11 東京エレクトロン株式会社 Substrate transport apparatus, substrate transport module, substrate transport method, and storage medium
US20080260499A1 (en) 2007-04-16 2008-10-23 Van Der Meulen Peter Facet adapter for a wafer handler
JP5336885B2 (en) 2009-03-03 2013-11-06 東京エレクトロン株式会社 Substrate transport apparatus and substrate transport method
IT1399285B1 (en) 2009-07-03 2013-04-11 Applied Materials Inc SUBSTRATE PROCESSING SYSTEM
TWI551718B (en) 2010-04-30 2016-10-01 應用材料股份有限公司 Vertical inline cvd system
TWI451521B (en) 2010-06-21 2014-09-01 Semes Co Ltd Substrate treating apparatus and substrate treating method
KR102359364B1 (en) 2012-02-10 2022-02-07 브룩스 오토메이션 인코퍼레이티드 Substrate Processing Apparatus
TWI629743B (en) 2012-02-10 2018-07-11 布魯克斯自動機械公司 Substrate processing apparatus
US9147592B2 (en) * 2012-08-08 2015-09-29 Applied Materials, Inc. Linked vacuum processing tools and methods of using the same
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US9281221B2 (en) 2012-11-16 2016-03-08 Taiwan Semiconductor Manufacturing Company Limited Ultra-high vacuum (UHV) wafer processing
WO2014163776A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Loadlock conveyor wafer holder design
CN105164799B (en) * 2013-03-15 2020-04-07 应用材料公司 Substrate deposition system, robot transfer apparatus, and method for electronic device manufacturing
DE102013010786B4 (en) 2013-06-28 2020-07-02 Zwilling J. A. Henckels Ag Nail clippers
KR102316440B1 (en) * 2013-10-18 2021-10-22 브룩스 오토메이션 인코퍼레이티드 Processing apparatus
KR102432133B1 (en) * 2014-01-21 2022-08-12 퍼시몬 테크놀로지스 코포레이션 Substrate transport vacuum platform
KR20160138295A (en) * 2014-04-02 2016-12-02 어플라이드 머티어리얼스, 인코포레이티드 System for substrate processing, vacuum rotation module for a system for substrate processing and method of operating a substrate processing system
US10236197B2 (en) 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
TWI732285B (en) 2015-01-23 2021-07-01 美商應用材料股份有限公司 Semiconductor process equipment
US10636687B2 (en) 2016-01-18 2020-04-28 Applied Materials, Inc. Apparatus for transportation of a substrate carrier in a vacuum chamber, system for vacuum processing of a substrate, and method for transportation of a substrate carrier in a vacuum chamber
US10559483B2 (en) 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
CN109496348B (en) 2016-09-12 2022-01-18 应用材料公司 Semiconductor processing equipment
JP2019518862A (en) 2017-04-28 2019-07-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Vacuum system and method for depositing multiple materials on a substrate
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11088004B2 (en) * 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
CN112740392A (en) 2018-09-19 2021-04-30 应用材料公司 Magnetic levitation system, base for a magnetic levitation system, vacuum system, and method for holding and moving a carrier in a vacuum chamber without contact
CN112840447A (en) 2018-10-04 2021-05-25 应用材料公司 Transport system
US20200251357A1 (en) 2019-02-01 2020-08-06 Edwards Limited Method and apparatus for supplying gas to a chuck
US20220139740A1 (en) 2020-11-02 2022-05-05 Applied Materials, Inc. Chamber interface for linked processing tools

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120213614A1 (en) * 2001-08-31 2012-08-23 Bonora Anthony C Universal modular wafer transport system
US20180141762A1 (en) * 2011-10-26 2018-05-24 Brooks Automation, Inc. Semiconductor wafer handling and transport

Also Published As

Publication number Publication date
US11232965B2 (en) 2022-01-25
TW202022974A (en) 2020-06-16
KR20230066492A (en) 2023-05-15
CN112840447A (en) 2021-05-25
WO2020072507A1 (en) 2020-04-09
JP7231721B2 (en) 2023-03-01
US11894251B2 (en) 2024-02-06
JP2023078129A (en) 2023-06-06
US20200111692A1 (en) 2020-04-09
KR102531483B1 (en) 2023-05-10
KR20210054588A (en) 2021-05-13
US20220130700A1 (en) 2022-04-28
JP2022504069A (en) 2022-01-13

Similar Documents

Publication Publication Date Title
TWI735964B (en) Transport system
US9299597B2 (en) Scalable stockers with automatic handling buffer
US8894344B2 (en) Vertical wafer buffering system
US20230170240A1 (en) Automatic handling buffer for bare stocker
US8277165B2 (en) Transfer mechanism with multiple wafer handling capability
EP0848412A2 (en) Wafer extraction platform
US11107722B2 (en) Thin-plate substrate holding finger and transfer robot provided with said finger
CN101044074A (en) Reduced capacity carrier and method of use
TW202308022A (en) Substrate transport
WO2009037673A2 (en) An integrated wafer transfer mechanism
KR20160030282A (en) Process apparatus with on-the-fly substrate centering
JP5984036B2 (en) A linear vacuum robot with z-motion and multi-joint arm
JP5388279B2 (en) Substrate transfer processing apparatus and method
TW202234562A (en) Substrate transfer apparatus, substrate transfer method, and substrate processing system
EP2245656B1 (en) Automatic handling buffer for bare stocker
JP7379072B2 (en) Film forming equipment, electronic device manufacturing equipment, film forming method, and electronic device manufacturing equipment
JP7449215B2 (en) Alignment equipment, alignment method, film forming equipment and film forming method
JP5578539B2 (en) Substrate transfer processing apparatus and method
JPS62171138A (en) Wafer transfer apparatus
JP2023061293A (en) Substrate transfer device and substrate transfer method