TWI733716B - 具有雙閘極間隔物的電晶體 - Google Patents

具有雙閘極間隔物的電晶體 Download PDF

Info

Publication number
TWI733716B
TWI733716B TW105138278A TW105138278A TWI733716B TW I733716 B TWI733716 B TW I733716B TW 105138278 A TW105138278 A TW 105138278A TW 105138278 A TW105138278 A TW 105138278A TW I733716 B TWI733716 B TW I733716B
Authority
TW
Taiwan
Prior art keywords
gate
spacers
transistor
additional
dielectric
Prior art date
Application number
TW105138278A
Other languages
English (en)
Other versions
TW201801319A (zh
Inventor
劉恩劭
朴朱東
李呈光
嘉弘 簡
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201801319A publication Critical patent/TW201801319A/zh
Application granted granted Critical
Publication of TWI733716B publication Critical patent/TWI733716B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

揭示用於形成帶有一或多個附加閘極間隔物的電晶體的技術。舉例而言,附加間隔物可被形成在閘極與原始閘極間隔物之間,以便降低閘極與源極/汲極之間的寄生耦合。在一些情況下,附加間隔物可包含氣隙及/或介電材料(例如,低k介電材料)。在一些情況下,閘極可包含下部及上部。在一些情況下,閘極的下部在原始閘極間隔物之間的寬度可窄於閘極的上部,這可能是由於附加間隔物位於閘極的下部與原始閘極間隔物之間的結果。在一些情況下,舉例而言,閘極可近似「T」形或多種衍生形狀,例如「

Description

具有雙閘極間隔物的電晶體
本發明涉及半導體技術,特別是涉及具有雙閘極間隔物的電晶體。
半導體裝置是利用半導體材料的電子特性的電子組件,半導體材料例如,矽(Si)、鍺(Ge)及砷化鎵(GaAs)。場效電晶體(FET)是包含三個終端(閘極、源極及汲極)的半導體裝置。FET使用閘極提供的電場來控制電荷載子(例如,電子或電洞)從源極流到汲極的通道的導電性。一些FET具有被稱為主體或基板的第四終端,其可以用於將電晶體偏壓進入操作。金屬氧化物半導體場效電晶體(MOSFET)在電晶體的閘極與主體之間使用絕緣體,並且MOSFET通常用於放大或開關電子信號。MOSFET在數位及類比電路中皆是最常見的電晶體之一。在一些情況下,MOSFET包含在閘極的每一側上的側壁間隔物(通常稱為間隔物),其例如可以幫助確定通道長度,並且可以幫助替代閘極製程。
揭示用於形成帶有一或多個附加閘極間隔物的電晶體的技術。附加閘極間隔物為除了通常提供在閘極堆疊側的標準(初始)閘極間隔物之外。因此,所得到的配置在本文中一般被稱為雙閘極間隔物配置。舉例而言,附加閘極間隔物可被形成在閘極間隔物與初始閘極間隔物之間,以便降低閘極與源極/汲極之間的寄生耦合。在一些情況下,附加閘極間隔物可包含氣隙及/或介電材料(例如,低k介電材料)。在一些情況下,閘極可包含下部以及上部,其中下部比上部更接近通道區域。在一些這樣的情況下,閘極的下部在初始閘極間隔物之間的寬度可窄於閘極的上部,這可能是由於附加閘極間隔物位於閘極的下部與初始閘極間隔物之間的結果。此外,在一些這樣的情況下,閘極的上部在初始閘極間隔物之間可比閘極的下部更寬(例如,作為附加閘極材料沉積的結果),舉例而言,其可形成近似「T」形的閘極。藉由本揭露,許多變化和配置將會是顯而易見的。
110‧‧‧基板
111‧‧‧通道區域
112‧‧‧淺溝槽隔離區域
114‧‧‧夾層介電質材料
120‧‧‧間隔物
122‧‧‧閘極溝槽
130‧‧‧源極/汲極區域
131‧‧‧源極/汲極區域
132‧‧‧源極/汲極接觸
140‧‧‧閘極介電質
150‧‧‧功函數層
160‧‧‧閘極
160A‧‧‧閘極
160B‧‧‧閘極
160C‧‧‧閘極
160D‧‧‧閘極
162‧‧‧閘極主體
164‧‧‧附加閘極材料
170‧‧‧凹部
180‧‧‧介電襯裡材料
192‧‧‧下部
194‧‧‧上部
270‧‧‧襯裡溝槽
280‧‧‧附加間隔物
402‧‧‧鰭式通道區
404‧‧‧奈米線通道區
1000‧‧‧計算系統
1002‧‧‧主機板
1004‧‧‧處理器
1006‧‧‧通訊晶片
Fh‧‧‧高度
Fw‧‧‧寬度
H‧‧‧總高度
W1‧‧‧寬度
W2‧‧‧寬度
W3‧‧‧寬度
W4‧‧‧寬度
圖1A-G說明依據本揭露的一些實施例之架構用於形成包含介電材料附加間隔物以便提供雙閘極間隔物的電晶體的方法所產生的範例積體電路結構。
圖2A-B說明根據本揭露的一些實施例之架構用於形 成包含氣隙附加間隔物以便提供雙閘極間隔物的電晶體的方法所產生的範例積體電路結構。
圖2C說明依據本揭露的一些實施例之為了易於描述而指示各種尺寸、區域以及部分的圖1G及圖2B的範例結構。
圖3A-D說明依據本揭露的一些實施例,圖2C的範例結構的電晶體閘極可能發生的變化。
圖4說明依據本揭露的一些實施例,包含附加間隔物的範例非平面電晶體架構。
圖5說明依據一些實施例之使用本文所揭露的技術形成的積體電路結構或裝置所實施的計算系統。
透過閱讀以下結合本文所描述的圖式的詳細描述,將更好地瞭解本發明實施例的這些和其它特徵。在圖式中,多個圖式中說明的每個相同或幾乎相同的組件可以用相同的數字表示。為了清楚之目的,並非每個圖式中的每個組件都被標註。此外,如將被理解的,圖式不一定按照比例繪製或意圖將所描述的實施例限制到所示的特定配置。舉例而言,雖然一些圖式通常表示直線、直角及平滑表面,但是考慮製造程序之真實世界的限制,所揭示的技術的實際實現可能具有不太完美的直線及直角,並且一些特徵可能具有表面地形或是為不平滑。簡而言之,所提供的圖式僅用作顯示範例結構。
製造半導體電晶體具有許多重要的挑戰,特別是隨著產業試圖跟上摩爾定律。舉例而言,隨著電晶體(例如,MOSFET)的尺寸持續縮小,傳統微影到確實地印刷小的關鍵尺寸變得越來越具有挑戰性。電晶體延遲的主要因素是閘極周圍的寄生電容,延遲的很大原因來自閘極與源極電容及/或閘極與汲極電容,本文一般稱為閘極-源極/汲極電容。被稱為側壁間隔物、閘極間隔物或一般為間隔物的介電材料可以形成在閘極的每一側(閘極與源極/汲極之間)上,以便協助降低閘極-源極/汲極電容,但是這種間隔物具有限制,特別是當電晶體的尺寸持續縮小。由電晶體的不斷縮放所引起的一些問題已經透過依賴微影技術發展的進步來解決。然而,用於協助在電晶體形成期間實現更小關鍵尺寸的新微影工具和下一代微影(NGL)技術(例如,極紫外光微影(extreme ultraviolet lithography;EUVL)、電子束微影(electron-beam lithography;EBL)和x射線微影)是昂貴的及/或具有低產量問題。
由此,且根據本揭露的一或多個實施例,提供用於形成帶有一或多個附加閘極間隔物的電晶體的技術,以便提供雙閘極間隔物配置。如前述,側壁間隔物(有時被稱為閘極間隔物,或更普遍地被稱為間隔物)可形成在電晶體閘極的每一側上,以便幫助降低閘極-源極/汲極電容(或為了其他理由,例如用以幫助判斷通道長度以及幫助替代閘極製程)。在一些實施例中,附加間隔物可形成在初始閘極間隔物的內側以及閘極的至少一部分的每一側上,以 便例如進一步降低閘極-源極/汲極寄生電容。在一些實施例中,根據本揭露將顯而易見的是,包含附加間隔物可導致閘極接近「T」形。此外,在一些這樣的實施例中,T形的閘極可包含下部及上部,其中,由於包含附加間隔物,導致下部的寬度(初始閘極間隔物之間的尺寸)窄於上部,因而當以正交閘極的方向取截面時,大致形成T形。在一些實施例中,附加間隔物例如可包含氣隙及/或介電材料(例如,低k介電)。在一些實施例中,在形成閘極堆疊(其可包含閘極介電層、可選擇的功函數層及閘極)之前介電襯裡可被沉積。在一些實施例中,介電襯裡材料可包含不同於初始閘極間隔物之材料的材料,以便相較於周圍的材料而選擇性地蝕刻介電襯裡材料(例如,凹陷或實質上/完全地移除介電襯裡材料),本文將更詳盡的描述。在一些實施例中,T形閘極的上部可使用有角度的沉積技術而形成,以便例如在閘極接觸位置加閘極材料且增加接觸表面區。
如前述,在一些實施例中,用詞T形是用於指示使用本文所述技術所形成的閘極。然而,在這些實施例中,T形描述不旨在限制這些閘極為精確或完美的「T」形。相反地,在一些實施例中,T形描述旨在作為閘極的形狀的一般近似。根據本揭露,閘極的形狀的許多變化將是顯而易見的,並且在一些實施例中,變化是可期望的,而在其他實施例中,變化可以是真實世界製造技術的結果。舉例而言,在一些實施例中,在閘極的一側上的附加間隔物相 較於在閘極的相對側上的附加間隔物可具有不同的寬度(在閘極與初始閘極間隔物之間的尺寸)及/或高度(實質上正交於該寬度的尺寸)。在一些這樣的實施例中,可形成不對稱的T形閘極。此外,在一些實施例中,舉例而言,附加間隔物可僅形成在閘極的一側上而不形成在另一側上,使得閘極將僅包括「T」形的一半(例如,
Figure 105138278-A0202-12-0006-19
形或Γ形)。在一些實施例中,舉例而言,附加閘極材料可以沉積在T形閘極的上部中,以便降低對閘極的接觸電阻。然而,在一些實施例中,舉例而言,可不形成T形閘極的上部,因此閘極可更近似於垂直線。因此,可以使用本文提供的一或多個附加的、附加間隔物來表現許多閘極形狀。所產生的閘極形狀可以是對稱的或不對稱的,並且可以根據所採用的形成製程在相對寬的閘極區域下方具有一或多個相對較薄的閘極區域,這將根據本揭露和所提供的各種範例實施例而被理解。
根據本揭露,許多益處將是顯而易見的。舉例而言,在一些實施例中,附加閘極間隔物可以減少在閘極-源極/汲極介面處的不期望的寄生電容耦合,並且還可以增加本質電晶體的速度。在一些實施例中,依據最終用途或目標應用,廣泛的附加間隔物材料能被使用,例如各種介電材料(例如,低k介電質或高k介電質)、氣隙或任何其它適當的材料。在一些實施例中,源極/汲極接觸材料的面積可以最大化,因為附加間隔物被形成為初始閘極間隔物內側的內部間隔物。在一些這樣的實施例中,使源極/汲 極接觸材料的面積最大化可以有益地降低對源極/汲極區域的接觸電阻。如前述,在一些實施例中,上述技術維持閘極材料的頂部區,因此對局部互連與閘極之間的接觸電阻的影響是最小或微不足道的(或是在某些情況下可能沒有影響)。在一些實施例中,上述技術使得光微影技術的使用能夠解決由閘極-源極及/或閘極-汲極介面的寄生電容引起的電晶體延遲的問題,而不是試圖用昂貴及/或低產量的下一代微影(NGL)技術(例如,EUVL、EBL及x射線微影技術)來解決這些問題。在一些實施例中,附加閘極間隔物可被用作在閘極及源極/汲極之間的第二間隔物,以便進一步按比例縮小在初始間隔物之間的閘極的寬度,其有時被稱為閘極長度(Lg)。在一些實施例中,有效的通道長度或寬度也可以按比例縮小,這可以根據本揭露顯而易見的提供益處。
使用本文提供的技術和結構可以例用工具來檢測,列舉幾個適當的範例分析工具,例如掃描/透射電子顯微術(SEM/TEM)、複合映射(composition mapping)、X射線晶體學或繞射(XRD)、二次離子質譜法(SIMS)、飛行時間SIMS(time-of-flight SIMS;ToF-SIMS)、原子探針成像或斷層掃描、局部電極原子探針(LEAP)技術、3D斷層掃描、高解析度物理或化學分析。特別地,在一些實施例中,這樣的工具可以指示在電晶體的初始閘極間隔物與電晶體閘極之間配置有一或多個附加閘極間隔物的結構或裝置,以便提供雙閘極間隔物配置。需注意, 如本文所使用的,雙閘極間隔物配置旨在表示兩個或更多個閘極間隔物,並且不限於簡單的兩個閘極間隔物,除非根據範例實施例而有特別界定。在一些這樣的實施例中,附加間隔物可位於閘極介電質與初始閘極間隔物之間。此外,在一些這樣的實施例中,附加間隔物可包含氣隙及/或介電材料(例如,低k介電材料)。在一些實施例中,上述技術可以形成具有比閘極的上部具有更窄的間隔物之間的寬度的下部(其中下部最靠近電晶體通道區域或閘極介電質)的閘極。在一些這樣的實施例中,上部可包含形成用以例如增加接觸表面面積的附加閘極材料。如可基於本揭露內容所理解的,在一些這樣的實施例中,附加間隔物佔據本應由閘極材料佔據的空間,從而產生閘極的較窄的下部。在一些這樣的實施例中,舉例而言,閘極可近似T形。在一些實施例中,舉例而言,可以基於不同電晶體特徵的材料來檢測本文所描述的技術。在一些實施例中,可以透過測量所獲得的益處來檢測此技術,舉例而言,在閘極-源極/汲極介面的寄生電容耦合的改進。藉由本揭露,許多配置和變化將會是顯而易見的。
結構及方法
圖1A-G說明根據本發明的一些實施例之架構用於形成包含介電材料附加間隔物以便提供雙閘極間隔物的電晶體的方法所產生的範例積體電路結構。圖2A-B說明根據本揭露的一些實施例之架構用於形成包含氣隙附加間隔物 以便提供雙閘極間隔物的電晶體的方法所產生的範例積體電路結構。圖1A-G及2A-B中提供的圖式沿著正交於閘極的方向,說明可以例如使用SEM或TEM來實現的截面視圖。在一些實施例中,附加間隔物可導致T形閘極,本文將更詳盡的描述。需注意,在一些實施例中,儘管最終閘極結構主要被描繪為近似T形(例如,在圖1G及2B中),但是根據本揭露(例如,如參考圖3A-D所描述的),閘極的形狀及附加間隔物之配置上的許多變化將是顯而易見的。亦需注意,儘管在形成具有平面配置的電晶體的上下文中主要描述了積體電路結構,但是本揭露並不限於此。舉例而言,在一些實施例中,本文描述的技術可以用於形成具有非平面配置的電晶體,例如雙閘極配置、鰭式配置(例如,finFET或三閘極)或環繞式閘極配置(例如,包含一或更多的奈米線或奈米帶),以下將參照圖4而更詳細地描述。此外,在一些實施例中,這些技術可用於形成p型及/或n型電晶體裝置,例如p型MOSFET(p-MOS)、n型MOSFET(n-MOS)、p型穿隧式FET(p-TFET)或n型TFET(n-TFET)。再者,在一些實施例中,舉例而言,這些技術可以用於使包含在互補MOS(CMOS)或互補TFET(CTFET)裝置中的p型及n型電晶體中的一者或兩者受益。更進一步,在一些實施例中,這些技術可以與不同規模的裝置一起使用,例如具有在微米範圍內或奈米範圍內(例如,在32、22、14、10、7或5nm製程節點或超出所形成的電晶體)的關鍵尺 寸的電晶體裝置。
圖1A說明依據一實施例的在源極/汲極製程已經被實施之後且在閘極區域被打開以形成所示的範例結果結構之後的範例積體電路結構。如所示,範例結構包含基板110、位於基板110之上及之中的源極/汲極區域130、位於源極/汲極區域130上的源極/汲極接觸132、以及鄰近源極/汲極接觸132且也鄰近閘極溝槽122的間隔物120。如可以基於圖1A所理解的,在一些實施例中,結構可以在移除之前包含虛擬閘極堆疊,以便在所示結構中形成閘極溝槽122。在一些這樣的實施例中,舉例而言,虛擬閘極堆疊的形成可以包含虛擬閘極氧化物沉積、虛擬閘極電極(例如,多晶矽)沉積及圖案化硬遮罩沉積。取決於將形成的虛擬閘極,這種沉積製程可以包含任何適當的沉積技術,例如化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)及/或任何其它適當的製程。附加製程例如可以包含圖案化虛擬閘極材料,以便形成所示的閘極溝槽122的形狀。從本揭露可以理解,虛擬閘極被用作犧牲結構,其可以允許為其它組件形式,例如間隔物120。亦可以理解,虛擬閘極在處理期間,例如在源極/汲極130、132處理期間,可以保護閘極區域(例如,閘極溝槽122之下的表面)。需注意,雖然這些技術在閘極最後製程流程中之此範例實施例中說明,但是在一些實施例中,這些技術可以在閘極第一製程流程中實施,使得在源極/汲極製程之前形成附加間隔物,舉例而言, 或在電晶體製造程序流程的任何其他適當的階段。
在一些實施例中,基板110可以包含:包含IV族材料或化合物的主體基板,例如矽(Si)、鍺(Ge)、碳化矽(SiC)或SiGe及/或至少一種III-V族化合物及/或藍寶石及/或任何其它適當的材料,取決於最終用途或目標應用;絕緣體上X(XOI)結構,其中X是上述材料之一(例如,IV族及/或III-V族及/或藍寶石),且絕緣體材料是氧化物材料或介電材料或一些其它電絕緣材料;或一些其它適當的多層結構,其中頂層包含上述材料之一(例如,IV族及/或III-V族及/或藍寶石)。需注意,III-V族化合物/材料包含至少一種III族元素(例如,鋁、鎵、銦、硼、鉈)及至少一種V族元素(例如,氮、磷、砷、銻、鉍),例如氮化鎵(GaN)、砷化鎵(GaAs)、氮化銦鎵(InGaN)等。儘管在此範例實施例中,為了便於說明,基板110具有相似於其它特徵的厚度(垂直尺寸,如所示),在一些情況下,基板110可以比其它特徵厚很多,例如具有範圍為50至950微米的厚度。在一些實施例中,基板110可用於一或多個其他積體電路(IC)裝置,例如各種二極體(例如發光二極體(LED)或雷射二極體)、各種電晶體(例如,MOSFET或TFET)、各種電容器(例如,MOSCAP)、各種微機電系統(MEMS)、各種奈米機電系統(NEMS)、各種感測器、或任何其它適當的半導體或IC裝置,取決於最終用途或目標應用。因此,在一些實施例中,根據本揭露將瞭 解,本文所述的電晶體結構可以被包含在系統上晶片(SoC)應用中。
在一些實施例中,間隔物120可使用虛擬閘極堆疊而形成,如先前所述。間隔物120材料,在一些實施例中,可包含任何適當的材料,例如介電材料、氧化物(例如,矽氧化物材料)及/或氮化物(例如,矽氮化物材料)。在一些實施例中,可以透過使用例如濕式及/或乾式蝕刻製程,如先前所述地移除虛擬閘極堆疊而形成閘極溝槽122。需注意,在一些實施例中,閘極溝槽122外部區(例如,源極/汲極接觸132區)可以被例如夾層介電(ILD)材料的絕緣材料覆蓋,以便允許隨後的製程,如圖4所示並在以下描述。
從此範例實施例可以看出,源極/汲極區域130可以透過蝕刻出基板110的部分並且使用例如外延沉積製程沉積(或生長或再生長)源極/汲極區域130材料而形成,列舉一些範例製程,例如CVD、金屬有機化學氣相沉積(MOCVD)或分子束磊晶(MBE)。在一些實施例中,可以在實施蝕刻下切(EUC)製程之後,生長源極/汲極外延區域。在一些這樣的實施例中,源極/汲極區域可以在間隔物120之下及/或閘極堆疊之下延伸,並且這種延伸部分可以例如被稱為源極/汲極尖端或延伸部。在一些實施例中,源極/汲極可以完全地在基板中,可以是基板的一部分(例如,包含摻雜或以其他方式改變),可以在基板之上或其任何組合上。在一些實施例中,源極/汲極 區域130可包含任何適當的材料及適當的摻雜物,取決於最終用途或目標應用。舉例而言,在基板110包含矽且電晶體裝置將成為p型MOSFET之一實施例中,源極/汲極區域130都可包含p型摻雜矽(例如,其中硼是p型摻雜物)。在基板110包含矽且電晶體裝置將成為n型MOSFET之另一範例實施例中,源極/汲極區域都可包含n型摻雜矽(例如,其中磷是n型摻雜物)。在一些實施例中,取決最終用途或目標應用,可以使用任何適當的源極/汲極130材料及可選的摻雜方案。舉例而言,在TFET配置中,源極及汲極區域130可以是相反類型的摻雜(例如,源極是p型摻雜且汲極是n型摻雜),其中通道區域是最小摻雜或未摻雜(或固有的/i型)。
在一些實施例中,源極/汲極區域130材料可以是基板110原本的,並且可以包含或可以不包含摻雜(例如,通孔注入),或是可以使用替代材料形成源極/汲極區域130材料,替代材料可以包含或可以不包含移除基板的一部分以形成用於替代源極/汲極區域130的沉積/生長的源極/汲極溝槽。在一些實施例中,源極/汲極區域130可包含二或更多材料層的多層結構。在一些實施例中,源極/汲極區域130可包含在區域130的至少一部分中分級(例如,增加及/或減小)一或更多材料的含量。舉例而言,在一些實施例中,例如可以在沉積主要源極/汲極材料之前沉積鈍化材料,以協助源極/汲極材料與基板材料之間的介面的界面的品質,取決於最終用途或目標應用。此 外,在一些實施例中,可以在源極/汲極區域材料的頂部上形成接觸改進材料,以例如協助接觸源極/汲極接觸132,取決於最終用途或目標應用。在一些實施例中,源極/汲極區域130可在間隔物120之下延伸,且更可在閘極區域之下延伸(例如,圖1A中,在閘極溝槽122之下),並且這種延伸的源極/汲極部分可以被稱為源極/汲極延伸部或尖端。舉例而言,在圖1A的實施例中所示的結構中,源極/汲極區域部分地在間隔物120之下延伸(例如,其在間隔物120下方延伸大約一半)。本文描述的技術不旨在限於任何特定的源極/汲極配置。
在一些實施例中,源極/汲極接觸132可使用任何適當的技術而形成,例如使用任何適當的沉積製程(例如,CVD、ALD或PVD)。在一些實施例中,源極/汲極接觸132可包含任何適當的材料,例如導電金屬或合金(例如,鋁、鎢、銀、鎳-鉑或鎳-鋁)。在一些實施例中,可進行源極/汲極接觸132的金屬化,舉例而言,使用矽化或鍺化製程(例如,一般而言,沉積接觸金屬後退火)。
圖1B說明依據實施例,在圖1A的結構的閘極溝槽122中形成介電襯裡材料180之後的範例結構。在一些實施例中,介電襯裡材料180可以共形方式沉積或生長,使得例如如圖1B所示的沉積該層。在一些實施例中,可以使用基板110之上的閘極溝槽122空間的大部分或全部中的任何適當的製程(例如,CVD、ALD或PVD)來沉積或生長介電襯裡材料180,接著可以實施另外的製程以便 形成所示的介電襯裡材料180的形狀(例如,平坦化/拋光,接著進行乾蝕刻製程以移除閘極襯裡層材料)。在一些實施例中,介電材料180可以是任何適當的介電質,例如二氧化矽(具有為介電常數k,為3.9)、低k介電質(材料具有低於二氧化矽之介電常數的介電常數;k<3.9)或高k介電質(材料具有高於二氧化矽之介電常數的介電常數;k>3.9),取決於最終用途或目標應用。在一些這樣的介電材料180為低k介電質的實施例中,材料可包含碳及/或氟摻雜二氧化矽、多孔二氧化矽、多孔碳及/或氟摻雜二氧化矽、或任何其它適當的低k介電材料。在一些實施例中,介電材料180可包含二或更多材料層的多層結構。在一些實施例中,介電材料180可包含在材料180的至少一部分中分級(例如,增加及/或減小)一或更多材料的含量。
圖1C說明依據一實施例,在圖1B的結構的介電襯裡材料180被蝕刻以移除在閘極溝槽122的底部的中間部分(在間隔物120之間),同時維持襯裡180的側壁部分完整之後的範例結構。在一些實施例中,舉例而言,可使用任何適當的蝕刻製程,例如乾蝕刻製程(例如,各向異性乾蝕刻)。需注意,雖然在此範例實施例中介電襯裡材料180顯示為自基板表面完全移除中間部分,但是在一些實施例中,介電襯裡材料180的至少一部分可以維持在閘極溝槽122中基板的上表面上。舉例而言,在一些實施例中,在介電襯裡材料180是二氧化矽或高k介電質的情況 下,材料可以用作閘極堆疊的一部分,使得該層與閘極介電質140結合使用或代替閘極介電質140。還需注意,在閘極溝槽122的側壁上存在介電襯裡180可有效地將閘極尺寸(間隔物120之間的閘極寬度)減小了介電襯裡180寬度的兩倍。
圖1D說明依據實施例,在圖1C的結構的閘極溝槽122中形成閘極介電層140及可選擇地功函數層150之後的範例結構。在一些實施例中,閘極介電質140及可選擇地功函數層150可使用任何適當的技術而形成,例如使用任何適當的沉積製程(例如,CVD、ALD或PVD)。在一些實施例中,層140及/或150的材料可以共形至閘極溝槽122的內側,使得一些材料形成在閘極溝槽122的側面上。在一些這樣的實施例中,舉例而言,閘極溝槽122的側面上的材料可使用濕式或乾蝕刻製程而被移除。在其他實施例中,一些材料或材料層(例如,閘極介電層140)可維持在溝槽側面上。舉例而言,在一些這樣的閘極介電層140之部分在閘極溝槽122側面上的實施例中,閘極介電層140可近似U形,或是,如果層140只在一側上,舉例而言,其可以近似L形或倒L形。如基於本揭露將理解的,在一些這樣的實施例中,舉例而言,附加間隔物可以形成在閘極電介層140的這種垂直部分的外側上。在一些實施例中,取決於最終用途或目標應用,閘極介電質140可以包含二氧化矽及/或高k介電材料。高k閘極介電材料的範例包含例如氧化鉿、氧化鉿矽、氧化鑭、氧 化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鍶鋇、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、鉛鈧鉭氧化物及鉛鋅鈮酸鹽。在一些實施例中,當使用高K材料時,例如可以對閘極介電層140執行退火程序,以便改善其品質。在一些實施例中,閘極介電層140可包含二或更多材料層的多層結構。在一些實施例中,閘極介電材料140可包含在閘極介電層140的至少一部分中分級(例如,增加及/或減小)一或更多材料的含量。
在一些實施例中,可以存在一或多個功函數層150,以便增加例如閘極介電質140與接續沉積的閘極材料之間的介面品質及/或改善閘極介電質140和接續沉積的閘極材料之間的電性能。在存在一或更多功函數層150的實施例中,取決最終用途或目標應用,一或多層的材料可以包含任何適當的材料,例如非金屬、金屬或金屬合金材料。然而,在一些實施例中,一或更多功函數層150不需要存在。
圖1E說明依據實施例,在圖1D的結構的閘極溝槽122中及閘極介電質140之上形成閘極主體162之後的範例結構。需注意,在此範例實施例中,閘極主體162被稱為閘極主體以便輔助描述各種閘極組件,因為在一些實施例中,最終閘極160可以包含閘極主體162及可選地附加閘極材料164,將參照圖2C及3B而更詳細地描述。在一些實施例中,閘極主體162可以使用任何適當的製程(例如,CVD、ALD或PVD)沉積或生長,並且在一些情況 下,舉例而言,這樣的沉積/生長可以接著進行平面化或拋光製程以形成圖1E所示的結構。在一些實施例中,閘極主體162的材料可包含任何可適當的材料,例如多晶矽、氮化矽、碳化矽或各種適當的金屬或金屬合金,例如鋁(Al)、鎢(W)、鈦(Ti)、鉭(Ta)、銅(Cu)、氮化鈦(TiN)或氮化鉭(TaN)。繼續參照圖1E的結構,在一些實施例中,可以保持介電襯裡材料180並可選地凹陷以便在閘極主體162的每一側上形成介電附加間隔物180,如將參考圖1F-G的範例結構所描述的,而在其它實施例中,介電襯裡180可以實質上或完全地移除以便形成氣隙附加間隔物280,如將參考例如圖2A-B的範例結構所描述的。根據本揭露,電晶體結構上的許多變化將是顯而易見的,並且根據一些的實施例,將參照圖3A-D描述一些這樣的變化。
圖1F說明依據實施例,在圖1E的介電襯裡180被蝕刻至形成襯裡材料凹部170之後的範例結構。在一些實施例中,可以選擇介電襯裡材料180以便對於提供的蝕刻劑具有比所選擇的初始間隔物120材料的蝕刻速率更高的相對蝕刻速率,使得可以選擇性地蝕刻及凹陷介電襯裡材料180的至少一部分(例如,以形成如圖1F所示的結果結構)。在一些這樣的實施例中,對於所提供的蝕刻劑,蝕刻的選擇性可以使得介電襯裡材料180可以以比周圍特徵(例如,初始間隔物120)的材料快至少1.5、2、3、5、10、25、50或100倍的速率,或一些其它適當的最小相 對選擇性蝕刻速率而被移除。因此,在一些這樣的實施例中,可以使用適當的蝕刻劑來選擇性地移除介電襯裡材料180以便形成凹部170。在一些實施例中,例如可以透過總蝕刻時間及/或蝕刻速率或其它製程條件來控制凹陷蝕刻深度。舉例而言,在一些實施例中,介電襯裡材料180可以包含二氧化矽,並且初始間隔物120的材料可以包含氮化矽,其中適當的蝕刻劑可以包含稀氫氟酸(DHF),以提供一個具體的範例組合。在這樣的範例組合中,例如,DHF可以選擇性地蝕刻掉二氧化矽襯裡材料180以使材料凹陷並形成圖1F的結構,或是例如完全移除材料,如將參照圖2A所描述的。
圖1G說明依據一實施例的附加閘極材料164已經沉積在圖1F的結構的襯裡材料凹部170中之後的範例結構。在一些實施例中,附加閘極材料164可使用任何適當的沉積或是生成製程(例如,使用有角度的CVD、ALD或PVD)而沉積,以便實質上地填充附加間隔物材料凹部170,其可以可選擇地接著例如平坦化及/或拋光製程。在一些實施例中,附加閘極材料164的材料可包含任何可適當的材料,例如多晶矽、氮化矽、碳化矽或各種適當的金屬或金屬合金,例如鋁(Al)、鎢(W)、鈦(Ti)、鉭(Ta)、銅(Cu)、氮化鈦(TiN)或氮化鉭(TaN)。在一些實施例中,附加閘極材料164可包含與閘極主體162之材料相同的材料,然而在一些實施例中,附加閘極材料164可包含與閘極主體162之材料不同的材料。在一 些實施例中,可以形成附加閘極材料164以維持局部互連的閘極接觸面積,這例如有助於確保結構不因附加間隔物180而承擔接觸電阻損失。因此,在一些這樣的實施例中,閘極-源極/汲極寄生電容可以被降低至最小,或是不增加對閘極160的接觸電阻。在此範例實施例中,由於在閘極與初始間隔物120之間形成在閘極的每一側上的介電附加間隔物180,可以實現這種寄生電容減小。
圖2A說明依據實施例,電介質襯墊180已經從圖1E的結構移除以形成襯裡溝槽270的範例結構。如先前所述,在一些實施例中,製造程序可以接續自圖1E的結構,以便形成氣隙附加間隔物(與介電附加間隔物對照)。如先前所述,在一些實施例中,可以選擇介電襯裡180的材料,使得其可以相對於周圍材料(例如初始間隔物120的材料)而被選擇性地移除(例如透過濕蝕刻製程)。在此範例實施例中,代替使介電襯裡材料180凹陷(如形成圖1F的範例結構所做的那樣),介電襯裡材料180可以被實質上或完全地移除以形成襯裡溝槽270。因此,在一些這樣的實施例中,可以使用適當的蝕刻劑來選擇性地移除介電襯裡材料180材料且形成襯裡溝槽270。在一些實施例中,例如可以透過總蝕刻時間及/或蝕刻速率或其它製程條件來控制蝕刻深度。
圖2B說明依據一實施例的附加閘極材料164已經沉積在圖2A的結構的襯裡溝槽270的上部中的範例結構。關於附加閘極材料164的先前相關描述在此同樣適用。如 圖2B所示,在此範例實施例中,沉積附加閘極材料164在閘極主體162的每一側上形成氣隙附加間隔物280,其中附加間隔物280位於閘極主體162與初始形成的間隔物120之間。在一些實施例中,可以使用有角度的沉積或生長製程(例如,使用有角度的CVD或PVD)來沉積附加閘極材料164,以便僅在襯裡溝槽270的上部形成附加閘極材料164。在一些實施例中,沉積製程可以相對於水平(基板110的主要使用)以例如5至75度的角度實施,其中相對於水平方向90度將表示直接沉積附加閘極材料到襯裡溝槽270中。如可基於本揭露所理解的,在一些實施例中,用於沉積製程的角度可能影響在附加間隔物溝槽270的上部中形成的附加閘極材料164的量,從而影響附加間隔物280的尺寸。在一些實施例中,可以在有角度的沉積製程之後實施平坦化及/或拋光製程以形成圖2B的範例結構。
圖2C說明根據一些實施例之為了易於描述而指示各種尺寸、區域以及部分的圖1G及圖2B的範例結構。需注意,圖2C適用於包含介電材料附加間隔物180及氣隙附加間隔物280兩者的結構,因此附加間隔物區域180/280用不同的影線表示,以便指示該區域可以包含介電材料及氣隙中的一種或兩種。從圖2C可看出,在此範例實施例中,閘極160包含閘極主體162的材料及附加閘極材料164。需注意,閘極160包括圖2C中的灰色影線,以幫助視覺上識別圖中的閘極160,並且例如幫助說 明圖3A-D中的閘極變化。還需注意,在一些實施例中,如果存在,功函數層150可以被認為是閘極160的一部分,這取決於例如功函數層150的材料。從圖2C還可以看出,閘極160已被指示為具有兩部分:下部192及上部194。在此範例實施例中,閘極160的下部192包含閘極主體162的包含每一側上的附加間隔物180/280的部分,而閘極的上部194包含閘極主體162的包含每一側上的附加閘極材料164的部分。在此範例實施例中,閘極160在間隔物120之間的下部192的寬度被表示為寬度W1,並且間隔物120之間的閘極160的上部194的寬度被表示為寬度W2。還需注意,為了易於描述,指示了附加間隔物180/280的寬度W3及初始間隔物120的寬度W4。僅指示閘極160的總高度H,但是本文可以描述各種特徵、區域或部分的高度,並且這樣的高度是平行於用H指示的高度的尺寸。在一些實施例中,包含在結構中的一或多個附加間隔物180/280可鄰近閘極介電層140及/或功函數層150。換言之,在一些實施例中,包含在結構中的一或多個附加間隔物180/280可在間隔物120與閘極介電質140之間,及/或一或多個附加間隔物180/280可在間隔物120與功函數層150之間。舉例而言,圖2C中的範例結構說明附加間隔物180/280在間隔物120與閘極介電質140之間,並且說明附加間隔物180/280在間隔物120與功函數層150之間。
在圖2C的範例結構中,指示電晶體結構的通道區域 111以顯示通道區域111的寬度接近閘極160的下部的寬度W1。也可以基於本揭露而理解,在一些實施例中,通道區域111一般在源極/汲極區域130之間,並且允許電流或載子在源極與汲極之間流動,其中這種流動被閘極160調節。需注意,在一些實施例中,不論電晶體結構是否完全形成且該區域實際上用作導電通道,或不論該結構是否未完全形成且該區域旨在用作導電通道,通道區域111可以被稱為其本身。在此範例實施例中,通道區域111位於基板110中。然而,在一些實施例中,通道區域111可以位於基板110上及/或之上,與位於基板110中相反,例如在奈米線配置的情況下的(將參考圖4而更詳細地描述)。在一些實施例中,通道區域111可以是n型、p型或未摻雜(或最小摻雜),並且因此具有該區域所在的半導體材料固有的摻雜(i型),取決於最終用途或目標應用。在一些實施例中,由於附加間隔件180/280降低了閘極160的下部192的寬度W1(例如,相較於不包含附加間隔物180/280的結構),通道區域111的寬度也可以相似的方式而有效地降低。
在一些實施例中,閘極160的上部194的寬度W2可以比閘極160的下部192的寬度W1寬。在一些這樣的實施例中,閘極160的相對較窄的下部192可以是因為在初始間隔物120與閘極160的下部192(具體地,閘極主體162)之間存在附加間隔物180/280的結果。舉例而言,如在圖2C所示的範例結構中可以理解的,在該範例實施 例中閘極160的上部194的寬度W2是間隔物120之間的總寬度。因此,在此範例實施例中,在閘極160的每一側及初始間隔物120之間包含附加間隔物180/280導致閘極160的下部192的寬度W1的減小,使得W1加上兩倍的W3(在此範例實施例中附加間隔物180/280的寬度相同)與W2相同,如圖2C所示。在一些實施例中,例如不沉積附加閘極材料164的實施例(例如,將參照圖3B而描述的),閘極160的上部194的寬度W2可以與閘極160的下部192的寬度W1相同(或實質上相同)。如在圖2C的範例實施例中也可以看到的,在此範例實施例中,附加間隔物180/280在初始間隔物120與閘極介電質140之間以及在初始間隔件120與可選擇地功函數層150之間。因此,在此範例實施例中,附加間隔物180/280在閘極介電質140及可選擇地功函數層150的每一側上,使得這些層的寬度有效地從寬度W2(例如,如果結構不包含附加間隔物180/280)減小至寬度W1。在一些實施例中,閘極160的上部194的寬度W2可以比下部192的寬度W1例如寬至少5%、10%、15%、25%或50%,或是一些其它適當的最小百分比差異。
在一些實施例中,附加間隔物180/280的寬度W3可以比間隔物120的寬度W4寬,或至少近似相同寬。舉例而言,在一些這樣的實施例中,W3:W4的比例可以是大約1:1、1.5:1、1:1.5、2:1、1:2、3:1、1:3,或W3:W4的任何其它適當的比例,取決於最終用途或目標應用。在 一些實施例中,閘極160的上部194的高度可以大於、小於或至少大約相同於閘極160的下部192的高度(在圖2C的範例結構中,上部194的高度顯示為小於下部192的高度)。在一些實施例中,附加間隔物180/280的高度(或最大高度)可以小於間隔物120的高度(或最大高度)。舉例而言,在一些這樣的實施例中,例如,附加間隔物180/280的高度可以小於間隔物120的高度的90%、75%、50%、25%或10%,或一些其它適當的最大百分比。在一些實施例中,附加間隔物180/280的高度可以大於或至少大致相同於初始間隔物120的高度(儘管在圖2C的範例結構中,附加間隔物180/280的高度顯示為小於初始間隔物120的高度)。
在一些實施例中,取決於最終用途或目標應用,閘極160的下部192及上部194的寬度W1及W2分別可以在50至500nm的範圍內或任何其它適當的寬度。在一些實施例中,閘極160的上部194的寬度W2可以比閘極160的下部192的寬度W1例如寬至少2、5、10、15、20、25或50nm,或是一些其它適當的最小差異。在一些實施例中,取決於最終用途或目標應用,附加間隔物180/280及初始間隔物120的寬度W3及W4分別可以在2至100nm的範圍內(例如,5至15nm),或任何其它適當的寬度。在一些實施例中,附加間隔物180/280(具有寬度W3)與初始間隔物120(具有寬度W4)之間的寬度差例如可以是大約0、1、2、3、5、10、15或20nm,或是一 些其它適當的差異,不論哪個特徵比較寬。在一些實施例中,取決於最終用途或目標應用,閘極160的總高度H可以在50至500nm的範圍內或任何其它適當的高度。在一些實施例中,初始間隔物120的高度可以比附加間隔物180/280的高度至少大例如5、10、25、50、100、150、200或250nm,或其它適當的最小差異。需注意,在一些範例情況中,本文描述的尺寸(例如,寬度或高度)可以是給定特徵的最大尺寸。舉例而言,在一些實施例中,提供一個範例,附加間隔物180/280的寬度可以隨著特徵變化,使得本文所述的寬度W3是指間隔物120與閘極160之間的附加間隔物180/280的最大寬度。
在一些實施例中,閘極接近「T」形狀,例如圖2C的範例結構中所示的近似T形閘極160。從本揭露可以理解,可以透過在閘極主體162的每一側及初始間隔物120之間形成附加間隔物180/280來實現T形。然而,在這些實施例中,T形描述不旨在限制這些閘極為精確或完美的「T」形。相反地,在一些實施例中,T形描述旨在作為閘極的形狀的一般近似。舉例而言,在一些實施例中,例如,T形閘極的左側可能不與右側對稱。需注意,儘管在圖2C中說明初始間隔物120、附加間隔物180/280及閘極160在此範例實施例中具有數個對稱性(例如,間隔物120相互鏡像、附加間隔物180/280相互鏡像、閘極160以其垂直中心軸對稱,等等),在一些實施例中,由於期望的製程及/或作為真實世界的製造程序的結果,可能會 發生結構變化。依據一些實施例,將參考以下的圖3A-D描述可能發生的變化的一些範例。
圖3A-D說明依據一些實施例,圖2C的範例結構的電晶體閘極可能發生的變化。需注意,在圖3A-D中僅顯示包含間隔物120、閘極介電質140、可選擇地功函數層150、閘極160及附加間隔物180及/或280的圖2C的結構的部分,以幫助說明可能發生在電晶體閘極等特徵上的變化。參考間隔物120、閘極160、介電材料附加間隔物180及氣隙附加間隔物280的先前相關揭露在此同樣適用。然而,圖3A-D中的閘極以其相應的圖形字母表示,為了便於參考,圖3A的閘極表示為160A、圖3B的閘極表示為160B,以此類推。還需注意,與圖2C的結構的情況一樣,180/280表示附加間隔物可以包含介電材料及/或氣隙。提供圖3A以說明在一些實施例中,可以僅在閘極160A的一側上形成附加間隔物180/280。在一些這樣的實施例中,例如,閘極160A可以接近「T」形的水平的一半。提供圖3B以說明在一些實施例中,附加閘極材料164可能不被沉積。舉例而言,依據一些實施例,圖1E的結構可以用作電晶體閘極160B的最終結構,相似於圖3B所示。在一些這樣的實施例中,舉例而言,可不形成T形閘極的上部,因此閘極可更近似於垂直線。亦提供圖3B以說明在閘極160B的每一側上的附加間隔物180(或280,當存在時)可以具有不同的寬度,在一些實施例中,使得附加間隔物之一比另一個更寬(左附加間隔物較 寬,在此範例情況下)。亦提供圖3B以說明在閘極160B的每一側上的初始間隔物120可以具有不同的寬度,在一些實施例中,使得初始間隔物之一比另一個更寬(右間隔物較寬,在此範例情況下)。基於本揭露可以理解,可以以任何適當的方式組合變化,例如僅在閘極的一側形成附加間隔物(例如,圖3A所示),且不沉積附加閘極材料(例如,如圖所示3B)。
提供圖3C以說明閘極一側上的附加間隔物可以是介電材料附加間隔物180,而在閘極的另一側上的附加間隔物可以是氣隙附加間隔物280。除此之外,提供圖3C以說明在一些實施例中,例如範例閘極160C所示的附加閘極材料164可以不以對稱的方式形成。在圖3C中的此範例實施例中,附加閘極材料164延伸到氣隙附加間隔物280上方的低於介電材料附加間隔物180上方的較低點,其例如可以是形成介電附加間隔物凹部170的深度所造成的結果(例如,如參考圖1F之敘述)及/或用於沉積附加閘極材料164的特定角度。提供圖3D以說明在一些實施例中,可以形成多層附加間隔物,不論是期望的還是作為真實世界製造程序的結果。舉例而言,如圖3D所示,在此範例實施例中,介電材料180形成在閘極160D的每一側上的總附加間隔物區的下部中,並且氣隙280形成在介電材料180的上方,從而產生包含介電材料180及氣隙280的附加間隔物。如前所述,在一些實施例中,附加間隔物區可以包含多於一種材料,例如兩種或更多種不同的 介電材料。在圖3A-D中也可以看到,範例結構包含由於例如結構的縮放(例如,包含奈米關鍵尺寸的結構)、所使用的製造程序及/或所需形成的結構而可能發生的形貌變化。
圖4說明依據本發明的一些實施例,包含附加間隔物的範例非平面電晶體架構。參考已經描述的特徵(例如,基板110、閘極介電質140、可選擇地功函數層150、附加間隔物180/280等)的先前相關揭露,在此同樣適用。然而,如在圖4的範例實施例中可以看出的,電晶體結構包含鰭式通道區402及奈米線通道區404,以說明本文所述技術可以使用的兩個範例非平面電晶體配置。需注意,此範例實施例中的結構還包含鰭式源極/汲極區域130及131,其中源極/汲極區域130是替代源極/汲極區域,並且源極/汲極區域131是基板110原本的且包含與基板110相同或相似的材料。還需注意,在此範例實施例中,淺溝槽隔離(STI)區域112顯示為在鰭組之間,並且可以存在以幫助鰭的電隔離。還需注意,例如,在此範例實施例中,夾層介電質(ILD)材料114形成在該結構的部分之上,其可用於在閘極製程期間保護源極/汲極區域。在一些實施例中,取決於最終用途或目標應用,可以使用任何適當的技術形成STI及ILD材料,並且可以包含任何適當的材料。如所示,範例結構包含兩個鰭,其可以使用任何適當的技術形成,例如圖案化及蝕刻基板110以形成所需數量的鰭,並且可選擇地蝕刻及移除鰭以置換不同材料的 鰭(例如,以形成用於源極/汲極區域130的鰭)。
可以基於本揭露所理解的,閘極(例如,閘極主體162及附加閘極材料164)形成在鰭式通道區域402的三個部分上,以形成鰭式電晶體配置。這種鰭式配置有時被稱為三閘極、三維(3D)或finFET配置,因為這種鰭式配置的導電通道基本上沿著鰭的三個不同的外部實質上平坦的區域存在(並且因此存在三個有效的閘極)。在一些實施例中,可以使用本文所述的技術來實現其他類型的非平面電晶體配置,例如雙閘極配置,其中導電通道例如主要僅沿著鰭的兩個側壁存在(且不沿著鰭的頂部)。另一個非平面電晶體配置是奈米線(也稱為奈米帶或環繞式閘極)配置,其配置相似於基於鰭的配置,但不是閘極在三個部分上的鰭式通道區域,而是使用一或多個奈米線且閘極材料通常圍繞每個奈米線,例如圖4所示的兩個奈米線404。在一些實施例中,當通道區域暴露時,可以透過在鰭的通道區域中包含犧牲材料並在形成閘極堆疊之前蝕刻掉犧牲材料(例如,在沉積介電襯裡材料180之前)以便達成這樣的奈米線配置。在一些實施例中,可以使用非平面配置來提供益處,例如增加載子遷移率或增加通道區域中的電荷的有效控制。
從圖4的範例實施例可以看出,為了便於說明,兩個鰭的寬度Fw及高度Fh顯示為相同。在一些實施例中,取決於最終用途或目標應用,鰭可以形成為例如具有在3至100nm的範圍內的寬度Fw,或是任何其它適當的寬 度。在一些實施例中,取決於最終用途或目標應用,鰭可以形成為具有在5至100nm的範圍內的高度Fh,或任何其它適當的高度。在一些實施例中,鰭可以形成有期望的近似高度Fh至寬度Fw比(Fh:Fw),例如近似1.5:1、2:1、3:1、4:1、5:1或任何其它適當的Fh:Fw比例,取決於最終用途或目標應用。需注意,儘管圖4的範例結構中的兩個鰭顯示為具有相同的寬度Fw和高度Fh,但是本揭露不旨在如此限制。舉例而言,在一些實施例中,取決於最終用途或目標應用,形成在相同基板或晶粒上的鰭可形成為具有多種的寬度及/或高度。還需注意,儘管在圖4的範例結構中顯示兩個鰭,但是在積體電路製造程序中可能形成任何數量的鰭,例如1、3、5、10、100、數千、數百萬或更多,取決於最終使用或目標應用。藉由本揭露,許多變化和配置將會是顯而易見的。
範例系統
圖5說明依據一些實施例之使用本文所揭露的技術形成的積體電路結構或裝置所實施的計算系統1000。如可以看到的,計算系統1000容置主機板1002。主機板1002可以包含數個組件,包含但不限於處理器1004及至少一個通訊晶片1006,其中每個組件可以物理地及電地耦接到主機板1002,或以其它方式整合在其中。應當理解,主機板1002可以是例如任何印刷電路板,無論是主板、安裝在主板上的子板、或是系統1000的唯一的板等。
根據其應用,計算系統1000可包含一或多個其他組件,其可為或可不為物理或電耦接至主機板1002。這些其他組件可包含,但不限於,揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視訊編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速器、陀螺儀、揚聲器、相機以及大量儲存裝置(例如硬碟機、光碟(CD)、數位光碟(DVD)等)。包含在計算系統1000中的任何組件可以包含依據範例實施例使用所揭露的技術而形成的一個或多個積體電路結構或裝置。在一些實施例中,可以將多個功能整合到一或多個晶片中(例如,需注意通訊晶片1006可以是處理器1004的一部分或是被整合到處理器1004中)。
通訊晶片1006使得用於至計算系統1000或來自計算系統1000之資料傳送之無線通訊能夠實現。用詞「無線」連同其衍生詞可用於描述電路、裝置、系統、方法、技術、通訊通道等,其可透過使用通過非固態介質的調變電磁輻射傳遞資料。此用詞不必然意味關聯的裝置不含有任何的導線,儘管在一些實施例中其可能沒有導線。通訊晶片1006可實現任何數目的無線標準或協定,包含但不限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(long term evolution; LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、及其衍生物,以及任何其他指定用作3G、4G、5G及在此之後之技術的無線協定。計算系統1000可包含複數個通訊晶片1006。舉例而言,第一通訊晶片1006可專用於較短範圍的無線通訊,例如Wi-Fi和藍牙,而第二通訊晶片1006可專用於較長範圍的無線通訊,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算系統1000的處理器1004包含封裝在處理器1004內的積體電路晶粒。在一些實施例中,處理器的積體電路晶粒包含使用如本文中各種描述的使用所揭露的技術形成的一或多個積體電路結構或裝置來實現的板載電路。用詞「處理器」可以指處理來自暫存器及/或記憶體的電子資料以便例如將該電子資料轉變成其他可儲存在暫存器及/或記憶體中的電子資料的任何裝置或裝置的部分。
通訊晶片1006還可以包含封裝在通訊晶片1006內的積體電路晶粒。依據一些這樣的範例實施例,通訊晶片的積體電路晶粒包含使用如本文中各種描述的揭露的技術形成的一或多個積體電路結構或裝置。需注意,根據本揭露,應當注意,多標準無線能力可以被直接整合到處理器1004中(例如,其中任何晶片1006的功能被整合到處理器1004中,而不是具有分離的通訊晶片)。還需注意,處理器1004可以是具有這種無線能力的晶片組。簡而言 之,可以使用任何數量的處理器1004及/或通訊晶片1006。同樣地,任何一個晶片或晶片組可以具有整合在其中的多個功能。
在不同的實施方式中,計算裝置1000可以是膝上型電腦、連網小筆電、筆記型電腦、智慧型手機、平板電腦、個人數位助理(PDA)、超行動個人電腦、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機頂盒、娛樂控制單元、數位相機、可攜式音樂播放器、數位錄影機、或任何其他處理資料或採用使用本揭露技術而形成的一或多個積體電路結構或裝置,如本文中的各種描述。
更多的範例實施例
以下範例涉及另外的實施例,從其中可以看出許多排列及配置。
範例1是電晶體,包含:通道區域;在通道區域之上的閘極,閘極包含下部以及上部,其中下部比上部更接近通道區域;在閘極的每一側的間隔物;以及在間隔物之一者與閘極的下部之間的附加間隔物。
範例2包含範例1之標的,其中,閘極的上部在間隔物之間比閘極的下部更寬。
範例3包含範例1至2中任一者的標的,其中閘極大致為T形。
範例4包含範例1至3中任一者的標的,更包含在間 隔物之另一者與閘極的下部之間的附加間隔物。
範例5包含範例1至4中任一者的標的,其中,附加間隔物包含氣隙。
範例6包含範例1至5中任一者的標的,其中,附加間隔物包含介電材料。
範例7包含範例6的標的,其中,介電材料是低k介電材料。
範例8包含範例1至7中任一者的標的,其中,附加間隔物的材料不同於間隔物的材料。
範例9包含範例1至8中任一者的標的,更包含在通道區域與閘極之間的閘極介電質。
範例10包含範例9的標的,其中,附加間隔物鄰近閘極介電質。
範例11包含範例1至10中任一者的標的,更包含在通道區域與閘極之間的功函數層。
範例12包含範例1至11中任一者的標的,其中,閘極具有垂直於間隔物之間的寬度的高度尺寸,並且其中,閘極的下部的高度大於閘極的上部的高度。
範例13包含範例1至11中任一者的標的,其中,閘極具有垂直於間隔物之間的寬度的高度尺寸,並且其中,閘極的上部的高度大於閘極的下部的高度。
範例14包含範例1至13中任一者的標的,其中,閘極的上部具有比閘極的下部的間隔物之間的最大寬度寬至少5%的間隔物之間的最大寬度。
範例15包含範例1至14中任一者的標的,其中,閘極的上部具有比閘極的下部的間隔物之間的最大寬度寬至少5nm(奈米)的間隔物之間的最大寬度。
範例16包含範例1至15中任一者的標的,其中電晶體是p型電晶體及n型電晶體之一者。
範例17包含範例1至16中任一者的標的,其中,電晶體包含以下配置中的至少一個:平面、非平面、雙閘極、鰭式、三閘極、鰭式場效電晶體(finFET)、奈米線、奈米帶、環繞式閘極、金屬氧化物半導體場效電晶體(MOSFET)及穿隧場效電晶體(TFET)。
範例18是一種計算系統,包含範例1至17中任一者之標的。
範例19是電晶體,包含:通道區域;在通道區域之上的閘極,閘極包含下部以及上部,其中下部比上部更接近通道區域;在閘極的每一側的間隔物;以及附加間隔物,各個附加間隔物在閘極的下部與間隔物之一者之間;其中,閘極的上部在間隔物之間寬於閘極的下部。
範例20包含範例19的標的,其中,閘極大致為T形。
範例21包含範例19至20中任一者的標的,其中,附加間隔物包含氣隙。
範例22包含範例19至21中任一者的標的,其中,附加間隔物包含介電材料。
範例23包含範例22的標的,其中,介電材料是低k 介電材料。
範例24包含範例19至23中任一者的標的,其中,附加間隔物的材料不同於間隔物的材料。
範例25包含範例19至24中任一者的標的,更包含在通道區域與閘極之間的閘極介電質。
範例26包含範例25的標的,其中,附加間隔物鄰近閘極介電質。
範例27包含範例19至26中任一者的標的,更包含在通道區域與閘極之間的功函數層。
範例28包含範例19至27中任一者的標的,其中,閘極具有垂直於間隔物之間的寬度的高度尺寸,並且其中,閘極的下部的高度大於閘極的上部的高度。
範例29包含範例19至27中任一者的標的,其中,閘極具有垂直於間隔物之間的寬度的高度尺寸,並且其中,閘極的上部的高度大於閘極的下部的高度。
範例30包含範例19至29中任一者的標的,其中,閘極的上部具有比閘極的下部的間隔物之間的最大寬度寬至少5nm的間隔物之間的最大寬度。
範例31包含範例19至30中任一者的標的,其中電晶體是p型電晶體及n型電晶體之一者。
範例32包含範例19至31中任一者的標的,其中,電晶體包含以下配置中的至少一個:平面、非平面、雙閘極、鰭式、三閘極、鰭式場效電晶體(finFET)、奈米線、奈米帶、環繞式閘極、金屬氧化物半導體場效電晶體 (MOSFET)及穿隧場效電晶體(TFET)。
範例33是一種計算系統,包含範例19至32中任一者之電晶體。
範例34是一種形成電晶體的方法,該方法包含:沉積介電襯裡材料在通道區域之上及間隔物之間;沉積閘極介電材料在介電襯裡材料之間;沉積閘極材料在閘極介電材料之上及介電襯裡材料之間;實施以下步驟之至少一者:凹陷介電襯裡材料以便形成襯裡凹部,且沉積附加閘極材料在襯裡凹部中;以及移除介電襯裡材料以便形成襯裡溝槽,且沉積附加閘極材料在溝槽的上部中。
範例35包含範例34的標的,進一步包含在沉積閘極介電材料之前移除介電襯裡材料的至少一部分。
範例36包含範例35的標的,其中,使用各向異性乾蝕刻製程來實施移除介電材料的至少一部分。
範例37包含範例34至36中任一者的標的,進一步包含形成功函數層在閘極介電材料之上且在介電襯裡材料之間。
範例38包含範例34至37中任一者的標的,其中,使用包含選擇性地移除介電襯墊材料的蝕刻劑的濕蝕刻來實施介電襯裡材料的凹陷及移除之至少一者。
範例39包含範例38的標的,其中選擇性地移除介電襯裡材料包含以比移除間隔物材料快至少三倍的速率移除介電襯裡材料。
範例40包含範例34至39中任一者的標的,其中, 介電襯裡材料是低k介電材料。
範例41包含範例34至40中任一者的標的,其中,沉積附加閘極材料在襯裡溝槽之上部中是使用有角度的沉積製程來實施。
範例42包含範例34至41中任一者的標的,其中,移除介電襯裡材料以便形成襯裡溝槽且沉積附加閘極材料在襯裡溝槽的上部中是實施以便形成在閘極材料的部分的每一側上的氣隙。
範例43包含範例34至42中任一者的標的,其中電晶體是p型電晶體及n型電晶體之一者。
範例44包含範例34至43中任一者的標的,其中,電晶體包含以下配置中的至少一個:平面、非平面、雙閘極、鰭式、三閘極、鰭式場效電晶體(finFET)、奈米線、奈米帶、環繞式閘極、金屬氧化物半導體場效電晶體(MOSFET)及穿隧場效電晶體(TFET)。
範例45是一種電晶體,包含:通道區域;在通道區域之上的閘極;在通道區域與閘極之間的閘極介電質;在閘極的每一側的間隔物;以及附加間隔物,其中,各個附加間隔物在閘極的至少一部分與間隔物之一者之間,並且其中,各個附加間隔物在閘極介電質的至少一部分與間隔物之間。
範例46包含範例45的標的,其中,附加間隔物包含氣隙與介電材料之至少一者。
範例47包含範例45至46中任一者的標的,其中, 附加間隔物的材料不同於間隔物的材料。
範例48包含範例45至47中任一者的標的,其中,各個附加間隔物在閘極與間隔物的僅一部分之間,使得附加間隔物不在閘極材料與間隔物的全部之間。
以上描述已經出於說明及描述的目的而呈現了範例實施例。其並不旨在窮盡或將本發明限制為所揭露的精確形式。根據本揭露,許多修改和變化是可能的。本發明的範圍不應受這些詳細的描述所限制,而是由所附的申請專利範圍所限制。往後提交的主張本案的優先權的申請可以以不同的方式主張所揭露的標的,並且通常可以包含如本文中各種揭露或以其他方式說明的一或多個限制的任何集合。
110‧‧‧基板
120‧‧‧間隔物
130‧‧‧源極/汲極區域
132‧‧‧源極/汲極接觸
140‧‧‧閘極介電質
150‧‧‧功函數層
162‧‧‧閘極主體
164‧‧‧附加閘極材料
180‧‧‧介電襯裡材料

Claims (25)

  1. 一種電晶體,包括:通道區域;在該通道區域之上的閘極,該閘極包含下部以及上部,其中該下部比該上部更接近該通道區域;在該閘極的每一側的間隔物;以及在該間隔物之一者與該閘極的該下部之間的附加間隔物。
  2. 根據申請專利範圍第1項之電晶體,其中,該閘極的該上部在該間隔物之間比該閘極的該下部更寬。
  3. 根據申請專利範圍第1項之電晶體,其中,該閘極大致為T形。
  4. 根據申請專利範圍第1項之電晶體,更包括在該間隔物之另一者與該閘極的該下部之間的附加間隔物。
  5. 根據申請專利範圍第1項之電晶體,其中,該附加間隔物包含氣隙。
  6. 根據申請專利範圍第1項之電晶體,其中,該附加間隔物包含介電材料。
  7. 根據申請專利範圍第6項之電晶體,其中,該介電材料是低k介電材料。
  8. 根據申請專利範圍第1項之電晶體,其中,該附加間隔物的材料不同於該間隔物的材料。
  9. 根據申請專利範圍第1項之電晶體,更包括在該通道區域與該閘極之間的閘極介電質。
  10. 根據申請專利範圍第9項之電晶體,其中該附加間隔物鄰近該閘極介電質。
  11. 根據申請專利範圍第1項之電晶體,更包括在該通道區域與該閘極之間的功函數層。
  12. 根據申請專利範圍第1項之電晶體,其中,該閘極具有垂直於該間隔物之間的寬度的高度尺寸,並且其中,該閘極的該下部的高度大於該閘極的該上部的高度。
  13. 根據申請專利範圍第1項之電晶體,其中,該閘極具有垂直於該間隔物之間的寬度的高度尺寸,並且其中,該閘極的該上部的高度大於該閘極的該下部的高度。
  14. 根據申請專利範圍第1項之電晶體,其中,該閘極的該上部具有比該閘極的該下部的該間隔物之間的最大寬度寬至少5%的該間隔物之間的最大寬度。
  15. 根據申請專利範圍第1項之電晶體,其中,該閘極的該上部具有比該閘極的該下部的該間隔物之間的最大寬度寬至少5奈米的該間隔物之間的最大寬度。
  16. 根據申請專利範圍第1項之電晶體,其中該電晶體是p型電晶體及n型電晶體之一者。
  17. 根據申請專利範圍第1項之電晶體,其中,該電晶體包含以下配置中的至少一個:平面、非平面、雙閘極、鰭式、三閘極、鰭式場效電晶體(finFET)、奈米線、奈米帶、環繞式閘極、金屬氧化物半導體場效電晶體(MOSFET)及穿隧場效電晶體(TFET)。
  18. 一種計算系統,包括申請專利範圍第1至17項中 任一項之電晶體。
  19. 一種電晶體,包括:通道區域;在該通道區域之上的閘極,該閘極包含下部以及上部,其中該下部比該上部更接近該通道區域;在該通道區域與該閘極之間的閘極介電質;在該閘極的每一側的間隔物;以及附加間隔物,其中,各個該附加間隔物在該閘極的該下部與該間隔物之一者之間,並且其中,各個該附加間隔物在該閘極介電質的至少一部分與該間隔物之間。
  20. 根據申請專利範圍第19項之電晶體,其中,該附加間隔物包含氣隙及介電材料之至少一者。
  21. 根據申請專利範圍第19項之電晶體,其中,該附加間隔物包含不同於該間隔物之材料的材料。
  22. 根據申請專利範圍第19至21項中任一項的電晶體,其中,各個該附加間隔物在該間隔物與該閘極的僅一部分之間,使得該附加間隔物不在該閘極材料與該間隔物的全部之間。
  23. 一種形成電晶體的方法,該方法包括:沉積介電襯裡材料在通道區域之上及間隔物之間;沉積閘極介電材料在該介電襯裡材料之間;沉積閘極材料在該閘極介電材料之上及該介電襯裡材料之間;實施以下至少一者: 凹陷該介電襯裡材料以形成襯裡凹部,且沉積附加閘極材料在該襯裡凹部中;以及移除該介電襯裡材料以形成襯裡溝槽,且沉積附加閘極材料在該溝槽的上部中。
  24. 根據申請專利範圍第23項之方法,其中,沉積附加閘極材料在該襯裡溝槽之上部中是使用有角度的沉積製程來實施。
  25. 根據申請專利範圍第23或24項之方法,其中,實施移除該介電襯裡材料以形成襯裡溝槽且實施沉積附加閘極材料在該襯裡溝槽的上部中以形成在該閘極材料的部分的每一側上的氣隙。
TW105138278A 2015-12-23 2016-11-22 具有雙閘極間隔物的電晶體 TWI733716B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/US2015/000170 WO2017111770A1 (en) 2015-12-23 2015-12-23 Transistor with dual-gate spacer
WOPCT/US15/00170 2015-12-23
??PCT/US15/00170 2015-12-23

Publications (2)

Publication Number Publication Date
TW201801319A TW201801319A (zh) 2018-01-01
TWI733716B true TWI733716B (zh) 2021-07-21

Family

ID=59090947

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105138278A TWI733716B (zh) 2015-12-23 2016-11-22 具有雙閘極間隔物的電晶體

Country Status (3)

Country Link
US (1) US10535747B2 (zh)
TW (1) TWI733716B (zh)
WO (1) WO2017111770A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10204999B2 (en) * 2015-07-17 2019-02-12 Intel Corporation Transistor with airgap spacer
US10574187B2 (en) * 2015-12-21 2020-02-25 Intel Corporation Envelope-tracking control techniques for highly-efficient RF power amplifiers
WO2017111770A1 (en) 2015-12-23 2017-06-29 Intel Corporation Transistor with dual-gate spacer
US11183592B2 (en) 2016-07-01 2021-11-23 Intel Corporation Field effect transistor with a hybrid gate spacer including a low-k dielectric material
US10276663B2 (en) 2016-07-18 2019-04-30 United Microelectronics Corp. Tunneling transistor and method of fabricating the same
CN110337715B (zh) 2016-12-23 2023-08-25 英特尔公司 高级光刻和自组装装置
US10490452B2 (en) * 2017-06-30 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a semiconductor device
CN109273524B (zh) * 2017-07-17 2021-10-15 中芯国际集成电路制造(上海)有限公司 隧穿场效应晶体管及其形成方法
US10134859B1 (en) 2017-11-09 2018-11-20 International Business Machines Corporation Transistor with asymmetric spacers
US10515809B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective high-K formation in gate-last process
DE102018116726A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive High-k-Bildung in einem Gate-Last-Prozess
DE102018107984B4 (de) * 2017-11-15 2023-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k-Gate-Abstandhalter und sein Bilden
CN109962014B (zh) * 2017-12-26 2022-10-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10211092B1 (en) 2018-01-28 2019-02-19 International Business Machines Corporation Transistor with robust air spacer
TWI806638B (zh) * 2018-02-22 2023-06-21 美商英特爾股份有限公司 先進微影及自聚合裝置
TWI766949B (zh) * 2018-02-22 2022-06-11 美商英特爾股份有限公司 先進微影及自聚合裝置
US10833165B2 (en) * 2018-04-30 2020-11-10 International Business Machines Corporation Asymmetric air spacer gate-controlled device with reduced parasitic capacitance
US10529823B2 (en) * 2018-05-29 2020-01-07 International Business Machines Corporation Method of manufacturing a semiconductor device having a metal gate with different lateral widths between spacers
US10249755B1 (en) * 2018-06-22 2019-04-02 International Business Machines Corporation Transistor with asymmetric source/drain overlap
US10236364B1 (en) 2018-06-22 2019-03-19 International Busines Machines Corporation Tunnel transistor
US10700180B2 (en) * 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10868162B1 (en) * 2018-08-31 2020-12-15 Hrl Laboratories, Llc Self-aligned gallium nitride FinFET and method of fabricating the same
US11011625B2 (en) * 2018-09-20 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Liner for a bi-layer gate helmet and the fabrication thereof
US10825721B2 (en) * 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US10879125B2 (en) 2018-12-27 2020-12-29 Nanya Technology Corporation FinFET structure and method of manufacturing the same
US11670637B2 (en) * 2019-02-19 2023-06-06 Intel Corporation Logic circuit with indium nitride quantum well
US10879379B2 (en) * 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11031485B2 (en) * 2019-06-04 2021-06-08 International Business Machines Corporation Transistor with airgap spacer
CN112151380B (zh) * 2019-06-28 2023-10-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102500552B1 (ko) * 2019-09-13 2023-02-17 주식회사 히타치하이테크 반도체 장치의 제조 방법 및 플라스마 처리 장치
US11139397B2 (en) * 2019-09-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal compound layers for semiconductor devices
US11705502B2 (en) * 2020-01-28 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for laterally etching gate spacers
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
US20220013655A1 (en) * 2020-07-10 2022-01-13 Changxin Memory Technologies, Inc. Semiconductor device and method for preparing same
CN113921386A (zh) * 2020-07-10 2022-01-11 长鑫存储技术有限公司 半导体器件及其制备方法
US11876117B2 (en) 2021-10-18 2024-01-16 International Business Machines Corporation Field effect transistor with reduced parasitic capacitance and resistance

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201349387A (zh) * 2012-05-31 2013-12-01 Sk Hynix Inc 具有氣隙之半導體裝置及其製造方法
US20140008713A1 (en) * 2012-07-06 2014-01-09 Globalfoundries Singapore Pte. Ltd. Method and apparatus for embedded nvm utilizing an rmg process
US20150145073A1 (en) * 2013-11-26 2015-05-28 Taiwan Semiconductor Manufacturing Company Limited Low-k dielectric sidewall spacer treatment

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3413823B2 (ja) * 1996-03-07 2003-06-09 日本電気株式会社 半導体装置及びその製造方法
US8329546B2 (en) * 2010-08-31 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Modified profile gate structure for semiconductor device and methods of forming thereof
KR101784324B1 (ko) * 2011-04-18 2017-11-06 삼성전자 주식회사 반도체 장치의 제조 방법
KR102154085B1 (ko) 2014-02-12 2020-09-09 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
WO2017111770A1 (en) 2015-12-23 2017-06-29 Intel Corporation Transistor with dual-gate spacer
US9716158B1 (en) * 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
US9786760B1 (en) * 2016-09-29 2017-10-10 International Business Machines Corporation Air gap and air spacer pinch off

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201349387A (zh) * 2012-05-31 2013-12-01 Sk Hynix Inc 具有氣隙之半導體裝置及其製造方法
US20140008713A1 (en) * 2012-07-06 2014-01-09 Globalfoundries Singapore Pte. Ltd. Method and apparatus for embedded nvm utilizing an rmg process
US20150145073A1 (en) * 2013-11-26 2015-05-28 Taiwan Semiconductor Manufacturing Company Limited Low-k dielectric sidewall spacer treatment

Also Published As

Publication number Publication date
US10535747B2 (en) 2020-01-14
WO2017111770A1 (en) 2017-06-29
TW201801319A (zh) 2018-01-01
US20180374927A1 (en) 2018-12-27

Similar Documents

Publication Publication Date Title
TWI733716B (zh) 具有雙閘極間隔物的電晶體
US10923574B2 (en) Transistor with inner-gate spacer
US11929396B2 (en) Cavity spacer for nanowire transistors
KR102603279B1 (ko) 양쪽 사이드들 상의 금속화가 있는 반도체 디바이스들에 대한 후면 콘택트 저항 감소
TWI748994B (zh) 用以降低電容及電阻之電晶體閘極溝槽工程
TWI723019B (zh) 在具有不同通道材料的相同晶粒上形成電晶體之技術
US11894262B2 (en) Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
US11538905B2 (en) Nanowire transistors employing carbon-based layers
US11569231B2 (en) Non-planar transistors with channel regions having varying widths
TWI770052B (zh) 包括採用雙電荷摻雜劑之源極/汲極的電晶體
EP3440706A1 (en) Transistor with thermal performance boost
US11004954B2 (en) Epitaxial buffer to reduce sub-channel leakage in MOS transistors
TWI758259B (zh) 能有超短通道長度的自對準電晶體結構
US11081570B2 (en) Transistors with lattice matched gate structure
US20240006499A1 (en) Gate spacer in stacked gate-all-around (gaa) device architecture
US20240113107A1 (en) Gate cut, with asymmetrical channel to gate cut spacing
US20240088134A1 (en) Targeted sub-fin etch depth
US20230197724A1 (en) Co-integration of high voltage (hv) and low voltage (lv) transistor structures, using channel height and spacing modulation
US20230395718A1 (en) 3d source and drain contacts tuned for vertically stacked pmos and nmos