TWI720058B - 用以提供有序的多孔性之方法及設備 - Google Patents

用以提供有序的多孔性之方法及設備 Download PDF

Info

Publication number
TWI720058B
TWI720058B TW105137103A TW105137103A TWI720058B TW I720058 B TWI720058 B TW I720058B TW 105137103 A TW105137103 A TW 105137103A TW 105137103 A TW105137103 A TW 105137103A TW I720058 B TWI720058 B TW I720058B
Authority
TW
Taiwan
Prior art keywords
layer
insulating layer
dsa
openings
deposited
Prior art date
Application number
TW105137103A
Other languages
English (en)
Other versions
TW201731059A (zh
Inventor
大衛 米恰雷克
韓應諾
羅伯特 布里斯托
肯瓦爾 辛格
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201731059A publication Critical patent/TW201731059A/zh
Application granted granted Critical
Publication of TWI720058B publication Critical patent/TWI720058B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層。DSA層包含沉積在絕緣層上的一或多個第一結構。使用DSA層作為遮罩形成絕緣層中的一或多個開口以為絕緣材料提供多孔性。一或多個開口對一或多個導電特徵自對準。

Description

用以提供有序的多孔性之方法及設備
如本文所述的實施例涉及電子裝置製造的領域,且具體來說涉及積體電路製造。
通常,積體電路(IC)是指一組電子裝置,例如,形成在半導體材料(通常為矽)之小晶片上的電晶體。通常,結合到IC中的互連結構包括一或多層的金屬線,以將IC的電子裝置彼此連接和連接至外部連接。層間電介質放置在IC的金屬層之間用於絕緣。通常,互連結構的效率取決於每個金屬線的電阻和在金屬線之間產生的耦合電容。
隨著IC尺寸減小,金屬線之間的間隔減小。小結構的積體更易於使用具有更高介電常數的強電介質,這增加了金屬線之間的耦合電容。金屬線之間之耦合電容的增加對沿著金屬線的信號傳輸具有負面影響。此外,耦合電容的增加增加了積體電路的能量消耗。
減小相鄰金屬線之間之電容耦合的一種傳統 技術涉及用較低k電介質材料來替換分離金屬線的較高k電介質材料。
100‧‧‧側視圖
900‧‧‧三維視圖
101‧‧‧基板
102‧‧‧絕緣層
103‧‧‧特徵
104‧‧‧特徵
110‧‧‧間距
131‧‧‧通孔
200‧‧‧視圖
105‧‧‧刷層
201‧‧‧部分
202‧‧‧部分
300‧‧‧視圖
106‧‧‧DSA層
107‧‧‧元件方塊
111‧‧‧元件方塊
108‧‧‧部分
109‧‧‧部分
112‧‧‧結構
113‧‧‧結構
301‧‧‧垂直軸
302‧‧‧寬度
303‧‧‧寬度
400‧‧‧視圖
114‧‧‧開口
115‧‧‧開口
401‧‧‧寬度
402‧‧‧寬度
410‧‧‧視圖
411‧‧‧開口
500‧‧‧視圖
1300‧‧‧三維視圖
1303‧‧‧部分
1400‧‧‧上視圖
116‧‧‧孔
117‧‧‧孔
1301‧‧‧孔
1302‧‧‧孔
503‧‧‧部分
504‧‧‧部分
501‧‧‧寬度
502‧‧‧寬度
600‧‧‧視圖
118‧‧‧覆蓋層
119‧‧‧絕緣層
121‧‧‧圖案化硬遮罩層
601‧‧‧深度
700‧‧‧視圖
122‧‧‧開口
701‧‧‧溝槽區域
702‧‧‧通孔區域
800‧‧‧視圖
123‧‧‧導電層
1000‧‧‧三維視圖
1100‧‧‧三維視圖
1101‧‧‧間距
1200‧‧‧三維視圖
1304‧‧‧間距
1306‧‧‧水平軸
1307‧‧‧部分
1308‧‧‧部分
1501‧‧‧深度
1600‧‧‧影像
1601‧‧‧金屬線
1602‧‧‧金屬線
1603‧‧‧金屬線
1605‧‧‧ILD層
1604‧‧‧開口
1606‧‧‧開口
1607‧‧‧開口
1700‧‧‧視圖
1701‧‧‧圖
1702‧‧‧曲線
1703‧‧‧曲線
1704‧‧‧資料
1705‧‧‧資料
1706‧‧‧資料
1800‧‧‧視圖
1801‧‧‧曲線
1900‧‧‧中介層
1902‧‧‧第一基板
1904‧‧‧第二基板
1906‧‧‧球柵陣列
1908‧‧‧金屬互連
1910‧‧‧通孔
1912‧‧‧穿矽通孔
1914‧‧‧嵌入式裝置
2000‧‧‧計算裝置
2002‧‧‧積體電路晶粒
2004‧‧‧處理器
2006‧‧‧晶粒上記憶體
2008‧‧‧通訊晶片
2010‧‧‧揮發性記憶體
2012‧‧‧非揮發性記憶體
2014‧‧‧圖形處理單元
2016‧‧‧數位信號處理器
2042‧‧‧密碼處理器
2020‧‧‧晶片組
2022‧‧‧天線
2024‧‧‧觸控螢幕顯示器
2026‧‧‧觸控螢幕顯示器控制器
2029‧‧‧電池
2028‧‧‧全球定位系統裝置
2032‧‧‧感測器
2034‧‧‧揚聲器
2036‧‧‧照相機
2038‧‧‧使用者輸入裝置
2040‧‧‧大容量儲存裝置
藉由參考用以說明本發明之實施例的以下描述和附圖可能最好地理解本發明的實施例。在附圖中:第1圖顯示根據一實施例之電子裝置之一部分的側視圖。
第2圖是根據一實施例之在使用刷層修改絕緣層上的一或多個特徵之頂表面之後類似於第1圖的視圖。
第3圖是根據一實施例之在絕緣層上的特徵上沉積DSA層之後類似於第2圖的視圖。
第4A圖是根據一實施例之在DSA層之第二元件的結構被選擇性地移除以形成開口以暴露絕緣層之一些頂部之後類似於第3圖的視圖。
第4B圖是根據一實施例之在移除DSA層之第一元件的部分以增加DSA層中之開口的寬度之後類似於第4B圖的視圖。
第5圖是根據一實施例之在使用DSA層作為遮罩在絕緣層中形成開口之後類似於第4A或4B圖之其一者的視圖。
第6圖是根據一實施例之在覆蓋層上之絕緣層上的圖案化硬遮罩層沉積在絕緣層中的導電特徵上之後 類似於第5圖的視圖。
第7圖是根據一實施例之在移除絕緣層的暴露部分以形成開口之後類似於第6圖的視圖。
第8圖是根據一實施例之在導電層沉積至開口中之後類似於第7圖的視圖。
第9圖是根據一實施例之第1圖所示之電子裝置之部分的三維視圖。
第10圖是根據一實施例之第2圖所示之電子裝置之部分的三維視圖。
第11圖是根據一實施例之第3圖所示之電子裝置之部分的三維視圖。
第12圖是根據一實施例之第4A圖所示之電子裝置之部分的三維視圖。
第13圖是根據一實施例之第5圖所示之電子裝置之部分的三維視圖。
第14圖是根據一實施例之第13圖所示之電子裝置之部分的上視圖。
第15圖是根據一實施例之沿部分的線A-A’的橫截面圖。
第16圖是根據一實施例之顯示在絕緣層上之自對準DSA遮罩之自頂向下掃描電子顯微鏡(SEM)影像的視圖。
第17圖是顯示根據一實施例之楊氏模量對於各種ILD膜之多孔性之圖的視圖。
第18圖是顯示根據一實施例之多孔性對孔半徑與孔間距離之比率的視圖。
第19圖繪示包括本發明之一或多個實施例的中介層。
第20圖繪示根據本發明之一實施例的計算裝置。
【發明內容及實施方式】
說明用以為積體電路製造提供有序的多孔性之方法及設備。在一實施例中,在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層。DSA層包含沉積在絕緣層上的一或多個第一結構。使用DSA層作為遮罩形成絕緣層中的一或多個開口以為絕緣材料提供多孔性。一或多個開口對一或多個導電特徵自對準。
通常,為了使電介質材料能夠縮小到低於2.0的低k值,電介質材料需要具有大於40%的多孔性。製造多孔電介質材料的傳統技術包括將背骨前體與選擇性地燒蝕或蝕刻出基質的致孔劑材料隨機混合。
然而,在高負載體積的致孔劑材料下,不再有背骨前體分子的連續互連網路,使得在燒蝕或蝕刻出致孔劑材料時,電介質材料崩潰。
通常,致孔劑的增加負載導致50百分率(%)-60%的最大可實現多孔性。在最大多孔性或接近最大多孔性時,電介質材料的機械強度變得太低,以至於不 能承受用於線加工和組裝之後端的典型加工條件。
在一實施例中,增加層間電介質(ILD)材料的多孔性涉及使用DSA材料作為蝕刻遮罩來蝕刻ILD材料,以在與當前金屬化架構相容的金屬線之間形成ILD材料中的孔。形成在金屬線之間的每個孔具有沿著垂直軸延伸的形狀。在一實施例中,使用DSA材料作為遮罩在ILD中形成的垂直孔對沿著水平軸延伸的金屬線自對準。在層金屬化完成之後,在ILD中形成垂直孔。在ILD層中形成沿著水平軸對金屬線自對準的垂直孔增加了ILD材料的多孔性,同時最大化沿著垂直軸之ILD材料的機械強度。
在一實施例中,DSA遮罩保護金屬層、阻擋層和附近ILD材料層的後化學機械拋光(CMP)頂表面。DSA遮罩的實施例可能提供三點保護,因為DSA遮罩可能防止在ILD蝕刻期間之金屬特徵、阻擋層和ILD的退化。在一實施例中,控制使用DSA材料作為遮罩蝕刻ILD層中之孔的蝕刻,使得在蝕刻之後小體積的ILD材料保留在阻擋層側壁上。
由於在層的金屬化完成之後蝕刻ILD層中的孔,因此可用基本上比多孔ILD更強的無孔ILD進行金屬圖案化。由於金屬線之間之ILD的一些部分被移除,所以金屬線之間的電容減小。在形成垂直孔之後,ILD的一些部分保留在金屬線之間。金屬線之間之ILD的剩餘部分提供額外的機械強度,與傳統氣隙或多孔ILD技術相比, 其增加剪切模量和防止通孔疲勞。
使用如本文所述之DSA層形成有序垂直孔之方法和設備的一些實施例可能避免對於遮罩插塞區域的需要,與其中插塞區域被遮罩的技術相比,例如一些氣隙技術,這可降低製造成本。傳統的氣隙技術將需要移除金屬線之間的所有ILD材料。與傳統技術相比,ILD之移除部分的尺寸被限制為由DSA材料決定的尺寸,並不需要對應於ILD之部分的尺寸,使得僅移除在金屬線之間的一部分ILD材料。引入高度多孔膜的結構順序不僅允許多孔性的延伸超出50%-60%,而且與具有未結構化孔形狀的傳統材料相比,所得到的機械性質顯著更高。在一實施例中,在金屬線之間的ILD層中形成有序的孔結構。在一實施例中,形成在ILD層中的每個孔結構具有圓柱形狀,並沿垂直軸朝向基板延伸,如在下面進一步詳細描述。
垂直圓柱多孔性安排可能沿垂直軸(層對層)遞送機械剛度,同時沿著橫(金屬線對金屬線)軸也有益處。因為當前的製造方法導致沿著垂直軸的最大機械應力(例如,在隨後的化學機械拋光(CMP)、晶粒/封裝組裝、及熱變化期間引起的應力),在金屬線之間的ILD層中形成有序的垂直取向的孔可能在一些實施例中對於給定的多孔性在垂直方向上提供機械剛度的益處。在一實施例中,與傳統技術相比,在金屬線之間之ILD的中間自對準的垂直取向的圓柱形孔允許甚至更大的多孔性值。在一實施例中,在金屬線之間之ILD的中間自對準的垂直 取向的圓柱形孔提供了將ILD壁保持在金屬線之右側不變的選擇,如下面進一步詳細描述的。
在下面的描述中,將使用本領域之技藝者通常採用的術語來描述說明性實作的各種態樣,以向本領域的其他技藝者傳達他們的工作的實質。然而,對本領域之技藝者顯而易見的是,本發明可能僅利用所述態樣之一些者來實踐。為了說明的目的,闡述了具體的數字、材料和配置,以便提供對說明性實作的透徹理解。然而,對本領域之技藝者顯而易見的是,本發明可能在沒有具體細節的情況下實施。在其他實例中,省略或簡化熟知的特徵以免模糊說明性實作。
各種操作將以最有助於理解本發明的方式依次被描述為多個離散操作;然而,描述的順序不應被解釋為暗示這些操作必須依賴於順序。具體地,這些操作不需要按照呈現的順序來進行。
雖然在附圖中描述和顯示某些示範實施例,但是應當理解,這些實施例僅僅是說明性的而不是限制性的,且實施例不限於所示和所述的具體結構和佈置,因為本領域之通常技藝者可能進行修改。
在整個說明書中對「一實施例」、「另一實施例」或「實施例」的引用意味著結合實施例所述的特定特徵、結構、或特性包括在至少一實施例中。因此,在整個說明書之各個地方中之如「一實施例」和「實施例」之詞的出現不一定都指相同的實施例。再者,特定特徵、結 構、或特性可能在一或多個實施例中以任何適當的方式組合。
此外,本發明態樣在於少於單一揭露之實施例的所有特徵。因此,遵循詳細說明的申請專利範圍於此被明確地併入詳細說明中,其中每個申請專利範圍自身作為單獨的實施例。儘管本文已描述示範實施例,但是本領域之技藝者將認識到可利用如本文所述的修改和改變來實踐這些示範實施例。因此,描述被認為是說明性的而不是限制性的。
第1圖顯示根據一實施例之電子裝置之一部分的側視圖100。第9圖是根據一實施例之第1圖所示之電子裝置之部分的三維視圖900。在基板101上之絕緣層102上形成複數個特徵,例如特徵103和特徵104。
在實施例中,基板101包含半導體材料,例如矽(Si)。在一實施例中,基板101是單晶Si基板。在另一實施例中,基板101是多晶矽基板。在另一實施例中,基板101表示先前的互連層。在又一實施例中,基板101是非晶矽基板。在替代實施例中,基板101包括矽、鍺(「Ge」)、矽鍺(「SiGe」)、III-V材料基材料,例如砷化鎵(「GaAs」)、或其任何組合。在一實施例中,基板101包括用於積體電路的金屬化互連層。在至少一些實施例中,基板101包括電子裝置,例如電晶體、記憶體、電容器、電阻器、光電裝置、開關、及由電性絕緣層分離的任何其它主動和被動電子裝置,例如層間電介 質、溝槽絕緣層、或電子裝置製造領域之通常技藝者已知的任何其它絕緣層。在至少一些實施例中,基板101包括配置以連接金屬化層的互連,例如通孔。
在實施例中,基板101是包括體下部基板、中間絕緣層、和頂部單晶層的絕緣體上半導體(SOI)基板。頂部單晶層可能包含上面列出的任何材料,例如矽。
在各種實作中,基板可以是例如有機、陶瓷、玻璃、或半導體基板。在一實作中,半導體基板可能是使用體矽或絕緣體上矽結構形成的結晶基板。在其他實作中,半導體基板可能使用可能或可能不與矽結合的替代材料形成,其包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、銻化鎵、或III-V族或IV族材料的其它組合。雖然這裡描述可能形成基板之材料的幾個實例,但是可能用作可能構建被動和主動電子裝置(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電裝置、或任何其它電子裝置)之基礎的任何材料落在本發明的精神和範圍內。
在一實施例中,絕緣層102是層間電介質(ILD)層。在一實施例中,絕緣層102是無孔絕緣層。在另一實施例中,絕緣層102具有小於10%的多孔性。在一實施例中,絕緣層102是具有大於3.9之k值的固體高k電介質。在一實施例中,絕緣層102是具有小於或等於3.9之k值的固體低k電介質層。在一實施例中,絕緣層102是氧化物層,例如氧化矽層、二氧化矽、碳摻雜氧化 物(「CDO」)、或其任何組合。在另一實施例中,絕緣層102是氮化物層,例如氮化矽層。在替代實施例中,絕緣層102包括氮化物、氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(「SiOF」)玻璃、有機矽酸鹽玻璃(「SiOCH」)、其它金屬氧化物、或其任何組合。在替代實施例中,絕緣層102是氧化鋁、氮氧化矽、其它金屬氧化物/氮化物層、其任何組合、或由電子裝置設計決定的其它電性絕緣層。
在一實施例中,絕緣層102的厚度由設計決定。在一實施例中,絕緣層102沉積至從大約20奈米(nm)到大約2微米(μm)的厚度。在實施例中,使用諸如但不限於化學氣相沉積(「CVD」)(例如等離子體增強化學氣相沉積(「PECVD」))、物理氣相沉積(「PVD」)、分子束外延(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋塗、或微電子裝置製造領域之通常技藝者已知的其它沉積技術之其中一個沉積技術在基板101上沉積絕緣層102。
如第1和9圖所示,特徵103和104形成在絕緣層102中。在一實施例中,特徵103和104是導電特徵。在替代實施例中,導電特徵是導電線、連接至101層中之元件的導電通孔、溝槽、或其任何組合。在一實施例中,導電特徵之至少一者(例如導電特徵103)包含連接至基板101的通孔131。在一實施例中,導電特徵(間 距)之間的中心到中心距離小於約100nm。在一實施例中,導電特徵之間的間距110為約10奈米(nm)至約80nm。在更具體的實施例中,間距110為約20nm至約50nm。在一實施例中,導電特徵是互連層的一部分。
在一實施例中,使用微電子裝置製造領域之通常技藝者已知的其中一個導電特徵形成技術來形成導電特徵。在一實施例中,使用微電子裝置製造領域之通常技藝者已知的圖案化和蝕刻技術來圖案化和蝕刻絕緣層102以形成開口(例如,溝槽、或其它開口)。沉積一或多個導電層,例如基底層上的導電層,以填充絕緣層102中的開口。其中一個化學機械拋光(CMP)技術用以移除一或多個導電層延伸在絕緣層102之頂部上方的部分。沉積在絕緣層102中之開口內的一或多個導電層的部分不被移除並變成圖案化導電特徵,例如導電特徵103和104。在一實施例中,導電特徵的寬度小於約40nm。在一實施例中,導電特徵的寬度在大約5nm至大約40nm的範圍內。在一實施例中,導電特徵的高度小於約65nm。在一實施例中,導電特徵的厚度在8nm至65nm的近似範圍內。
在一實施例中,基底層包括沉積在導電阻擋層、一或多個襯墊層、或兩者上的導電種子層。在一實施例中,種子層包括銅(Cu)。在另一實施例中,種子層包括鎢(W)。在替代實施例中,種子層是銅、氮化鈦、釕、鎳、鈷、鎢、或其任何組合。在更具體的實施例中,種子層是銅。在一實施例中,導電阻擋層包括鋁、鈦、氮 化鈦、鉭、氮化鉭、鎢、鈷、釕、其它金屬、或其任何組合。通常,導電阻擋層用以防止導電材料從種子層擴散到絕緣層102中,以提供對種子層的黏附,或兩者。在一實施例中,基底層包含沉積在絕緣層102中之開口的側壁和底部上之阻擋層上的種子層。在另一實施例中,基底層包括直接沉積在絕緣層102中之開口之側壁和底部上的種子層。導電阻擋層和種子層之各者可能使用半導體製造領域之通常技藝者已知的任何薄膜沉積技術來沉積,例如藉由濺射、覆蓋沉積等。在一實施例中,導電阻擋層和種子層之各者具有在大約0.5奈米(nm)至100nm之範圍內的厚度。在一實施例中,阻擋層可能是已經蝕刻以建立對下面之金屬層的導電性的薄電介質。在一實施例中,可能完全省略阻擋層,並可能使用銅線的適當摻雜來形成「自形成阻擋層」。
在一實施例中,藉由電鍍程序將銅的導電層沉積到銅的種子層上。在另一實施例中,使用半導體製造領域之通常技藝者已知的其中一個選擇性沉積技術,例如電鍍、化學鍍、或類似技術,將導電層沉積到種子層上。在一實施例中,用於導電層之材料的選擇決定種子層之材料的選擇。例如,若用於導電層的材料包括銅,則用於種子層的材料也包括銅。在一實施例中,導電層包括例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀 (Pd)、金(Au)、鉑(Pt)、矽(Si)或其任何組合。
在替代實施例中,可能用於導電層以形成導電特徵的導電材料之實例包括但不限於金屬(例如銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛)、金屬合金、金屬碳化物(例如碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁)、其他導電材料、或其任何組合。
在一實施例中,藉由移除絕緣層102中之開口之外之導電層和基底層的部分來形成導電特徵。可能化學地(例如使用蝕刻)、機械地(例如使用拋光)、或藉由其組合技術(例如使用微電子裝置製造領域之通常技藝者已知的化學機械拋光(「CMP」)技術)來移除導電層的部分。
在另一實施例中,特徵103和104包含已經沉積在絕緣層102中之溝槽中代替導電材料的犧牲材料(例如,可填充碳硬遮罩、氧化物、氮化物、或如氮化鈦或鎢的犧牲金屬),以避免在稍後程序中損壞導電材料。在替代實施例中,使用其中一個犧牲層沉積技術(例如,濺射、覆蓋沉積、旋塗、或電子裝置製造領域之通常技藝者已知的其它沉積技術)來沉積犧牲層以填充絕緣層102中的開口。在一實施例中,使用其中一個化學機械拋光(CMP)技術來移除犧牲層在絕緣層102之頂部上方延伸的部分。
第2圖是根據一實施例之在使用刷層105修改絕緣層102上的一或多個特徵之頂表面之後類似於第1 圖的視圖200。第10圖是根據一實施例之第2圖所示之電子裝置之部分的三維視圖1000。在一些實施例中,刷層包含在一些程序條件下結合到導體並不結合到絕緣層的材料。在一些實施例中,刷層包含當在大於室溫的溫度下退火時化學結合到導體並不結合到絕緣層的材料。如第2和10圖所示,刷層105的部分(例如部分201和部分202)沉積在特徵(例如特徵103和104)的頂表面上。刷層105不沉積在絕緣層102的頂表面上。在一實施例中,刷層105的材料是DSA材料。在一實施例中,刷層105包含耦接至尾部元件之終端元件。在一非限制性示範實施例中,刷層105的終端元件是硫醇、膦酸酯、酸、或其任何組合。在一非限制性示範實施例中,刷層105的終端元件是硫醇(-SH)、膦酸(-PO3R2,其中R=H、CH3、C2H5等)、羥基(-OH)、羧基(-COOH)基團、醛(-COH)、其任何衍生物、或其任何組合。在一實施例中,刷層105的尾部元件對應於在程序中稍後沉積在導電特徵上之DSA材料的元件。在一實施例中,刷層105的尾部元件是聚甲基丙烯酸甲酯(PMMA)材料。在一實施例中,刷層105的尾部元件是聚苯乙烯(PS)材料。在其他實施例中,使用其它材料作為刷層的終端和尾部元件。在一實施例中,刷層105的厚度為約0.5nm至約5nm。
在一實施例中,使用其中一個旋塗技術將包括終端元件和尾部元件之刷層105的液體溶液沉積在導電 特徵和絕緣層102的頂表面上。在沉積之後,刷層105在大於室溫的溫度下烘烤以化學地結合到導電特徵。在一實施例中,刷層105在大約攝氏60度到大約攝氏200度之範圍內的溫度下烘烤。在一實施例中,刷層105藉由終端元件結合到特徵103和104。刷層105不與絕緣層102化學地結合。刷層105之在特徵外部的部分使用一或多種沖洗技術移除。在替代實施例中,使用許多沉積技術之其一者沉積刷層105,諸如但不限於化學氣相沉積(「CVD」)(例如等離子體增強化學氣相沉積(「PECVD」))、物理氣相沉積(「PVD」)、分子束外延(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或電子裝置製造領域之通常技藝者已知的其它沉積技術。
第3圖是根據一實施例之在絕緣層102上的特徵上沉積DSA層106之後類似於第2圖的視圖300。第11圖是根據一實施例之第3圖所示之電子裝置之部分的三維視圖1100。在一實施例中,DSA層106包含聚合物鏈。在一實施方案中,DSA層106是二嵌段共聚物。如第3和10圖所示,DSA層106包含第一元件(例如,元件方塊107)和第二元件(例如,元件方塊111)。在一實施例中,第一元件是PMMA,且第二元件是PS。在另一實施例中,第一元件是PS,且第二元件是PMMA。在其它實施例中,其它材料用作DSA層的第一和第二元件。
如第3和11圖所示,第一元件的一些部分 (例如部分108)沉積在如特徵103和104上之刷層105之部分201的部分上。第一元件的一些部分(例如部分109)沉積在特徵103和104外部之絕緣層102的一些部分上。第二元件111的部分沉積在特徵103和104外部之絕緣層102的一些其它部分上。第二元件111的部分包含結構,例如結構112和結構113。在一實施例中,結構是沿垂直軸301從DSA層106的頂表面朝向基板101延伸的垂直圓柱體。在一實施例中,沿著垂直軸301延伸的結構112和113之各者具有在平行於DSA層106之頂表面之平面中的預定形狀(例如,圓形、橢圓形、卵形、三角形、矩形、六邊形、或其它形狀)。如第3圖所示,結構112和113之各者具有基本平行的相對側壁。如第3圖所示,在結構113之頂部處的寬度302基本上類似於在結構113之底部處的寬度303。在一非限制性實例中,結構113的寬度小於約100nm。在一非限制性實例中,結構113的寬度在約5nm至約50nm的近似範圍內。在更具體的非限制性實例中,結構113的寬度為約10nm至約30nm。
在一實施例中,DSA層106在大於室溫的溫度下烘烤,以將第二元件111自組織成諸如結構112和113的結構,使得第二元件111的結構僅僅在導電特徵外之絕緣層102的部分上。在一實施例中,DSA層106在大約100℃至大約250℃的溫度範圍內烘烤。
在一實施例中,刷層105的尾部元件與DSA 層106的第一元件具有相同的材料。也就是說,藉由DSA刷層105修改導電特徵的頂表面迫使DSA層106的第二元件之結構在特徵103和104之間自對準。
在一實施例中,調整第一元件、第二元件、或其任何組合的體積分數以提供預定結構,以在導電特徵之間的絕緣層102中形成自對準有序孔。在一實施例中,DSA層106包含20%至約40%體積的第二元件和約60%至約80%體積的第一元件。在更具體的實施例中,DSA層106包括約30%體積的第二元件和約70%體積的第一元件。
在一實施例中,DSA層106之第二元件111的相鄰結構之間的間距1101被調整為對應於下面特徵103和104的間距110。在一實施例中,DSA層結構圖案的間距大於下面特徵103和104的間距除以約2除以3的平方根(例如,2×(3)-0.5
Figure 105137103-A0202-12-0017-22
1.15)。在一實施例中,間距1101是DSA材料之第一元件分子和第二元件分子之總長度的函數。在一實施例中,基於下面導電圖案的間距來調整DSA材料的第一元件和第二元件分子的總長度。在一實施例中,調整DSA材料的第二元件和第一元件之至少一者的分子量以確保DSA層之第二元件的結構在導電特徵之間之絕緣層102的部分上產生。
在一實施例中,DSA層106的厚度使得DSA層之第二元件之結構之間的間距對應於絕緣層102上之導電特徵之間的間距。在一實施例中,DSA層足夠薄,使 得DSA層之第二元件的基本上所有結構沿著垂直軸301延伸。在一實施例中,DSA層106的厚度為約10nm至約80nm。在更具體的實施例中,DSA層106的厚度為約30nm至約50nm。
在一實施例中,將包含DSA材料的液體溶液旋塗到絕緣層102的頂部上和刷層105上。在替代實施例中,使用其它沉積技術沉積DSA層106,諸如但不限於化學氣相沉積(「CVD」)(例如等離子體增強化學氣相沉積(「PECVD」))、物理氣相沉積(「PVD」)、分子束外延(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或電子裝置製造領域之通常技藝者已知的其它沉積技術。
第4A圖是根據一實施例之在DSA層106之第二元件的結構被選擇性地移除以形成開口114和115以暴露絕緣層102之一些頂部之後類似於第3圖的視圖400。第12圖是根據一實施例之第4A圖所示之電子裝置之部分的三維視圖1200。
在一實施例中,DSA層106的第二元件111對DSA層106的第一元件107具有相當高的蝕刻選擇性。在一實施例中,第二元件111的蝕刻率與第一元件107的蝕刻率之間的比率為至少8:1。如第4A和12圖所示,DSA層106之第一元件的部分保留在特徵103和104上以及絕緣層102的一些其它頂部上。如第4A和13圖所示,開口114和115沿著垂直軸301延伸穿過DSA層106 的厚度。在一實施例中,開口114和115的形狀類似於結構112和113的形狀。在一實施例中,沿著垂直軸線301延伸的每個開口114和115具有圓柱形狀。在一實施例中,沿著垂直軸301延伸的每個開口114和115在平行於DSA層106之頂表面的平面中具有預定形狀(例如,圓形、橢圓形、卵形、三角形、矩形、六邊形、或任何其它形狀)。如第4A圖所示,開口114和115之各者具有基本上平行的相對側壁。如第4A和12圖所示,開口115之頂部處的寬度401基本上類似於開口115之底部處的寬度402。在一實施例中,開口114和115之各者的寬度是從約5nm至約50nm。
在一實施例中,使用電子裝置製造領域之通常技藝者已知的濕蝕刻、乾蝕刻、或其組合之一或多者來選擇性地移除DSA層106之第二元件的結構。在一實施例中,在選擇性地移除DSA層106之第二元件的結構之後,DSA層106在大於室溫的溫度下固化。
在一實施例中,DSA層106之第二元件是PMMA材料,且DSA層106的第一元件是PS材料。在一實施例中,使用深紫外(DUV)曝光(以切割PMMA),接著選擇性濕蝕刻(例如,使用乙酸、異丙醇、或其它濕溶液)來選擇性地移除DSA層106的PMMA結構。DSA層的剩餘PS元件用作蝕刻遮罩以蝕刻到ILD層102中。
第4B圖是根據一實施例之在移除DSA層106之第一元件的部分以增加DSA層106中之開口的寬度之 後類似於第4B圖的視圖410。如第4B圖所示,開口411比開口115寬。開口415比開口114寬。使用例如灰化技術、或濕蝕刻技術各向同性地蝕刻DSA層106的第一元件,以擴寬DSA層106中的開口。在一實施例中,使用基於氫的灰化技術蝕刻DSA層106的第一元件。在另一實施例中,使用基於氧的灰化技術蝕刻DSA層106的第一元件。
如第4B圖所示,每個開口411和415具有基本平行的相對側壁。如第4B圖所示,開口411之頂部處的寬度基本上類似於開口411之底部處的寬度。在一實施例中,開口411和415之各者的寬度為約5nm至約50。在一些實施例中,開口411和415具有朝向底部的錐形,如下面進一步詳細描述的。
第5圖是根據一實施例之在使用DSA層作為遮罩並接著使用灰化、乾蝕刻、或濕化學蝕刻移除DSA遮罩在絕緣層102中形成開口之後類似於第4A或4B圖之其一者的視圖500。第13圖是根據一實施例之第5圖所示之電子裝置之部分的三維視圖1300。第14圖是根據一實施例之第13圖所示之電子裝置之部分1303的上視圖1400。第15圖是根據一實施例之沿部分1303的線A-A’的橫截面圖。
如第5、13、14及15圖所示,在導電特徵外之絕緣層102中形成複數個開口(孔),例如孔116和117、1301和1302。如第5、13及15圖所示,在導電特 徵103和104之間的絕緣層102中形成孔。在特徵103和104下面的絕緣層102中不形成孔。孔被剩餘絕緣材料102的小部分(例如,部分503和504)與導電特徵分離。在一實施例中,剩餘絕緣材料102之部分的尺寸在約0.1nm至約20nm的範圍內。在更具體的實施例中,剩餘絕緣材料102之部分的尺寸在約1nm至約5nm的範圍內。在一實施例中,在平行於導電特徵的方向上,孔116、117、1301、和1302藉由剩餘絕緣材料102的部分(例如,部分1307和1308)彼此分離,如第13、14及15圖所示。移除DSA層106的第一元件及刷層201和202以暴露出導電特徵外之絕緣層102的特徵(例如,特徵103和104)和部分。
如第5、13及15圖所示,絕緣層102中的每個孔在與絕緣層102之頂表面平行的平面中具有預定形狀(例如,圓形、橢圓形、卵形、三角形、矩形、六邊形、或其他形狀)並沿著垂直軸301延伸穿過絕緣層102之厚度的預定深度。第14及15圖繪示用於絕緣層102之有序多孔性的實例,絕緣層102呈與金屬線自對準之垂直柱體的形狀。在一實施例中,絕緣層102中的孔之形狀類似於DSA層中之開口114和115的形狀。在另一實施例中,孔116和117的形狀類似於開口415和411的形狀。在一實施例中,絕緣層102中的孔具有沿著絕緣層之厚度(例如,沿著垂直軸301)的細長形狀。在一實施例中,蝕刻程序在孔的底部產生一些錐體。在一實施例中,絕緣層 102中的孔具有略微圓錐形的底部形狀。在至少一些實施例中,細長孔的寬度隨深度而變化,使得孔之頂部處的寬度不同於孔之底部處的寬度。在一實施例中,孔之頂部處的寬度大於孔之底部處的寬度。在另一實施例中,孔之頂部處的寬度小於孔之底部處的寬度。在一實施例中,絕緣層102中的每個孔具有類似於垂直定向圓柱體的形狀。在一實施例中,絕緣層102中的每個開口具有基本平行的相對側壁。如第5圖所示,開口117之頂部處的寬度501基本上類似於開口117之底部處的寬度502。在一實施例中,絕緣層102中之每個開口的寬度為從約5nm至約50nm。
如第13圖所示,絕緣層102中的開口(例如,開口117和開口1302)對導電特徵103和104自對準,導電特徵103和104沿平行於絕緣層102之頂表面的平面中的水平軸1306延伸。
在一實施例中,基於特徵103和104之間的間距110來調整絕緣層中之開口1301和1302之間的間距1304。在一實施例中,開口層的間距1304大於特徵的間距110除以約2除以3的平方根(例如,2×(3)-0.5
Figure 105137103-A0305-02-0024-5
1.15)。如第15和13圖所示,絕緣層102中之開口的深度(例如深度1501)類似於導電特徵的厚度。在另一實施例中,絕緣層102中之開口的深度大於導電特徵的厚度。在又一實施例中,絕緣層102中之開口的深度小於導電特徵的厚度。
在一實施例中,使用乾蝕刻技術(例如,等離子體蝕刻技術或其它乾蝕刻技術)通過特徵103和104之間之DSA層106中的開口蝕刻絕緣層102中的開口。在一實施例中,使用基於氟化物的等離子體蝕刻來蝕刻特徵103和104之間之絕緣層102中的開口。在一實施例中,使用基於氟化碳(例如,CF4、CH2F2、CH3F、C4F8等)的等離子體蝕刻蝕刻特徵103和104之間之絕緣層102中的開口。
在一實施例中,在形成絕緣層102中的開口之後,移除DSA層106之第一元件的部分。在一實施例中,使用乾蝕刻技術(例如等離子體蝕刻技術)、濕蝕刻技術、或其任何組合技術之其一者來移除DSA層106之第一元件的部分。
儘管絕緣層102中之孔的位置由DSA層106的圖案確定,但是孔的寬度及因此多孔性可通過對DSA層106之第一元件之部分的附加蝕刻來調整,如第4B圖所示。此蝕刻也可確定進入絕緣層102之孔的寬度。孔的寬度和深度都有助於多孔性,且因此有助於金屬線之間的電容。通常,隨著孔之寬度、深度或兩者增加,絕緣層的多孔性增加。在一實施例中,絕緣層102的上部分包含延伸通過絕緣層102之厚度之一部分的孔,使得絕緣層102的下面底部比ILD層的上部更堅固和緻密。
在另一實施例中,當特徵103和104包含犧牲材料時,絕緣層102中的垂直孔116和117使用一或多 種填充材料沉積技術(例如,旋塗沉積、可流動化學氣相沉積、或原子層沉積)用填充材料(例如,可填充碳硬遮罩、氧化物、氮化物、或如氮化鈦或鎢的犧牲金屬)來填充。可使用電子裝置製造領域之通常技藝者已知的一或多種犧牲材料移除技術(例如,乾蝕刻、濕蝕刻、或兩者)從絕緣層102中的溝槽移除犧牲材料。在一實施例中,在移除犧牲材料之後,絕緣層102中的溝槽被導電材料填充以形成導電特徵,如上面關於第1圖所述。
如第5、13、14、及15圖所示,週期性垂直孔116、117、1301和1302被金屬線103和104之間之ILD的部分(壁)分開,並藉由ILD材料1307和1308的部分(壁)彼此分離。剩餘ILD材料的這些部分提供一些益處。首先,此剩餘ILD部分分流遠離通孔的一些垂直應力(以最小化通孔疲勞)。其次,ILD的這些部分提供額外的橫向機械強度並減少剪切應力下的故障風險。第三,在一些實施例中,剩餘的ILD 503和504可向金屬線的邊緣提供額外的介電穩定性。第三,在至少一些實施例中,在ILD蝕刻期間,DSA遮罩提供金屬和阻擋的保護。在至少一些實施例中,添加犧牲材料,在保護金屬的同時進行蝕刻的可能性或兩者均用以在DSA遮罩中產生大於金屬線之間之間隔的開口。在一實施例中,ILD中的有序孔不顯著地寬於導電特徵之間的半間距。在這種情況下,DSA遮罩在ILD蝕刻期間提供對金屬和阻擋的保護。在其他實施例中,例如當使用犧牲金屬時或當使用對ILD蝕 刻穩定的阻擋/金屬系統時,DSA遮罩的有序洞可大於導電特徵之間的半間距。在此實施例中,金屬線之間之ILD的總多孔性可增加超過34%的多孔性。
第6圖是根據一實施例的視圖600,類似於第5圖在覆蓋層118上之絕緣層119上的圖案化硬遮罩層121沉積在絕緣層102中的導電特徵103和104上之後。如第6圖所示,覆蓋層118橋接在開口116和117上。在一實施例中,覆蓋層118向下延伸到開口116和117中達到深度601。在替代實施例中,深度601為約0nm至約20nm。在更具體的實施例中,深度601為約3nm至約10nm。
在一實施例中,覆蓋層118的厚度為約2nm至約20nm。在一實施例中,覆蓋層118是蝕刻停止層。在一實施例中,覆蓋層118是氮化矽、碳化矽、或其任何組合。在替代實施例中,覆蓋層118是氧化物層(例如氧化矽層)、碳摻雜氧化物層(例如碳摻雜氧化矽層)、碳氧化矽(SiOC)層、氟摻雜氧化矽、金屬氧化物(例如氧化鈦、氧化鋁、氧化鉿、或任何其它金屬氧化物);氫化矽倍半氧烷(HSQ)、氟化無定形碳、甲基倍半矽氧烷(MSQ)、氮化物層(例如氮化矽、氮氧化矽)、碳化矽、或其它覆蓋層。
如上所述,為了在積體架構中繼續積體隨後的上層,用覆蓋層118覆蓋下面ILD層中的垂直有序孔。在一實施例中,ILD層102中的垂直孔(洞)填充有犧 牲材料(未示出)(例如,可填充碳硬遮罩、氧化物、氮化物、或如氮化鈦或鎢的犧牲金屬)。在一實施例中,填充洞的犧牲材料使用CMP、蝕刻、或兩者略微凹陷在金屬特徵103和104下方。在一實施例中,在凹陷的犧牲材料上沉積半多孔篩層(例如,氮化矽、碳化矽、碳氧化矽、碳摻雜氧化物、或具有在5-30%體積之間之多孔性的上述組合)。在一實施例中,使用本領域之通常技藝者已知的其中一個犧牲材料移除技術,通過半多孔篩層移除犧牲材料。在一實施例中,在移除犧牲材料之後,使用任何孔填充策略(諸如PECVD沉積、ALD沉積、或旋塗)將半多孔篩網轉換為無孔覆蓋層,例如覆蓋層118。
在一實施例中,使用其中一個沉積技術來沉積覆蓋層118,諸如但不限於旋塗、化學氣相沉積(「CVD」)(例如等離子體增強化學氣相沉積(「PECVD」))、物理氣相沉積(「PVD」)、分子束外延(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或電子裝置製造領域之通常技藝者已知的其它沉積技術。如第6圖所示,在覆蓋層118上沉積絕緣層119。在一實施例中,絕緣層119是如上面關於第1圖所示之絕緣層102所述的其中一個絕緣層。在一實施例中,絕緣層119使用如上面關於第1圖所示之絕緣層102所述的一或多個絕緣層沉積技術來沉積。在一實施例中,絕緣層119是下一互連層的一部分。圖案化硬遮罩層121沉積在絕緣層119上以形成 下一互連層。圖案化硬遮罩層121暴露絕緣層119的部分。在一實施例中,硬遮罩層121是氮化物層,例如氮化矽、氮氧化矽、碳層、其他硬遮罩層、或其任何組合。可使用電子裝置製造領域之通常技藝者已知的其中一個硬遮罩層沉積和圖案化技術來形成圖案化遮罩層121。
第7圖是根據一實施例的視圖700,類似於第6圖在移除絕緣層119的暴露部分以形成開口122之後。如第7圖所示,開口122向下形成到導電特徵104。在一實施例中,開口122包含溝槽區域701和通孔區域702。在一實施例中,使用一或多個蝕刻技術(例如乾蝕刻、濕蝕刻、或電子裝置製造領域之通常技藝者已知的兩種技術)來移除絕緣層119的暴露部分。在一實施例中,使用電子裝置製造領域之通常技藝者已知的一或多個硬遮罩層移除技術來移除硬遮罩層121。在一實施例中,使用灰化技術移除硬遮罩層121。
第8圖是根據一實施例的視圖800,類似於第7圖在導電層123沉積至開口122中之後。如第8圖所示,導電層123填充開口122以形成至導電特徵104的導電通孔。在一實施例中,使用半導體製造領域之通常技藝者已知的其中一個導電層沉積技術(例如電鍍、化學鍍、或其它導電層沉積技術)來沉積導電層123。在一實施例中,導電層123是如上面關於第1圖所述的其中一個導電層。
第16圖是根據一實施例之顯示在絕緣層上之 自對準DSA遮罩之自頂向下掃描電子顯微鏡(SEM)影像1600的視圖。如影像1600所示,金屬線(例如金屬線1601、1602和1603)沉積在ILD層1605上。DSA遮罩包含複數個開口,例如在ILD層1605之部分上居中的開口1604和開口1606。DSA遮罩在金屬線上沒有開口,如第16圖所示。開口1604和1606在金屬線1601和1602之間之ILD層1605的部分上居中,如第16圖所示。開口1604和1606被佈置為基本上平行於金屬線1601和1602,如第16圖所示。ILD層1605具有插塞區域,例如插塞區域1606。插塞區域是不具有金屬線的ILD區域。在這些區域中,DSA遮罩仍然產生週期性開口,例如開口1607。DSA遮罩下面的電介質材料被蝕刻以包括與如上所述之導電特徵之間之ILD層中的那些類似的複數個有序孔。由於在這些插塞區域中不存在金屬線,所以總多孔性比金屬線之間的總多孔性低約2分之一。相對於傳統氣隙處理,DSA遮罩提供額外的益處,其中插塞區域的所有ILD被完全蝕刻並對上述硬遮罩層121的處理提出挑戰。通常,插塞區域從氣隙蝕刻中被遮罩,且這需要另一個昂貴的光刻步驟。在至少一些實施例中,DSA遮罩僅允許在類似於導電特徵之間之插塞區域中產生蝕刻的ILD洞。積體硬遮罩層121的挑戰在插塞和非插塞區域兩者中類似,因此不需要額外的光刻步驟。
第17圖是顯示根據一實施例之楊氏模量對於各種ILD膜之多孔性之圖1701的視圖1700。通常,楊氏 模量是與材料之機械強度(或剛度)相關的參數。楊氏模量定義材料中之應力(每單位面積的力)和應變(比例變形)之間的關係。如圖1701所示,對於傳統膜1(曲線1702)和2(曲線1703),隨著多孔性增加和當孔不具有可控制的形狀或互連網路時,模量迅速減小。
當使用多孔性和孔徑分佈作為實驗輸入時,使用有限元件模型(FEM)技術為傳統膜2產生資料1704。資料1704精確地擬合用於傳統膜2的曲線1703。如上所述,使用用於非多孔ILD膜的FEM模型產生資料1705和資料1706,非多孔ILD膜被處理以提供可控制的垂直圓柱多孔性。FEM資料1705顯示具有有序垂直多孔性之膜的模量基於多孔性沿著圓柱體孔的縱向(垂直)軸顯著增加,例如從大約三倍(3X)到大約七倍(7X)。FEM資料1706顯示具有有序垂直多孔性之膜的模量沿著圓柱體孔的橫向軸增加約兩倍(~2X)。也就是說,具有垂直有序多孔性之ILD膜之縱向和橫向的機械剛度基本上皆大於傳統膜的。如本文所述之具有垂直有序孔之ILD膜的楊氏模量在垂直方向上增加高達約7倍,這決定了在積體、組裝、和熱應力期間的ILD機械完整性。第18圖是顯示根據一實施例之多孔性對孔之相對半徑的視圖1800。曲線1801顯示對於所有範圍的孔大小計算的多孔性。在圖中,R是DSA中之垂直洞的半徑(例如,距離401、402的一半),其對應於切入ILD所保持的尺寸和形狀。Pm是金屬互連的間距(例如,間距110)。在圖 中,ILD多孔性是指金屬線之間之間隔的多孔體積。插入點「a」繪示沉積在絕緣層上的金屬線(孔的半徑為零)。對於曲線1801在a和c之間的區域,孔足夠小並完全被限制在金屬線之間的ILD內(如插入點b所示)。當孔具有與金屬線之間的間隔基本上相同的寬度時(如插入點「c」所示),多孔性為約34%。如第4B圖所示,DSA遮罩的半徑可藉由蝕刻或灰化來增加。若金屬可容忍ILD蝕刻、使用替換(犧牲)金屬、或兩者,則插入點d、e、和f中所示的結構是可能的。使用DSA遮罩產生這些結構以在絕緣層中產生比金屬線之間之間隔寬的洞。當ILD材料被蝕刻時,金屬線阻擋蝕刻,使得產生插入點d、e、和f中所示的結構。
曲線1801顯示可藉由調整垂直孔的半徑來控制多孔性,如上面關於第4A和4B圖所述。基於覆蓋ILD膜上的DSA遮罩計算曲線1801。由於DSA遮罩區下面的金屬線通常佔據約50%的ILD空間,所以在金屬線之間之ILD的區域中多孔性將增加。
曲線1801顯示根據一實施例之可藉由針對沒有金屬線之平坦ILD表面改變圓柱體的半徑r相對於圓柱體間距P(r/P)而實現的計算多孔性。在一實施例中,DSA遮罩在由框1802給定的多孔性範圍中提供有序的多孔性。在一實施例中,當DSA遮罩與下面的金屬溝槽圖案對準時,由DSA遮罩蝕刻之金屬線之間的ILD之所得多孔性在總多孔性的約20%至約45%的近似範圍內幾何地 增加。在一實施例中,如上文關於第4B圖所描述,藉由經由較長蝕刻或灰化程序徑向地增加ILD中的洞,金屬線之間的多孔性進一步增加到對應於框1803的範圍。在一實施例中,當圓柱形洞的直徑與金屬線之間的間隔匹配時,金屬線之間之ILD的最大多孔性為約34%。在一實施例中,DSA遮罩中之洞的半徑進一步增加,使得一些金屬線暴露在DSA遮罩的底部以增加金屬線之間的多孔性。
第19圖繪示包括本發明之一或多個實施例的中介層1900。中介層1900是用以將第一基板1902橋接至第二基板1904的中間基板。第一基板1902可能是例如積體電路晶粒。第二基板1904可能是例如記憶體模組、電腦主機板、或另一積體電路晶粒。通常,中介層1900的目的是將連接擴展到更寬的間距或將連接重新路由到不同的連接。例如,中介層1900可能將積體電路晶粒耦接至球柵陣列(BGA)1906,其可隨後耦接至第二基板1904。在一些實施例中,第一和第二基板1902/1904附接到中介層1900的相對側。在其它實施例中,第一和第二基板1902/1904附接到中介層1900的同一側。在進一步的實施例中,三個或更多個基板藉由中介層1900互連。
中介層1900可能由環氧樹脂、玻璃纖維增強的環氧樹脂、陶瓷材料、或諸如聚酰亞胺的聚合物材料形成。在進一步的實作中,中介層可能由交替的剛性或柔性材料形成,其可能包括上述用於半導體基板中的相同材料,例如矽、鍺、及其它III-V族和IV族材料。
如上所述,中介層可能包括通孔1910,包括但不限於穿矽通孔(TSV)1912、在絕緣層中具有有序多孔性的金屬互連1908。中介層1900更可能包括嵌入式裝置1914,包括被動和主動裝置。這樣的裝置包括但不限於電容器、去耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、和靜電放電(ESD)裝置。還可能在中介層1900上形成更複雜的裝置,例如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器、和MEMS裝置。根據本發明的實施例,可能在中介層1900的製造中使用本文揭露的設備或程序。
在一實施例中,電子裝置包含在基板上的複數個金屬層。電子裝置的至少一個金屬層在絕緣層中具有相對於至少一導電特徵呈規則佈置的導電特徵和孔,如關於第5、13、14和15圖所述的。電子裝置的至少一個金屬層包含導電特徵和無孔絕緣層中的氣隙。
在另一實施例中,電子裝置的每個金屬層在絕緣層中具有相對於至少一導電特徵呈規則佈置的導電特徵和孔,如關於第5、13、14和15圖所述的。
第20圖繪示依照本發明之一實施例的計算裝置2000。計算裝置2000可能包括一些元件。在一實施例中,這些元件附接至一或多個主機板。在替代實施例中,這些元件被製作在單一系統晶片(SoC)晶粒而不是主機板上。在計算裝置2000中的元件包括但不限於積體電路晶粒2002和至少一通訊晶片2008。在一些實作中,通訊 晶片2008被製造為積體電路晶粒2002的一部分。積體電路晶粒2002可能包括如中央處理單元(CPU)的處理器2004、晶粒上記憶體2006,經常被用作快取記憶體,其可由如嵌入式DRAM(eDRAM)或自旋轉移力矩記憶體(STTM或STTM-RAM)之技術提供。
計算裝置2000可能包括可能或可能不是實體且電性耦接至主機板或在SoC晶粒內製造的其他元件。這些其他元件包括但不限於揮發性記憶體2010(例如,DRAM)、非揮發性記憶體2012(例如,ROM或快閃記憶體)、圖形處理單元2014(GPU)、數位信號處理器2016(DSP)、密碼處理器2042(在硬體內執行密碼學演算法的專用處理器)、晶片組2020、天線2022、顯示器或觸控螢幕顯示器2024、觸控螢幕顯示器控制器2026、電池2029或其他電力來源、全球定位系統(GPS)裝置2028、功率放大器(PA)、羅盤、運動協處理器或感測器2032(可能包括加速計、陀螺儀、和羅盤)、揚聲器2034、照相機2036、使用者輸入裝置2038(如鍵盤、滑鼠、手寫筆、和觸控板)、及大容量儲存裝置2040(如硬碟機、光碟(CD)、數位化多功能光碟(DVD)、等等)。
通訊晶片2008啟動無線通訊來傳輸資料至計算裝置2000且從計算裝置2000傳輸資料。「無線」之詞及其衍生詞可能用以說明可能藉由使用透過非固態媒體之調變的電磁輻射來通訊資料之電路、裝置、系統、方法、 技術、通訊通道等。此詞並不意味著相關裝置不包含任何線路,雖然在一些實施例中它們可能不包含任何線路。通訊晶片2008可能實作一些無線標準或協定之任一者,包括但不限於WiFi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽、其衍生物、以及指定為3G、4G、5G以上的任何其他無線協定。計算裝置2000可能包括複數個通訊晶片2008。例如,第一通訊晶片2008可能專用於如WiFi和藍芽之較短範圍的無線通訊,且第二通訊晶片2008可能專用於如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他之較長範圍的無線通訊。
「處理器」之術語可能係指任何裝置或裝置的任何部分,其處理來自暫存器及/或記憶體的電子資料以將此電子資料轉換成可能儲存在暫存器及/或記憶體中的其他電子資料。例如積體電路晶粒2002、通訊晶片2008、GPU 2014、密碼處理器2042、DSP 2016、晶片組2020、和其他元件的一或多個元件可能包括依照本發明之實施例形成之有序的多孔性。在另外的實施例中,容納在計算裝置2000內的另一元件可能包含依照本發明之實施例形成之有序的多孔性。
在各種實施例中,計算裝置2000可能是膝上型電腦、小筆電、筆記型電腦、超輕薄電腦、智慧型手 機、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。在進一步實作中,計算裝置2000可能是處理資料的任何其他電子裝置。
包括在摘要中所描述之本發明之實施例之示範實作的上述說明並不旨在窮舉或將本發明限制為所揭露的精確形式。儘管為了說明的目的而在本文說明用於本發明的具體實作或實例,但在本發明的範圍內之各種等同修改是可能的,如那些相關領域之技術人員將認知。
可能按照以上詳細說明對本發明進行這些修改。在下面的申請專利範圍中使用的術語不應被解釋為限制本發明為在說明書和申請專利範圍中所揭露的具體實作。相反,本發明的範圍完全是由下面的申請專利範圍確定,這是根據申請專利範圍解釋的既定原則來解釋。
下面的實例關於其他實施例:在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準。
在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向 自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、移除一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準。
在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準,且其中一或多個開口之至少一者沿著第一軸朝向基板延伸。
在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準,且其中至少一開口之頂部處的寬度基本上類似於至少一開口之底部處的寬度。
在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準,且其中一或多個第一結構之至少一者具有圓柱形 狀。
在一實施例中,一種製造電子裝置的方法,包含在基板上沉積絕緣層;在絕緣層內沉積一或多個導電特徵;在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準。
在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積刷層;在一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構;及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口。
在一實施例中,一種用以提供有序的多孔性 之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中一或多個第二開口對一或多個導電特徵自對準。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積刷層;在一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上的刷層上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部 分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中第二開口之至少一者沿著第一軸朝向基板延伸。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中至少一第二開口之頂部處的寬度基本上類似於至少一第二開口之底部處的寬度。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上沉積絕緣層;在絕緣層上沉積一或多個導電特徵;在絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一 或多個第二開口。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中第二元件包含具有預定形狀的一或多個結構。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;退火DSA層;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵 上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中至少一第二開口的深度類似於或大於一或多個導電特徵的厚度。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中移除第二元件包含選擇性地蝕刻第二元件。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開 口的一或多個第二開口,其中一或多個第二開口係使用乾蝕刻來蝕刻。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口;及蝕刻第一元件的第二部分;及蝕刻第一元件的第二部分。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中基於導電特徵之間的距離來調整第二元件之部分之間的距離。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上 沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;在絕緣層中形成通過一或多個第一開口的一或多個第二開口;在一或多個第二開口上沉積覆蓋層;及在覆蓋層上形成互連層。
在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中一或多個導電特徵是導電線。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中一或多個開口之 至少一者沿著第一軸朝向基板延伸。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中至少一開口之頂部處的寬度基本上類似於至少一開口之底部處的寬度。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中一或多個開口之至少一者具有圓柱形狀。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中基於導電特徵之間的距離來調整開口之間的距離。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、在絕緣層中的複數個開口,對一或多個導電特徵自對準、在一或多個開口上的覆蓋層、及在覆蓋層上的互連層。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中一或多個導電特徵是導電線。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中至少一開口的寬 度為5奈米至50奈米。
在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中至少一開口的深度類似於或大於一或多個導電特徵的厚度。
在前述說明書中,已經參考其具體示範性實施例描述了方法和設備。顯然,在不脫離如所附申請專利範圍中闡述之實施例之更廣泛的精神和範圍之情況下,可能對其進行各種修改。因此,說明書和附圖被認為是說明性的而不是限制性的。
100‧‧‧側視圖
101‧‧‧基板
102‧‧‧絕緣層
103‧‧‧特徵
104‧‧‧特徵
110‧‧‧間距
131‧‧‧通孔

Claims (16)

  1. 一種製造電子裝置的方法,包含:在基板上之絕緣層中的一或多個導電線上沉積定向自組裝(DSA)層,該DSA層包含沉積在該絕緣層上的一或多個第一結構;使用該DSA層作為遮罩形成在該絕緣層中的一或多個開口,其中該一或多個開口對該一或多個導電線自對準;及在該一或多個導電線上沉積刷層。
  2. 如申請專利範圍第1項所述之方法,更包含:移除該一或多個第一結構。
  3. 如申請專利範圍第1項所述之方法,其中該一或多個開口之至少一者沿著朝向該基板的第一軸延伸。
  4. 如申請專利範圍第1項所述之方法,其中該一或多個開口之至少一者具有細長形狀。
  5. 如申請專利範圍第1項所述之方法,更包含:在該基板上沉積該絕緣層;在該絕緣層內沉積該一或多個導電線。
  6. 一種用以提供有序的多孔性之方法,包含:在基板上之絕緣層中的一或多個導電線上沉積定向自組裝(DSA)層,該DSA層包含第一元件和第二元件,其中該第一元件的第一部分沉積在該一或多個導電線上,該第一元件的第二部分沉積在該絕緣層的一或多個第一部分上,且其中該第二元件沉積在該絕緣層的一或多個第二 部分上;移除該第二元件的一或多個部分以在該DSA層中形成一或多個第一開口;在該絕緣層中形成通過該一或多個第一開口的一或多個第二開口;及在該一或多個導電線上沉積刷層,其中該第一部分沉積在該刷層上。
  7. 如申請專利範圍第6項所述之方法,其中該一或多個第二開口對該一或多個導電線自對準。
  8. 如申請專利範圍第6項所述之方法,其中該第二開口之至少一者沿著朝向該基板的第一軸延伸。
  9. 如申請專利範圍第6項所述之方法,更包含:將該DSA層退火。
  10. 如申請專利範圍第6項所述之方法,更包含:在該一或多個第二開口上沉積覆蓋層;及在該覆蓋層上形成互連層。
  11. 一種電子裝置,包含:在基板上之絕緣層中的一或多個導電線;在該絕緣層中的複數個開口,在該一或多個導電線之間自對準;及在該一或多個導電線上的刷層。
  12. 如申請專利範圍第11項所述之電子裝置,其中該一或多個開口之至少一者沿著朝向該基板的第一軸延伸。
  13. 如申請專利範圍第11項所述之電子裝置,其中該 一或多個開口之至少一者具有圓柱形狀。
  14. 如申請專利範圍第11項所述之電子裝置,其中基於該導電線之間的間距來調整該開口之間的間距。
  15. 如申請專利範圍第11項所述之電子裝置,更包含:覆蓋層,在該一或多個開口上;及互連層,在該覆蓋層上。
  16. 如申請專利範圍第11項所述之電子裝置,其中該開口之至少一者的深度類似於或大於該一或多個導電線的厚度。
TW105137103A 2015-12-16 2016-11-14 用以提供有序的多孔性之方法及設備 TWI720058B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/US15/66196 2015-12-16
PCT/US2015/066196 WO2017105447A1 (en) 2015-12-16 2015-12-16 Methods and apparatuses to provide ordered porosity

Publications (2)

Publication Number Publication Date
TW201731059A TW201731059A (zh) 2017-09-01
TWI720058B true TWI720058B (zh) 2021-03-01

Family

ID=59057371

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137103A TWI720058B (zh) 2015-12-16 2016-11-14 用以提供有序的多孔性之方法及設備

Country Status (2)

Country Link
TW (1) TWI720058B (zh)
WO (1) WO2017105447A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019182913A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200529358A (en) * 2004-01-30 2005-09-01 Ibm Device and methodology for reducing effective dielectric constant in semiconductor device
TW200735282A (en) * 2005-11-26 2007-09-16 Elpida Memory Inc Phase-change memory device and method of manufacturing same
US20080085601A1 (en) * 2006-09-27 2008-04-10 Sung-Chan Park Method of forming fine contact hole and method of fabricating semiconductor device using block copolymers

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8557128B2 (en) * 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8425982B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
JP5173863B2 (ja) * 2009-01-20 2013-04-03 パナソニック株式会社 半導体装置およびその製造方法
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200529358A (en) * 2004-01-30 2005-09-01 Ibm Device and methodology for reducing effective dielectric constant in semiconductor device
TW200735282A (en) * 2005-11-26 2007-09-16 Elpida Memory Inc Phase-change memory device and method of manufacturing same
US20080085601A1 (en) * 2006-09-27 2008-04-10 Sung-Chan Park Method of forming fine contact hole and method of fabricating semiconductor device using block copolymers

Also Published As

Publication number Publication date
TW201731059A (zh) 2017-09-01
WO2017105447A1 (en) 2017-06-22

Similar Documents

Publication Publication Date Title
TWI784884B (zh) 製造電子裝置及電腦裝置之方法
TWI703633B (zh) 電子裝置和用以製造電子裝置、用以提供無遮罩氣隙流程、及用以提供替代層間介電質流程的方法
TWI730099B (zh) 用於後段製程(beol)互連體製造的基於介電質頭盔的方法及由此產生的結構
CN108701645B (zh) 减成图案化的互连下方的自对准通孔
TWI666751B (zh) 通孔阻隔層
US20070235847A1 (en) Method of making a substrate having thermally conductive structures and resulting devices
US10971394B2 (en) Maskless air gap to prevent via punch through
TW201826497A (zh) 用於積體電路裝置之預成的層間連接
TW201703211A (zh) 整合有互連及通孔的微機電系統(mems)結構
US20100270674A1 (en) High quality electrical contacts between integrated circuit chips
US9755013B2 (en) High density capacitor structure and method
TW202211483A (zh) 製造電晶體裝置的方法、電子裝置及其製造方法
TWI720058B (zh) 用以提供有序的多孔性之方法及設備
TWI620270B (zh) 反向奈米結構電介質層
JP7151976B2 (ja) 半導体構造の製造のための炭素系誘電体材料および結果として得られる構造
US20220238376A1 (en) Grating replication using helmets and topographically-selective deposition
US9646882B2 (en) High quality electrical contacts between integrated circuit chips
TW202232699A (zh) 應用自組裝單層改善通孔整合
US11610810B2 (en) Maskless air gap enabled by a single damascene process