TWI718729B - 麥克風、微機電系統裝置及其製造方法 - Google Patents

麥克風、微機電系統裝置及其製造方法 Download PDF

Info

Publication number
TWI718729B
TWI718729B TW108138998A TW108138998A TWI718729B TW I718729 B TWI718729 B TW I718729B TW 108138998 A TW108138998 A TW 108138998A TW 108138998 A TW108138998 A TW 108138998A TW I718729 B TWI718729 B TW I718729B
Authority
TW
Taiwan
Prior art keywords
substrate
mems
layer
filter
dielectric layer
Prior art date
Application number
TW108138998A
Other languages
English (en)
Other versions
TW202108495A (zh
Inventor
朱家驊
鄭鈞文
郭文政
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI718729B publication Critical patent/TWI718729B/zh
Publication of TW202108495A publication Critical patent/TW202108495A/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/00158Diaphragms, membranes
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R1/00Details of transducers, loudspeakers or microphones
    • H04R1/08Mouthpieces; Microphones; Attachments therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0009Structural features, others than packages, for protecting a device against environmental influences
    • B81B7/0029Protection against environmental influences not provided for in groups B81B7/0012 - B81B7/0025
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0035Packages or encapsulation for maintaining a controlled atmosphere inside of the chamber containing the MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0061Packages or encapsulation suitable for fluid transfer from the MEMS out of the package or vice versa, e.g. transfer of liquid, gas, sound
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/0023Packaging together an electronic processing unit die and a micromechanical structure die
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00277Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00325Processes for packaging MEMS devices for reducing stress inside of the package structure
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R31/00Apparatus or processes specially adapted for the manufacture of transducers or diaphragms therefor
    • H04R31/003Apparatus or processes specially adapted for the manufacture of transducers or diaphragms therefor for diaphragms or their outer suspension
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0235Accelerometers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0257Microphones or microspeakers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0264Pressure sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0127Diaphragms, i.e. structures separating two media that can control the passage from one medium to another; Membranes, i.e. diaphragms with filtering function
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/012Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being separate parts in the same package
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R2231/00Details of apparatus or processes specially adapted for the manufacture of transducers or diaphragms therefor covered by H04R31/00, not provided for in its subgroups
    • H04R2231/001Moulding aspects of diaphragm or surround

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Acoustics & Sound (AREA)
  • Signal Processing (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Micromachines (AREA)

Abstract

本公開的各種實施例涉及一種麥克風,所述麥克風包括設 置在微機電系統(MEMS)基底與載體基底之間的顆粒過濾器。微機電系統裝置結構上覆在微機電系統基底上。微機電系統裝置結構包括膜片,膜片具有界定膜片開口的相對的側壁。載體基底位於微機電系統基底之下。載體基底具有界定載體基底開口的相對的側壁,載體基底開口位於膜片開口之下。過濾器堆疊夾置在載體基底與微機電系統基底之間。過濾器堆疊包括上部介電層、下部介電層及設置在上部介電層與下部介電層之間的顆粒過濾器層。顆粒過濾器層包括在載體基底的相對的側壁之間在橫向上間隔開的顆粒過濾器。

Description

麥克風、微機電系統裝置及其製造方法
本發明的實施例是有關於一種麥克風、微機電系統裝置及其製造方法。
微機電系統(Microelectromechanical system,MEMS)裝置(例如,加速度計、壓力傳感器及麥克風)已廣泛地用於許多現代電子裝置中。MEMS裝置可具有用於檢測運動並將運動轉換成電信號的可移動部件。舉例來說,MEMS加速度計及麥克風通常存在於汽車(例如,氣囊部署系統)、平板計算機或智能電話中。MEMS加速度計包括將加速移動轉化成電信號的可移動部件。麥克風包括將聲音轉化成電信號的可移動隔膜(membrane)。
本發明實施例提供一種麥克風,包括微機電系統(MEMS) 裝置結構、載體基底以及過濾器堆疊。微機電系統裝置結構上覆在微機電系統基底上,其中微機電系統裝置結構包括膜片。膜片具有界定膜片開口的相對的側壁。載體基底位於微機電系統基底之下,其中載體基底具有界定載體基底開口的相對的側壁。載體基底開口位於膜片開口之下。過濾器堆疊夾置在載體基底與微機電系統基底之間。過濾器堆疊包括上部介電層、下部介電層及設置在上部介電層與下部介電層之間的顆粒過濾器層,其中顆粒過濾器層包括在載體基底的相對的側壁之間在橫向上間隔開的顆粒過濾器。
本發明實施例提供一種微機電系統(MEMS)裝置,包括微機電系統基底、微機電系統結構、載體基底以及過濾器堆疊。微機電系統基底具有界定微機電系統開口的相對的側壁。微機電系統結構在垂直方向上位於微機電系統基底之上,其中微機電系統結構包括第一背板及在垂直方向上與第一背板分隔開的膜片。載體基底位於微機電系統基底之下,其中載體基底具有界定載體基底開口的相對的側壁,其中載體基底開口位於膜片及微機電系統開口之下。過濾器堆疊設置在載體基底與微機電系統基底之間,其中過濾器堆疊包括顆粒過濾器層。顆粒過濾器層具有顆粒過濾器,其中顆粒過濾器包括多個過濾器開口。多個過濾器開口延伸穿過顆粒過濾器層且在橫向上位於微機電系統基底的相對的側壁之間。
本發明實施例提供一種製造微機電系統(MEMS)裝置的方法,上述方法包括在載體基底之上形成過濾器堆疊,其中過濾器堆疊包括上部介電層、下部介電層、及設置在上部介電層與下部介 電層之間的顆粒過濾器層。將過濾器堆疊圖案化,以在顆粒過濾器層中界定顆粒過濾器,顆粒過濾器具有圍繞多個過濾器開口連續地延伸的一個或多個表面。將微機電系統基底結合到上部介電層。在微機電系統基底之上形成微機電系統結構,微機電系統結構包括能夠移動的膜片。將載體基底圖案化,以在載體基底中界定載體基底開口。將微機電系統基底圖案化,以在微機電系統基底中界定微機電系統開口。以及,對微機電系統結構及過濾器堆疊執行刻蝕工藝,其中刻蝕工藝從顆粒過濾器正上方及正下方移除上部介電層及下部介電層。
100、300:微機電系統(MEMS)麥克風
101:載體基底開口
102:MEMS裝置結構
103:載體基底
104:過濾器堆疊
104a:下部介電層
104b:顆粒過濾器層
104c:上部介電層
106:顆粒過濾器
107:過濾器開口
108:第一背板
109:膜片開口
110:膜片
111:MEMS基底
111o:MEMS開口
112:第二背板
113:空氣體積空間
114、116、118:電接觸件
120、413:層間介電(ILD)結構
122:導通孔
124:導電線
200a、200b:俯視圖
302:下部顆粒過濾器層
304:中間顆粒過濾器層
306:上部顆粒過濾器層
400:積體芯片
401:封裝
401a:前側結構
401b:外殼結構
402:互補金屬氧化物半導體(CMOS)積體電路(IC)管芯
403:空腔
404:焊料球
406:結合配線
408:晶體管
410:CMOS基底
412:後段制程的(BEOL)金屬化堆疊
414:內連通孔
416:內連線
418:結合焊盤
420:支柱結構
500、600、700、800、900、1000、1100、1300、1400、1500、1600、1700、1800、1900、2000、2200、2300、2400、2500、2600、2700、2800、2900:剖視圖
802、1802:下部掩蔽層
804:上部掩蔽層
902、2402:支柱
1200:第一方法
1202、1204、1206、1208、1210、1212、1214、1216、2102、2104、2106、2108、2110、2112、2114、2116、3002、3004、3006、3008、3010、3012、3014、3016、3018、3020、3022:動作
2100:第二方法
2302:粘合劑結合層
2304:犧牲基底
3000:第三方法
A-A’:線
d:直徑
len:長度
Ti、Tr:初始厚度
Tcs、Tms:厚度
w1:第一寬度
w2:第二寬度
結合附圖閱讀以下詳細說明,會最好地理解本公開的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1示出具有顆粒過濾器的微機電系統(MEMS)麥克風的一些實施例的剖視圖。
圖2A及圖2B示出圖1的MEMS麥克風的替代實施例的俯視圖。
圖3示出具有顆粒過濾器的微機電系統(MEMS)麥克風的一些實施例的剖視圖。
圖4示出積體芯片的一些實施例的剖視圖,積體芯片的所述 一些實施例包括打線結合到互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)積體電路(integrated circuit,IC)管芯的圖1的MEMS麥克風的一些實施例。
圖5到圖11示出形成具有顆粒過濾器的MEMS麥克風的第一方法的一些實施例的剖視圖。
圖12以流程圖的形式示出一種方法,所述流程圖示出形成具有顆粒過濾器的MEMS麥克風的第一方法的一些實施例。
圖13到圖20示出形成具有顆粒過濾器的MEMS麥克風的第二方法的一些實施例的剖視圖。
圖21以流程圖的形式示出一種方法,所述流程圖示出形成具有顆粒過濾器的MEMS麥克風的第二方法的一些實施例。
圖22到圖29示出形成具有顆粒過濾器的MEMS麥克風的第三方法的一些實施例的剖視圖。
圖30以流程圖的形式示出一種方法,所述流程圖示出形成具有顆粒過濾器的MEMS麥克風的第三方法的一些實施例。
本公開提供用於實施本公開的不同特徵的許多不同的實施例或實例。以下闡述組件及排列的具體實例以簡化本公開。當然,這些僅為實例而非旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵與 第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵從而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本公開可能在各種實例中重複使用參考編號和/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身指示所論述的各種實施例和/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“在...之下(beneath)”、“在...下方(below)”、“下部的(1ower)”、“在...上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
此外,為易於說明起見,本文中可使用“第一”、“第二”、“第三”等以在一個圖或一系列圖的不同元件之間進行區分。“第一”、“第二”、“第三”等並不旨在闡述對應的元件。因此,結合第一圖所述的“第一介電層”可能未必對應於結合另一圖所述的“第一介電層”。
用於聲學應用(acoustical application)的微機電系統(MEMS)裝置(例如,MEMS麥克風)常常被收容在具有開口(即,入口)的封裝結構內。封裝結構被配置成提供對MEMS裝置的保護,同時開口使得聲波到達封裝結構的容納MEMS裝置的空腔。在此種封裝內,MEMS裝置可電耦合到設置在封裝結構的空腔內 的應用專用積體電路(application-specific integrated circuit,ASIC)。MEMS裝置具有直接上覆在封裝結構的開口上的可移動部件以及設置在可移動部件與封裝結構的開口之間的顆粒過濾器。顆粒過濾器被配置成防止顆粒進入封裝結構的開口,從而減少到達可移動部件的顆粒。與可移動部件相互作用的顆粒可通過引起短路和/或降低MEMS裝置的聲學過載點(acoustic overload point,AOP)來降低MEMS裝置的性能。
一種製作MEMS裝置的顆粒過濾器的方法是獨立於製作MEMS裝置及ASIC而形成顆粒過濾器。舉例來說,MEMS裝置可用可移動元件來製作,且ASIC可用半導體裝置(例如,晶體管)來製作。可提供封裝基底來集成(integrate)MEMS裝置與ASIC。可在封裝基底中形成封裝結構開口,且隨後,可在封裝結構開口之上形成顆粒過濾器。在形成顆粒過濾器之後,MEMS裝置直接附接到顆粒過濾器。因此,MEMS裝置的可移動元件直接上覆在封裝結構開口上。在一些實施例中,在將MEMS裝置附接到基底之前,顆粒過濾器可直接附接到MEMS裝置。直接附接工藝可包括利用形成在MEMS裝置和/或顆粒過濾器上的對齊標記、和/或執行結合工藝。
前述方法的問題是用於形成顆粒過濾器並將顆粒過濾器直接附接到MEMS裝置的額外的處理步驟。這會部分地增加與將MEMS裝置及ASIC集成(integrating)在封裝基底上相關聯的時間及成本。此外,在直接附接工藝期間,顆粒過濾器的小的厚度 (例如,小於0.5微米)可能導致對顆粒過濾器的損壞和/或破壞,從而降低顆粒過濾器保護可移動元件免受顆粒傷害的能力。此外,由於用於形成以及附接顆粒過濾器的額外的處理步驟,顆粒過濾器及MEMS裝置被暴露給更多的顆粒,因此會降低可移動元件的性能。另外,顆粒過濾器包括多個顆粒過濾器開口,且由於處理限制,顆粒過濾器開口的直徑可各自相當大(例如,約10微米或大於10微米)。這繼而會降低阻擋和/或防止顆粒經過顆粒過濾器開口的能力。
在一些實施例中,本公開涉及一種簡化具有顆粒過濾器的MEMS裝置的製作的方法。所述方法在將顆粒過濾器及MEMS裝置附接到封裝結構之前,將顆粒過濾器及MEMS裝置形成為積體結構。通過將顆粒過濾器及MEMS裝置形成為積體結構,製作工藝得以簡化,且對顆粒過濾器的損壞得以減輕。此外,與形成顆粒過濾器相關聯的時間及成本得以減少。
作為示例性應用,MEMS裝置可為麥克風。在一些實施例中,麥克風是通過提供載體基底且在載體基底之上形成過濾器堆疊來製作。過濾器堆疊包括上部介電層、下部介電層及設置在上部介電層與下部介電層之間的顆粒過濾器層。將過濾器堆疊圖案化,從而在顆粒過濾器層中界定顆粒過濾器。將MEMS基底結合到上部介電層。在MEMS基底之上形成MEMS裝置結構。在形成MEMS裝置結構之後,將載體基底及MEMS基底圖案化以在載體基底及MEMS基底中形成開口。將MEMS裝置結構及過濾器堆疊 圖案化,以在MEMS裝置結構中形成一個或多個可移動元件且從顆粒過濾器移除上部介電層及下部介電層。通過在載體基底上形成顆粒過濾器,載體基底、上部介電層及下部介電層在麥克風的製作期間為顆粒過濾器提供結構支撐,從而減少對顆粒過濾器的損壞。此外,通過在最後的圖案化工藝期間從顆粒過濾器移除上部介電層及下部介電層,對顆粒的暴露得以減輕,從而提高顆粒過濾器及可移動元件的性能。
參照圖1,提供具有顆粒過濾器106的微機電系統(MEMS)麥克風100的一些實施例的剖視圖。
MEMS麥克風100包括MEMS裝置結構102、MEMS基底111、過濾器堆疊104及載體基底103。過濾器堆疊104設置在載體基底103與MEMS基底111之間。MEMS裝置結構102包括設置在上覆在MEMS基底111上的層間介電(inter-level dielectric,ILD)結構120內的導電線124及導通孔122。MEMS裝置結構102還包括第一背板108、第二背板112及設置在第一背板108與第二背板112之間的膜片110。膜片110與第一背板108及第二背板112間隔開一個或多個非零距離。此外,膜片110以及第一背板108及第二背板112可為導電的,這會形成電容元件。電接觸件114電耦合到膜片110且形成電容元件的第一端子,電接觸件118電耦合到第一背板108且形成電容元件的第二端子,且電接觸件116電耦合到第二背板112且形成電容元件的第三端子。在一些實施例中,第二端子與第三端子電耦合在一起。在一些實施例中,通 過導電線124及導通孔122實現電耦合。
膜片110包括一個或多個膜片開口109且可被ILD結構120錨定(anchor)在多個點處。將膜片110錨定在所述多個點處使得膜片110的邊界相對於第一背板108及第二背板112固定。當聲波通過載體基底103中的載體基底開口101對膜片110施加壓力時,膜片110可通過聲波的能量變形成使得膜片110朝向或遠離第一背板108和/或第二背板112彎曲。載體基底103具有界定載體基底開口101的側壁,且MEMS基底111具有界定MEMS開口111o的側壁。在一些實施例中,在界定顆粒過濾器106的最外開口的側壁之間延伸的第一寬度w1小於載體基底103的界定載體基底開口101的側壁的第二寬度w2。第一背板108及第二背板112各自包括多個開口,空氣可通過所述多個開口而經過。在第一背板108與第二背板112之間存在空氣體積空間113。空氣體積空間113位於膜片110上方及下方。空氣可通過由第一背板108及第二背板112中的每一者中的所述多個開口形成的空氣通道(air passage way)和/或通過膜片110的所述一個或多個膜片開口109從空氣體積空間113放出或進入到空氣體積空間113中。當膜片110朝向或遠離第一背板108和/或第二背板112彎曲時,空氣從空氣體積空間113排出或進入到空氣體積空間113中。通過聲波進行的膜片110相對於第一背板108和/或第二背板112的彎曲移動會改變膜片110與第一背板108和/或第二背板112之間的電容元件的電容。電容的這種改變可通過電接觸件114、116、118來測 量。
空氣在穿過(travel through)載體基底103中的載體基底開口101到達空氣體積空間113時經過顆粒過濾器106。在一些實施例中,顆粒過濾器106是過濾器堆疊104的一部分。過濾器堆疊104包括下部介電層104a、顆粒過濾器層104b及上部介電層104c。在一些實施例中,下部介電層104a可包含氧化物(例如,二氧化矽),顆粒過濾器層104b可包含氮化物(例如,氮化矽),且上部介電層104c可包含氧化物(例如,二氧化矽)。顆粒過濾器106是顆粒過濾器層104b的位於載體基底開口101與MEMS開口111o之間的段。顆粒過濾器106包括被配置成將空氣從載體基底開口101傳送到空氣體積空間113的多個過濾器開口107。當空氣從載體基底開口101傳送到空氣體積空間113時,顆粒過濾器106被配置成阻擋和/或移除空氣中可能對膜片110的移動產生不利影響的顆粒。在一些實施例中,顆粒可例如為來自被實施成形成MEMS麥克風100的雷射劃切工藝(laser dicing process)的副產品和/或雷射劃切工藝中使用的化學品。與膜片110相互作用的顆粒可通過例如引起短路(例如,在第一背板108及第二背板112與膜片110之間引起短路)和/或降低MEMS麥克風100的聲學過載點(AOP)來降低MEMS麥克風100的性能。
通過將顆粒過濾器106設置在載體基底103之上,與製作MEMS麥克風100相關聯的時間及成本得以減少。另外,載體基底103、上部介電層104c及下部介電層104a可在MEMS麥克 風100的製作期間為顆粒過濾器106提供結構支撐。舉例來說,在MEMS麥克風100的製作期間,過濾器堆疊104可形成在載體基底103之上,且隨後上部介電層104c可結合到MEMS基底111。載體基底103、上部介電層104c及下部介電層104a會防止在前述結合工藝期間對顆粒過濾器層104b的損壞或破壞。此外,通過在上部介電層104c與下部介電層104a之間設置顆粒過濾器層104b,可減少在MEMS麥克風100的製作期間顆粒直接暴露到顆粒過濾器106,從而增加MEMS麥克風100的耐久性及可靠性。
參照圖2A,提供沿著線A-A’截取的圖1的顆粒過濾器106的一些替代實施例的俯視圖200a。
如圖2A中所見,設置在顆粒過濾器層104b中的所述多個過濾器開口107各自具有圓形形狀和/或橢圓形狀。所述多個過濾器開口107可排列成包括橫跨圖1的載體基底開口101的列及行的陣列。顆粒過濾器106被配置成阻擋和/或移除從顆粒過濾器106的第一表面傳送到顆粒過濾器106的相對的第二表面的空氣中的顆粒(例如,通過過濾器開口107的形狀/大小和/或顆粒過濾器層104b的材料)。
在一些實施例中,過濾器開口107各自具有可例如介於約3微米到約10微米的範圍內的直徑d。在一些實施例中,如果直徑d小於約3微米,則可降低將空氣從顆粒過濾器106的第一表面傳送到顆粒過濾器106的相對的第二表面的能力,從而降低MEMS裝置結構102的性能。在又一些實施例中,如果直徑d大 於約10微米,則可降低顆粒過濾器106阻擋和/或移除經過顆粒過濾器106的空氣中的顆粒的能力。舉例來說,顆粒過濾器開口107可大於顆粒,以使得顆粒可經過顆粒過濾器開口且對膜片(圖1的110)的移動產生不利影響。
參照圖2B,提供沿著線A-A’截取的圖1的顆粒過濾器106的一些替代實施例的俯視圖200b。
如圖2B中所見,設置在顆粒過濾器層104b中的所述多個過濾器開口107各自具有多邊形形狀。所述多邊形形狀可為任何多邊形,例如三角形、矩形、五邊形、六邊形等。所述多個過濾器開口107可排列成包括橫跨圖1的載體基底開口101的列及行的陣列。顆粒過濾器106被配置成阻擋和/或移除從顆粒過濾器106的第一表面傳送到顆粒過濾器106的相對的第二表面的空氣中的顆粒(例如,通過過濾器開口107的形狀/大小和/或顆粒過濾器層104b的材料)。
在一些實施例中,每一過濾器開口107的一個或多個側具有長度len,所述長度len可例如介於約3微米到約10微米的範圍內。在一些實施例中,如果長度len小於約3微米,則可降低將空氣從顆粒過濾器106的第一表面傳送到顆粒過濾器106的相對的第二表面的能力,從而降低MEMS裝置結構102的性能。在又一些實施例中,如果長度len大於約10微米,則可降低顆粒過濾器106阻擋和/或移除經過顆粒過濾器106的空氣中的顆粒的能力。舉例來說,顆粒過濾器開口107可大於顆粒,以使得顆粒可經 過顆粒過濾器開口並對膜片(圖1的110)的移動產生不利影響。
參照圖3,提供與圖1的MEMS麥克風100的一些替代實施例對應的MEMS麥克風300的剖視圖。
在一些實施例中,顆粒過濾器層104b包括下部顆粒過濾器層302、中間顆粒過濾器層304及上部顆粒過濾器層306。下部顆粒過濾器層302可例如為或包含矽(silicon)、氮化物(nitride)、氮化矽(silicon nitride)等,和/或具有介於約0.2微米到約1微米的範圍內的厚度。中間顆粒過濾器層304可例如為或包含多晶矽(polysilicon)、未經摻雜的多晶矽(un-doped polysilicon)等,和/或具有介於約0.2微米到約1微米的範圍內的厚度。上部顆粒過濾器層306可例如為或包含矽、氮化物、氮化矽等,和/或具有介於約0.2微米到約1微米的範圍內的厚度。在一些實施例中,顆粒過濾器層104b內的層可各自具有實質上相同的厚度。在又一些實施例中,下部顆粒過濾器層302與上部顆粒過濾器層306可包含相同的材料(例如,氮化矽)。在一些實施例中,包括設置在兩個氮化矽層(例如,下部顆粒過濾器層302與上部顆粒過濾器層306)之間的多晶矽層(例如,中間顆粒過濾器層304)的顆粒過濾器層104b將減少在顆粒過濾器106上誘發的應力,從而增加顆粒過濾器106的結構完整性及可靠性。
參照圖4,提供積體芯片400的一些實施例的剖視圖,積體芯片400的所述一些實施例包括打線結合到互補金屬氧化物半導體(CMOS)積體電路(IC)管芯402的圖1的MEMS麥克風 100的一些替代實施例。
積體芯片400包括MEMS麥克風100,MEMS麥克風100在橫向上鄰近CMOS IC管芯402且設置在空腔403內。在一些實施例中,MEMS麥克風100的MEMS基底111包括被配置成增加MEMS麥克風100的結構完整性的支柱結構420。在一些實施例中,CMOS IC管芯402可為應用專用積體電路(ASIC)。在一些實施例中,空腔403由封裝401的內側壁界定。封裝401包括前側結構401a及外殼結構(enclosure structure)401b。CMOS IC管芯402及MEMS麥克風100設置在前側結構401a上。在一些實施例中,封裝401的開口(即入口)可為MEMS麥克風100的載體基底開口101,以使得任何進入或離開空腔403的空氣都經過顆粒過濾器106。
CMOS IC管芯402包括上覆在CMOS基底410上的後段制程的(back-end-of-line,BEOL)金屬化堆疊412。層間介電(ILD)結構413上覆在CMOS基底410上。CMOS基底410及ILD結構413包括例如晶體管408等電子組件和/或例如一個或多個電容器、電阻器、電感器或二極管等其他電氣組件(未示出)。CMOS基底410可例如為或包括塊狀半導體基底或絕緣體上覆矽(silicon-on-insulator,SOI)基底。BEOL金屬化堆疊412包括ILD結構413、內連線416及內連通孔414。ILD結構413可包括一個或多個堆疊的ILD層,所述一個或多個堆疊的ILD層分別包含低介電常數(low-k)電介質(即介電常數小於約3.9的介電材料)及氧化物 等。內連通孔414及內連線416可例如分別為或包含導電材料,例如鋁、銅、鎢等。
在每一電接觸件114、116、118之上設置有焊料球404。焊料球404為多條結合配線406提供接觸點。在內連線416的頂層上上覆有結合焊盤418,且結合焊盤418為結合配線406提供打線結合位置。晶體管408通過BEOL金屬化堆疊412、結合配線406及結合焊盤418電耦合到電接觸件114、116、118。晶體管408可被配置成從第一背板108、第二背板112、和/或膜片110接收信號。
圖5到圖11示出根據本公開的形成具有顆粒過濾器的MEMS麥克風的第一方法的一些實施例的剖視圖500到剖視圖1100。儘管參照方法闡述圖5到圖11中所示的剖視圖500到剖視圖1100,然而應理解,圖5到圖11中所示的結構並非僅限於所述方法,而是可單獨地獨立於所述方法。此外,儘管圖5到圖11被闡述為一系列動作,然而應理解,這些動作並不限制在其他實施例中可改變的動作的次序,且所公開的方法也適用於其他結構。在其他實施例中,可全部或部分地省略示出和/或闡述的一些動作。
如圖5的剖視圖500中所示,提供載體基底103。在一些實施例中,載體基底103可為例如塊狀(bulk)基底(例如塊狀矽基底)、絕緣體上覆矽(SOI)基底、或一些其他合適的基底,和/或可具有介於近似250微米到近似725微米的範圍內的初始厚度Ti。在載體基底103之上形成過濾器堆疊104。過濾器堆疊104包 括下部介電層104a、顆粒過濾器層104b及上部介電層104c。在一些實施例中,形成過濾器堆疊104的工藝包括:在載體基底103之上沉積下部介電層104a且隨後執行第一退火工藝;在下部介電層104a之上沉積顆粒過濾器層104b且隨後執行第二退火工藝;以及在顆粒過濾器層104b之上沉積上部介電層104c且隨後執行第三退火工藝。在一些實施例中,前述過濾器堆疊104的層可分別例如通過化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、熱氧化、或另一種合適的沉積工藝來沉積和/或生長。
在一些實施例中,下部介電層104a可例如為或包含氧化物(例如二氧化矽)或另一種介電材料,下部介電層104a被形成介於約0.5微米到約10微米的範圍內的厚度。在一些實施例中,顆粒過濾器層104b可例如為或包含氮化物(例如氮化矽)等,顆粒過濾器層104b被形成介於約0.1微米到約3微米的範圍內的厚度。在一些實施例中,上部介電層104c可例如為或包含氧化物(例如二氧化矽)或另一種介電材料,上部介電層104c被形成介於約0.5微米到約10微米的範圍內的厚度。在又一些實施例中,下部介電層104a與上部介電層104c可為或包含相同的材料且具有近似相同的厚度。
同樣如圖5中所示,對過濾器堆疊104進行刻蝕,從而界定多個過濾器開口107及顆粒過濾器106。在一些實施例中,刻 蝕工藝包括:在上部介電層104c之上形成掩蔽層(未示出);將上部介電層104c的未被掩蔽的區暴露到一種或多種刻蝕劑;以及執行移除工藝以移除掩蔽層。
載體基底103、上部介電層104c及下部介電層104a各自在隨後的處理步驟期間為顆粒過濾器106和/或顆粒過濾器層104b提供結構支撐。這會部分地減少和/或消除製作期間對顆粒過濾器層104b和/或顆粒過濾器106的損壞。
如圖6的剖視圖600中所示,提供MEMS基底111,且隨後將MEMS基底111結合到上部介電層104c。在一些實施例中,結合工藝可例如為熔合結合工藝、或另一種合適的結合工藝。在一些實施例中,MEMS基底111可為例如塊狀基底(例如塊狀矽基底)、絕緣體上覆矽(SOI)基底或具有初始厚度Tr的一些其他合適的基底。在執行結合工藝之後,對MEMS基底111執行薄化工藝,以將MEMS基底111的初始厚度Tr減小到厚度Tms。在一些實施例中,厚度Tms介於約10微米到約200微米的範圍內。在一些實施例中,薄化工藝通過機械研磨工藝、化學機械拋光(chemical mechanical polish,CMP)、一些其它薄化工藝、或前述工藝的任意組合來執行。舉例來說,薄化工藝可完全通過機械研磨工藝來執行。
如圖7的剖視圖700中所示,在MEMS基底111之上形成MEMS裝置結構102。MEMS裝置結構102包括導電線124、導通孔122、層間介電(ILD)結構120、第一背板108、第二背板 112以及設置在第一背板108與第二背板112之間的膜片110。ILD結構120可為一個或多個介電層。所述一個或多個介電層可例如為或包含氧化物(例如二氧化矽)、或另一種合適的介電材料。在一些實施例中,形成MEMS裝置結構102的工藝包括:通過單鑲嵌工藝形成導通孔122的最底層;以及隨後通過單鑲嵌工藝(single damascene process)形成導電線124的最底層。此外,在一些實施例中,所述工藝包括通過重複執行雙鑲嵌工藝(dual damascene process)來形成導通孔122的剩餘的層及導電線124的剩餘的層。另外,第一背板108、第二背板112及膜片110可在導電線124的對應的層的雙鑲嵌工藝或單鑲嵌工藝期間形成。舉例來說,第一背板108可與用於形成導電線124的最底層的單鑲嵌工藝同時形成。在另一實例中,第一背板108、第二背板112及膜片110可各自通過對多晶矽的層進行沉積(例如,通過CVD、PVD、或另一種合適的沉積工藝)、根據掩蔽層(未示出)將多晶矽的層圖案化、以及執行移除工藝以移除掩蔽層來形成。
在一些實施例中,單鑲嵌工藝包括以下步驟:沉積介電層;將介電層圖案化成具有用於單層導電特徵(例如,一層通孔、導線、背板和/或膜片)的開口;以及用導電材料(例如,多晶矽)填充開口以形成單層導電特徵。介電層可例如與ILD結構120中的所述一個或多個介電層對應。在一些實施例中,雙鑲嵌工藝包括以下步驟:沉積介電層;將介電層圖案化成具有用於兩層導電特徵(例如,一層通孔及一層導線、背板和/或膜片)的開口;以及用導電 材料(例如,多晶矽)填充開口以形成所述兩層導電特徵。在一些實施例中,導電線124、導通孔122、第一背板108、第二背板112及膜片110可例如分別包含多晶矽或另一種合適的導電材料。
同樣如圖7中所示,形成MEMS裝置結構102的工藝還包括形成電接觸件114、116、118。在一些實施例中,形成前述電接觸件的工藝包括:在ILD結構120之上形成掩蔽層(未示出);根據掩蔽層將ILD結構120圖案化;以及在ILD結構120之上沉積電接觸件114、116、118。前述電接觸件可例如通過無電鍍覆、濺鍍、電鍍或另一種合適的沉積工藝來沉積和/或生長。在一些實施例中,電接觸件114、116、118可例如分別為或包含金、鎳等。
如圖8的剖視圖800中所示,對載體基底103執行薄化工藝,以將載體基底103的初始厚度Ti減小到厚度Tcs。在一些實施例中,厚度Tcs介於約200微米到約400微米的範圍內。在一些實施例中,薄化工藝通過機械研磨工藝、化學機械拋光(CMP)、一些其它薄化工藝、或前述工藝的任意組合來執行。舉例來說,薄化工藝可完全通過機械研磨工藝來執行。在執行薄化工藝之後,在載體基底103的底表面上形成下部掩蔽層802且在ILD結構120之上形成上部掩蔽層804。在一些實施例中,下部掩蔽層802和/或上部掩蔽層804可例如分別為或包括光刻膠、硬掩模層等。下部掩蔽層802及上部掩蔽層804分別具有界定多個開口的多個側壁。
如圖9的剖視圖900中所示,根據下部掩蔽層802,對載 體基底103及MEMS基底111執行第一圖案化工藝。在一些實施例中,第一圖案化工藝包括執行乾式刻蝕工藝,例如等離子體刻蝕工藝和/或深反應離子刻蝕(deep reactive-ion etch,DRIE)工藝。第一圖案化工藝在顆粒過濾器106正下方界定載體基底開口101。另外,第一圖案化工藝從MEMS基底111界定多個支柱902。在一些實施例中,在第一圖案化工藝之後,MEMS基底111包括與顆粒過濾器106的所述多個過濾器開口107的形狀對應的多個開口。
如圖10的剖視圖1000中所示,根據下部掩蔽層802,對載體基底103及MEMS基底111執行第二圖案化工藝。在一些實施例中,第二圖案化工藝包括執行濕式刻蝕工藝(例如,各向同性刻蝕工藝)和/或乾式刻蝕工藝。第二圖案化工藝可包括將載體基底103和/或MEMS基底111暴露到一種或多種刻蝕劑,例如(舉例來說)二氟化氙(xenon difluoride,XeF2)。第二圖案化工藝移除所述多個支柱(圖9的902),且擴展載體基底開口101。
如圖11的剖視圖1100中所示,根據下部掩蔽層(圖10的802)及上部掩蔽層(圖10的804),對圖10的結構執行第三圖案化工藝。在一些實施例中,第三圖案化工藝包括執行濕式刻蝕工藝。在一些實施例中,第三圖案化工藝包括將圖10的結構暴露到一種或多種刻蝕劑。第三圖案化工藝移除ILD結構120的一部分,從而界定空氣體積空間113。此外,第三圖案化工藝從顆粒過濾器106的底表面移除下部介電層104a,且從顆粒過濾器106的 頂表面移除上部介電層104c。在執行第三圖案化工藝之後,執行移除工藝以移除下部掩蔽層及上部掩蔽層(圖10的802、804)。
圖12示出根據一些實施例的形成具有顆粒過濾器的MEMS麥克風的第一方法1200。儘管第一方法1200被示出和/或闡述為一系列動作或事件,然而應理解,所述方法並非僅限於所示次序或動作。因此,在一些實施例中,所述動作可以與所示不同的次序施行和/或可同時施行。此外,在一些實施例中,所示的動作或事件可被細分為多個動作或事件,所述多個動作或事件可在單獨的時間施行或者與其他動作或子動作同時施行。在一些實施例中,可省略一些示出的動作或事件,且可包括其他未示出的動作或事件。
在動作1202處,提供載體基底。圖5示出與動作1202的一些實施例對應的剖視圖500。
在動作1204處,在載體基底之上形成過濾器堆疊。過濾器堆疊包括上部介電層、顆粒過濾器層及下部介電層,顆粒過濾器層設置在上部介電層與下部介電層之間。圖5示出與動作1204的一些實施例對應的剖視圖500。
在動作1206處,對過濾器堆疊執行刻蝕工藝,從而在顆粒過濾器層中界定顆粒過濾器。圖5示出與動作1206的一些實施例對應的剖視圖500。
在動作1208處,將MEMS基底結合到上部介電層。圖6示出與動作1208的一些實施例對應的剖視圖600。
在動作1210處,在MEMS基底之上形成MEMS結構。MEMS結構包括第一背板、第二背板及設置在第一背板與第二背板之間的膜片。圖7示出與動作1210的一些實施例對應的剖視圖700。
在動作1212處,對載體基底及MEMS基底執行乾式刻蝕工藝,從而在載體基底中界定開口且在MEMS基底中界定支柱。圖9示出與動作1212的一些實施例對應的剖視圖900。
在動作1214處,對載體基底及MEMS基底執行濕式刻蝕工藝,從而擴展載體基底中的開口並移除支柱。圖10示出與動作1214的一些實施例對應的剖視圖1000。
在動作1216處,對MEMS結構及過濾器堆疊執行刻蝕工藝,從而在第一背板、第二背板及膜片周圍界定空氣體積空間。刻蝕工藝從顆粒過濾器移除上部介電層及下部介電層。圖11示出與動作1216的一些實施例對應的剖視圖1100。
圖13到圖20示出根據本公開的形成具有顆粒過濾器的MEMS麥克風的第二方法的一些實施例的剖視圖1300到剖視圖2000。儘管參照方法闡述圖13到圖20中所示的剖視圖1300到剖視圖2000,然而應理解,圖13到圖20中所示的結構並非僅限於所述方法,而是可單獨地獨立於所述方法。此外,儘管圖13到圖20被闡述為一系列動作,然而應理解,這些動作並不限制在其他實施例中可改變的動作的次序,且所公開的方法也適用於其他結構。在其他實施例中,可全部或部分地省略示出和/或闡述的一些 動作。
如圖13的剖視圖1300中所示,提供載體基底103。在一些實施例中,載體基底103可為例如塊狀基底(例如塊狀矽基底)、絕緣體上覆矽(SOI)基底或一些其他合適的基底,和/或可具有介於近似250微米到近似725微米的範圍內的初始厚度Ti。在載體基底103之上形成過濾器堆疊104。過濾器堆疊104包括下部介電層104a、顆粒過濾器層104b及上部介電層104c。在一些實施例中,形成過濾器堆疊104的工藝包括:在載體基底103之上沉積下部介電層104a且隨後執行第一退火工藝;在下部介電層104a之上沉積顆粒過濾器層104b且隨後執行第二退火工藝;以及在顆粒過濾器層104b之上沉積上部介電層104c且隨後執行第三退火工藝。在一些實施例中,前述過濾器堆疊104的層可分別例如通過化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、熱氧化、或另一種合適的沉積工藝來沉積和/或生長。在一些實施例中,下部介電層104a可例如為或包含氧化物(例如二氧化矽)或另一種介電材料,下部介電層104a被形成介於約0.5微米到約10微米的範圍內的厚度。在一些實施例中,顆粒過濾器層104b可例如為或包含氮化物(例如氮化矽)等,顆粒過濾器層104b被形成介於約0.1微米到約3微米的範圍內的厚度。在一些實施例中,上部介電層104c可例如為或包含氧化物(例如二氧化矽)或另一種介電材料,上部介電層104c被形成介於約0.5微米到約10微米的範圍內的厚度。在又一些實施例中,下部介電層104a與上部介 電層104c可為或包含相同的材料且具有近似相同的厚度。
如圖14的剖視圖1400中所示,提供MEMS基底111,且隨後將MEMS基底111結合到上部介電層104c。在一些實施例中,結合工藝可例如為熔合結合工藝、或另一種合適的結合工藝。在一些實施例中,MEMS基底111可為例如塊狀基底(例如塊狀矽基底)、絕緣體上覆矽(SOI)基底或具有初始厚度Tr的一些其他合適的基底。在執行結合工藝之後,對MEMS基底111執行薄化工藝,以將MEMS基底111的初始厚度Tr減小到厚度Tms。在一些實施例中,厚度Tms介於約10微米到約200微米的範圍內。在一些實施例中,薄化工藝通過機械研磨工藝、化學機械拋光(CMP)、一些其它薄化工藝、或前述工藝的任意組合來執行。舉例來說,薄化工藝可完全通過機械研磨工藝來執行。
如圖15的剖視圖1500中所示,在MEMS基底111之上形成MEMS裝置結構102。MEMS裝置結構102包括導電線124、導通孔122、層間介電(ILD)結構120、第一背板108、第二背板112及設置在第一背板108與第二背板112之間的膜片110。ILD結構120可為一個或多個介電層。在一些實施例中,MEMS裝置結構102是如圖7中所示和/或所述來形成。
如圖16的剖視圖1600中所示,對載體基底103執行薄化工藝,以將載體基底103的初始厚度Ti減小到厚度Tcs。在一些實施例中,厚度Tcs介於約200微米到約400微米的範圍內。在一些實施例中,薄化工藝通過機械研磨工藝、化學機械拋光(CMP)、 一些其它薄化工藝、或前述工藝的任意組合來執行。舉例來說,薄化工藝可完全通過機械研磨工藝來執行。在執行薄化工藝之後,在載體基底103的底表面上形成下部掩蔽層802且在ILD結構120之上形成上部掩蔽層804。在一些實施例中,下部掩蔽層802和/或上部掩蔽層804可例如分別為或包括光刻膠、硬掩模層等。下部掩蔽層802及上部掩蔽層804分別具有界定多個開口的多個側壁。
如圖17的剖視圖1700中所示,根據下部掩蔽層802,對載體基底103執行第一圖案化工藝。在一些實施例中,第一圖案化工藝包括執行乾式刻蝕工藝,例如等離子體刻蝕工藝和/或深反應離子刻蝕(DRIE)工藝。第一圖案化工藝在過濾器堆疊104正下方界定載體基底開口101且暴露出下部介電層104a的底表面。在又一些實施例中,在執行第一圖案化工藝之後,執行移除工藝以移除下部掩蔽層802(未示出)。
如圖18的剖視圖1800中所示,在載體基底103以及下部介電層104a的底表面之上形成另一下部掩蔽層1802。在一些實施例中,另一下部掩蔽層1802保護載體基底103免受隨後的刻蝕工藝的影響。在形成所述另一下部掩蔽層1802之後,對過濾器堆疊104及MEMS基底111執行第二圖案化工藝。這會部分地在MEMS基底111中界定多個支柱902且在顆粒過濾器層104b中界定顆粒過濾器106。在一些實施例中,第二圖案化工藝包括對過濾器堆疊104執行第一干式刻蝕工藝,從而將過濾器堆疊104的未 被掩蔽的部分暴露到一種或多種第一刻蝕劑。第二圖案化工藝還包括對MEMS基底111執行第二乾式刻蝕工藝,從而將MEMS基底的未被掩蔽的部分暴露到一種或多種第二刻蝕劑。在一些實施例中,所述一種或多種第一刻蝕劑與所述一種或多種第二刻蝕劑不同。此外,第一干式刻蝕工藝界定顆粒過濾器106的所述多個過濾器開口107。
如圖19的剖視圖1900中所示,對MEMS基底111執行第三圖案化工藝。在一些實施例中,第三圖案化工藝包括執行濕式刻蝕工藝(例如各向同性刻蝕工藝)和/或乾式刻蝕工藝。第三圖案化工藝可包括將MEMS基底111暴露到一種或多種刻蝕劑,例如(舉例來說)二氟化氙(XeF2)。第三圖案化工藝移除所述多個支柱(圖18的902)。
如圖20的剖視圖2000中所示,對圖19的結構執行第四圖案化工藝。在一些實施例中,第四圖案化工藝包括執行濕式刻蝕工藝以及將圖19的結構暴露到一種或多種刻蝕劑。第四圖案化工藝移除ILD結構120的一部分,從而界定空氣體積空間113。此外,第四圖案化工藝從顆粒過濾器106的底表面移除下部介電層104a且從顆粒過濾器106的頂表面移除上部介電層104c。在執行第四圖案化工藝之後,執行移除工藝以移除上部掩蔽層(圖19的804)以及另一下部掩蔽層(圖19的1802)。
圖21示出根據一些實施例的形成具有顆粒過濾器的MEMS麥克風的第二方法2100。儘管第二方法2100被示出和/或 闡述為一系列動作或事件,然而應理解,所述方法並非僅限於所示次序或動作。因此,在一些實施例中,所述動作可以與所示不同的次序施行和/或可同時施行。此外,在一些實施例中,所示的動作或事件可被細分為多個動作或事件,所述多個動作或事件可在單獨的時間施行或者與其他動作或子動作同時施行。在一些實施例中,可省略一些示出的動作或事件,且可包括其他未示出的動作或事件。
在動作2102處,提供載體基底。圖13示出與動作2102的一些實施例對應的剖視圖1300。
在動作2104處,在載體基底之上形成過濾器堆疊。過濾器堆疊包括上部介電層、顆粒過濾器層及下部介電層,顆粒過濾器層設置在上部介電層與下部介電層之間。圖13示出與動作2104的一些實施例對應的剖視圖1300。
在動作2106處,將MEMS基底結合到上部介電層。圖14示出與動作2106的一些實施例對應的剖視圖1400。
在動作2108處,在MEMS基底之上形成MEMS結構。MEMS結構包括第一背板、第二背板及設置在第一背板與第二背板之間的膜片。圖15示出與動作2108的一些實施例對應的剖視圖1500。
在動作2110處,對載體基底執行乾式刻蝕工藝,從而在載體基底中界定開口且暴露出下部介電層的底表面。圖17示出與動作2110的一些實施例對應的剖視圖1700。
在動作2112處,對MEMS基底及過濾器堆疊執行乾式刻蝕工藝,從而在顆粒過濾器層中界定顆粒過濾器,且在MEMS基底中界定多個支柱。圖18示出與動作2112的一些實施例對應的剖視圖1800。
在動作2114處,對MEMS基底執行濕式刻蝕工藝,從而移除所述多個支柱。圖19示出與動作2114的一些實施例對應的剖視圖1900。
在動作2116處,對MEMS結構及過濾器堆疊執行刻蝕工藝,從而在第一背板、第二背板及膜片周圍界定空氣體積空間。刻蝕工藝從顆粒過濾器移除上部介電層及下部介電層。圖20示出與動作2116的一些實施例對應的剖視圖2000。
圖22到圖29示出根據本公開的形成具有顆粒過濾器的MEMS麥克風的第三方法的一些實施例的剖視圖2200到剖視圖2900。儘管參照方法闡述圖22到圖29中所示的剖視圖2200到剖視圖2900,然而應理解,圖22到圖29中所示的結構並非僅限於所述方法,而是可單獨地獨立於所述方法。此外,儘管圖22到圖29被闡述為一系列動作,然而應理解,這些動作並不限制在其他實施例中可改變的動作的次序,且所公開的方法也適用於其他結構。在其他實施例中,可全部或部分地省略示出和/或闡述的一些動作。
如圖22的剖視圖2200中所示,提供MEMS基底111且在MEMS基底111之上形成MEMS裝置結構102。MEMS裝置結 構102包括導電線124、導通孔122、電接觸件114、116、118、層間介電(ILD)結構120、第一背板108、第二背板112以及設置在第一背板108與第二背板112之間的膜片110。在一些實施例中,MEMS裝置結構102是如圖7中所示和/或所述來形成。MEMS基底111可為例如塊狀基底(例如塊狀矽基底)、絕緣體上覆矽(SOI)基底、或具有初始厚度Tr的一些其他合適的基底。
如圖23的剖視圖2300中所示,將粘合劑結合層2302及犧牲基底2304結合到MEMS裝置結構102的ILD結構120。在一些實施例中,結合工藝為熔合結合工藝、或者另一種合適的結合工藝,和/或可包括達到介於200攝氏度到300攝氏度的範圍內的最高溫度。犧牲基底2304被配置成在隨後的處理步驟(例如,圖24的薄化工藝和/或圖26的結合工藝)期間增加MEMS裝置結構102和/或MEMS基底111的結構完整性。
如圖24的剖視圖2400中所示,對MEMS基底111執行薄化工藝,以將MEMS基底111的初始厚度Tr減小到厚度Tms。在一些實施例中,厚度Tms介於約10微米到約200微米的範圍內。在一些實施例中,薄化工藝通過機械研磨工藝、化學機械拋光(CMP)、一些其它薄化工藝、或前述工藝的任意組合來執行。舉例來說,薄化工藝可完全通過機械研磨工藝來執行。在執行薄化工藝之後,將MEMS基底111圖案化以在MEMS基底111中界定多個支柱2402。在一些實施例中,圖案化工藝包括:在MEMS基底111(未示出)的後表面之上形成掩蔽層;將MEMS基底111的未 被掩蔽的區暴露到一種或多種刻蝕劑,從而界定支柱2402;以及執行移除工藝以移除掩蔽層。所述多個支柱2402被配置成在後續處理步驟(例如,圖26的結合工藝)期間進一步增加MEMS基底111的結構完整性。
如圖25的剖視圖2500中所示,提供載體基底103。在一些實施例中,載體基底103可為例如塊狀基底(例如塊狀矽基底)、絕緣體上覆矽(SOI)基底、或一些其他合適的基底,和/或可具有介於近似250到近似725微米的範圍內的初始厚度Ti。在載體基底103之上形成過濾器堆疊104。過濾器堆疊104包括下部介電層104a、顆粒過濾器層104b及上部介電層104c。在一些實施例中,過濾器堆疊104是如圖5中所示和/或所述來形成。
同樣如圖25中所示,對過濾器堆疊104進行刻蝕,從而界定多個過濾器開口107及顆粒過濾器106。在一些實施例中,刻蝕工藝包括:在上部介電層104c之上形成掩蔽層(未示出);將上部介電層104c的未被掩蔽的區暴露到一種或多種刻蝕劑;以及執行移除工藝以移除掩蔽層。
如圖26的剖視圖2600中所示,將MEMS基底111結合到上部介電層104c。在一些實施例中,結合工藝可例如為熔合結合工藝、或者另一種合適的結合工藝。在執行結合工藝之後,對載體基底103執行薄化工藝,以將載體基底103的初始厚度Ti減小到厚度Tcs。在一些實施例中,厚度Tcs介於約200微米到約400微米的範圍內。在一些實施例中,薄化工藝通過機械研磨工藝、化 學機械拋光(CMP)、一些其它薄化工藝、或前述工藝的任意組合來執行。舉例來說,薄化工藝可完全通過機械研磨工藝來執行。
如圖27的剖視圖2700中所示,粘合劑結合層(圖26的2302)及犧牲基底(圖26的2304)與MEMS裝置結構102分隔開(與ILD結構120脫離結合(de-bond))。在分隔工藝之後,在載體基底103的底表面上形成下部掩蔽層802且在ILD結構120之上形成上部掩蔽層804。在一些實施例中,下部掩蔽層802和/或上部掩蔽層804可例如分別為或包括光刻膠、硬掩模層等。下部掩蔽層802及上部掩蔽層804分別具有界定多個開口的多個側壁。
如圖28的剖視圖2800中所示,根據下部掩蔽層802,對載體基底103執行第一圖案化工藝。在一些實施例中,第一圖案化工藝包括執行乾式刻蝕工藝,例如等離子體刻蝕工藝和/或深反應離子刻蝕(DRIE)工藝。第一圖案化工藝在顆粒過濾器106正下方界定載體基底開口101。
同樣如圖28中所示,在第一圖案化工藝之後,根據下部掩蔽層802對載體基底103及MEMS基底111執行第二圖案化工藝。在一些實施例中,第二圖案化工藝包括執行濕式刻蝕工藝(例如各向同性刻蝕工藝)和/或乾式刻蝕工藝。第二圖案化工藝可包括將載體基底103和/或MEMS基底111暴露到一種或多種刻蝕劑,例如(舉例來說)二氟化氙(XeF2)。第二圖案化工藝移除所述多個支柱(圖27的2402),且可擴展載體基底開口101的寬度。
如圖29的剖視圖2900中所示,對圖28的結構執行第三圖案化工藝。在一些實施例中,第三圖案化工藝包括執行濕式刻蝕工藝以及將圖28的結構暴露到一種或多種刻蝕劑。第三圖案化工藝移除ILD結構120的一部分,從而界定空氣體積空間113。此外,第四圖案化工藝從顆粒過濾器106的底表面移除下部介電層104a,且從顆粒過濾器106的頂表面移除上部介電層104c。在執行第三圖案化工藝之後,執行移除工藝以移除下部掩蔽層及上部掩蔽層(圖28的802、804)。
圖30示出根據一些實施例的形成具有顆粒過濾器的MEMS麥克風的第三方法3000。儘管第三方法3000被示出和/或闡述為一系列動作或事件,然而應理解,所述方法並非僅限於所示次序或動作。因此,在一些實施例中,所述動作可以與所示不同的次序施行和/或可同時施行。此外,在一些實施例中,所示的動作或事件可被細分為多個動作或事件,所述多個動作或事件可在單獨的時間施行或者與其他動作或子動作同時施行。在一些實施例中,可省略一些示出的動作或事件,且可包括其他未示出的動作或事件。
在動作3002處,提供MEMS基底。圖22示出與動作3002的一些實施例對應的剖視圖2200。
在動作3004處,在MEMS基底之上形成MEMS結構。MEMS結構包括第一背板、第二背板及設置在第一背板與第二背板之間的膜片。圖22示出與動作3004的一些實施例對應的剖視 圖2200。
在動作3006處,將犧牲基底結合到MEMS結構。圖23示出與動作3006的一些實施例對應的剖視圖2300。
在動作3008處,對MEMS基底執行刻蝕工藝,從而在MEMS基底中界定多個支柱。圖24示出與動作3008的一些實施例對應的剖視圖2400。
在動作3010處,提供載體基底且在載體基底之上形成過濾器堆疊。過濾器堆疊包括上部介電層、顆粒過濾器層及下部介電層,顆粒過濾器層設置在上部介電層與下部介電層之間。圖25示出與動作3010的一些實施例對應的剖視圖2500。
在動作3012處,對過濾器堆疊執行刻蝕工藝,從而在顆粒過濾器層中界定顆粒過濾器。圖25示出與動作3012的一些實施例對應的剖視圖2500。
在動作3014處,將MEMS基底結合到過濾器堆疊。圖26示出與動作3014的一些實施例對應的剖視圖2600。
在動作3016處,對載體基底執行薄化工藝。在薄化工藝之後,使犧牲基底與MEMS結構脫離結合。圖27示出與動作3016的一些實施例對應的剖視圖2700。
在動作3018處,對載體基底執行乾式刻蝕工藝,從而在顆粒過濾器正下方在載體基底中界定開口。圖28示出與動作3018的一些實施例對應的剖視圖2800。
在動作3020處,對MEMS基底執行濕式刻蝕工藝,從而 移除所述多個支柱。圖28示出與動作3020的一些實施例對應的剖視圖2800。
在動作3022處,對MEMS結構及過濾器堆疊執行刻蝕工藝,從而在第一背板、第二背板及膜片周圍界定空氣體積空間。刻蝕工藝從顆粒過濾器移除上部介電層及下部介電層。圖29示出與動作3022的一些實施例對應的剖視圖2900。
因此,在一些實施例中,本公開涉及簡化具有顆粒過濾器的MEMS裝置的製作以使得顆粒過濾器設置在MEMS基底與載體基底之間的多種方法。
在一些實施例中,本申請提供一種麥克風,所述麥克風包括:微機電系統(MEMS)裝置結構,上覆在微機電系統基底上,其中所述微機電系統裝置結構包括膜片,所述膜片具有界定膜片開口的相對的側壁;載體基底,位於所述微機電系統基底之下,其中所述載體基底具有界定載體基底開口的相對的側壁,所述載體基底開口位於所述膜片開口之下;以及過濾器堆疊,夾置在所述載體基底與所述微機電系統基底之間,所述過濾器堆疊包括上部介電層、下部介電層及設置在所述上部介電層與所述下部介電層之間的顆粒過濾器層,其中所述顆粒過濾器層包括在所述載體基底的所述相對的側壁之間在橫向上間隔開的顆粒過濾器。
在一些實施例中,所述顆粒過濾器具有界定多個過濾器開口的多個相對的側壁,其中所述多個過濾器開口在橫向上位於所述載體基底的所述相對的側壁之間。在一些實施例中,所述顆粒 過濾器層包含氮化矽,且其中所述上部介電層及所述下部介電層各自包含氧化物。在一些實施例中,所述顆粒過濾器層包括夾置在上部氮化矽層與下部氮化矽層之間的多晶矽層。在一些實施例中,所述顆粒過濾器層的厚度小於所述上部介電層的厚度且小於所述下部介電層的厚度。在一些實施例中,當從上方觀察時,所述顆粒過濾器連續地環繞所述多個過濾器開口中的各別過濾器開口。在一些實施例中,所述顆粒過濾器的寬度小於所述載體基底的所述相對的側壁之間的距離。在一些實施例中,所述微機電系統基底的厚度小於所述載體基底的厚度。
在一些實施例中,本申請提供一種微機電系統(MEMS)裝置,所述微機電系統裝置包括:微機電系統基底,具有界定微機電系統開口的相對的側壁;微機電系統結構,在垂直方向上位於所述微機電系統基底之上,其中所述微機電系統結構包括第一背板及在垂直方向上與所述第一背板分隔開的膜片;載體基底,位於所述微機電系統基底之下,其中所述載體基底具有界定載體基底開口的相對的側壁,其中所述載體基底開口位於所述膜片及所述微機電系統開口之下;以及過濾器堆疊,設置在所述載體基底與所述微機電系統基底之間,其中所述過濾器堆疊包括顆粒過濾器層,所述顆粒過濾器層具有顆粒過濾器,其中所述顆粒過濾器包括多個過濾器開口,所述多個過濾器開口延伸穿過所述顆粒過濾器層且在橫向上位於所述微機電系統基底的所述相對的側壁之間。
在一些實施例中,所述過濾器堆疊還包括:上部介電層, 設置在所述微機電系統基底與所述顆粒過濾器層之間;下部介電層,設置在所述載體基底與所述顆粒過濾器層之間,且其中所述顆粒過濾器層的外側壁延伸超過所述上部介電層的外側壁及所述下部介電層的外側壁。在一些實施例中,所述上部介電層的所述外側壁及所述下部介電層的所述外側壁分別在朝向所述多個過濾器開口的方向上與所述顆粒過濾器層的所述外側壁在橫向上偏置開非零距離。在一些實施例中,所述上部介電層及所述下部介電層各自包含氧化物且所述顆粒過濾器層包含氮化物。在一些實施例中,所述顆粒過濾器的所述多個過濾器開口是由第一氮化物層的側壁、第二氮化物層的側壁及設置在所述第一氮化物層與所述第二氮化物層之間的多晶矽層的側壁界定。在一些實施例中,所述顆粒過濾器層的厚度小於所述微機電系統基底的厚度,其中所述微機電系統基底的厚度小於所述載體基底的厚度。在一些實施例中,所述載體基底在遠離所述顆粒過濾器的方向上與所述顆粒過濾器層在橫向上偏置開非零距離。在一些實施例中,當從上方觀察時,所述多個過濾器開口是圓形的或橢圓形的。
在一些實施例中,本申請提供一種製造微機電系統(MEMS)裝置的方法,所述方法包括:在載體基底之上形成過濾器堆疊,其中所述過濾器堆疊包括上部介電層、下部介電層、及設置在所述上部介電層與所述下部介電層之間的顆粒過濾器層;將所述過濾器堆疊圖案化,以在所述顆粒過濾器層中界定顆粒過濾器,所述顆粒過濾器具有圍繞多個過濾器開口連續地延伸的一個 或多個表面;將微機電系統基底結合到所述上部介電層;在所述微機電系統基底之上形成微機電系統結構,所述微機電系統結構包括能夠移動的膜片;將所述載體基底圖案化,以在所述載體基底中界定載體基底開口;將所述微機電系統基底圖案化,以在所述微機電系統基底中界定微機電系統開口;以及對所述微機電系統結構及所述過濾器堆疊執行刻蝕工藝,其中所述刻蝕工藝從所述顆粒過濾器正上方及正下方移除所述上部介電層及所述下部介電層。
在一些實施例中,所述顆粒過濾器是在將所述微機電系統基底結合到所述上部介電層之前形成。在一些實施例中,所述顆粒過濾器是在界定所述載體基底開口之後且在所述微機電系統基底中界定所述微機電系統開口之前形成。在一些實施例中,所述微機電系統結構是在將所述微機電系統基底結合到所述上部介電層之前形成在所述微機電系統基底之上,且其中犧牲基底是在將所述微機電系統基底結合到所述上部介電層之前結合到所述微機電系統基底。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本公開的各個方面。所屬領域中的技術人員應理解,他們可容易地使用本公開作為設計或修改其他工藝及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下在本文中作出各種改變、代 替及變更。
100:微機電系統(MEMS)麥克風
101:載體基底開口
102:MEMS裝置結構
103:載體基底
104:過濾器堆疊
104a:下部介電層
104b:顆粒過濾器層
104c:上部介電層
106:顆粒過濾器
107:過濾器開口
108:第一背板
109:膜片開口
110:膜片
111:MEMS基底
111o:MEMS開口
112:第二背板
113:空氣體積空間
114、116、118:電接觸件
120:層間介電(ILD)結構
122:導通孔
124:導電線
A-A’:線
w1:第一寬度
w2:第二寬度

Claims (10)

  1. 一種麥克風,包括:微機電系統(MEMS)裝置結構,上覆在微機電系統基底上,其中所述微機電系統裝置結構包括膜片,所述膜片具有界定膜片開口的相對的側壁;載體基底,位於所述微機電系統基底之下,其中所述載體基底具有界定載體基底開口的相對的側壁,所述載體基底開口位於所述膜片開口之下;以及過濾器堆疊,夾置在所述載體基底與所述微機電系統基底之間,所述過濾器堆疊包括上部介電層、下部介電層及設置在所述上部介電層與所述下部介電層之間的顆粒過濾器層,其中所述顆粒過濾器層包括在所述載體基底的所述相對的側壁之間在橫向上間隔開的顆粒過濾器,其中所述顆粒過濾器層的外側壁延伸超過所述上部介電層的外側壁及所述下部介電層的外側壁。
  2. 如申請專利範圍第1項所述的麥克風,其中所述顆粒過濾器具有界定多個過濾器開口的多個相對的側壁,其中所述多個過濾器開口在橫向上位於所述載體基底的所述相對的側壁之間。
  3. 如申請專利範圍第1項所述的麥克風,其中所述顆粒過濾器的寬度小於所述載體基底的所述相對的側壁之間的距離。
  4. 如申請專利範圍第1項所述的麥克風,其中所述微機電系統基底的厚度小於所述載體基底的厚度。
  5. 一種微機電系統(MEMS)裝置,包括:微機電系統基底,具有界定微機電系統開口的相對的側壁;微機電系統結構,在垂直方向上位於所述微機電系統基底之上,其中所述微機電系統結構包括第一背板及在垂直方向上與所述第一背板分隔開的膜片;載體基底,位於所述微機電系統基底之下,其中所述載體基底具有界定載體基底開口的相對的側壁,其中所述載體基底開口位於所述膜片及所述微機電系統開口之下;以及過濾器堆疊,設置在所述載體基底與所述微機電系統基底之間,其中所述過濾器堆疊包括顆粒過濾器層,所述顆粒過濾器層具有顆粒過濾器,其中所述顆粒過濾器包括多個過濾器開口,所述多個過濾器開口延伸穿過所述顆粒過濾器層且在橫向上位於所述微機電系統基底的所述相對的側壁之間。
  6. 如申請專利範圍第5項所述的微機電系統裝置,其中所述過濾器堆疊還包括:上部介電層,設置在所述微機電系統基底與所述顆粒過濾器層之間;下部介電層,設置在所述載體基底與所述顆粒過濾器層之間,且其中所述顆粒過濾器層的外側壁延伸超過所述上部介電層的外側壁及所述下部介電層的外側壁。
  7. 如申請專利範圍第5項所述的微機電系統裝置,其中所述顆粒過濾器的所述多個過濾器開口是由第一氮化物層的側壁、第二氮化物層的側壁及設置在所述第一氮化物層與所述第二氮化物層之間的多晶矽層的側壁界定。
  8. 如申請專利範圍第5項所述的微機電系統裝置,其中所述顆粒過濾器層的厚度小於所述微機電系統基底的厚度,其中所述微機電系統基底的厚度小於所述載體基底的厚度。
  9. 一種製造微機電系統(MEMS)裝置的方法,所述方法包括:在載體基底之上形成過濾器堆疊,其中所述過濾器堆疊包括上部介電層、下部介電層、及設置在所述上部介電層與所述下部介電層之間的顆粒過濾器層;將所述過濾器堆疊圖案化,以在所述顆粒過濾器層中界定顆粒過濾器,所述顆粒過濾器具有圍繞多個過濾器開口連續地延伸的一個或多個表面;將微機電系統基底結合到所述上部介電層;在所述微機電系統基底之上形成微機電系統結構,所述微機電系統結構包括能夠移動的膜片;將所述載體基底圖案化,以在所述載體基底中界定載體基底開口;將所述微機電系統基底圖案化,以在所述微機電系統基底中界定微機電系統開口;以及 對所述微機電系統結構及所述過濾器堆疊執行刻蝕工藝,其中所述刻蝕工藝從所述顆粒過濾器正上方及正下方移除所述上部介電層及所述下部介電層。
  10. 如申請專利範圍第9項所述的方法,其中所述微機電系統結構是在將所述微機電系統基底結合到所述上部介電層之前形成在所述微機電系統基底之上,且其中犧牲基底是在將所述微機電系統基底結合到所述上部介電層之前結合到所述微機電系統基底。
TW108138998A 2019-08-16 2019-10-29 麥克風、微機電系統裝置及其製造方法 TWI718729B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/542,479 2019-08-16
US16/542,479 US10941034B1 (en) 2019-08-16 2019-08-16 Particle filter for MEMS device

Publications (2)

Publication Number Publication Date
TWI718729B true TWI718729B (zh) 2021-02-11
TW202108495A TW202108495A (zh) 2021-03-01

Family

ID=74568316

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138998A TWI718729B (zh) 2019-08-16 2019-10-29 麥克風、微機電系統裝置及其製造方法

Country Status (3)

Country Link
US (2) US10941034B1 (zh)
CN (1) CN112399291B (zh)
TW (1) TWI718729B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798799B (zh) * 2021-02-12 2023-04-11 台灣積體電路製造股份有限公司 半導體裝置與其製造方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11274037B2 (en) 2019-10-30 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Dual micro-electro mechanical system and manufacturing method thereof
US20230027657A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company Limited Damping device and method of making
EP4380182A1 (en) * 2022-12-01 2024-06-05 Infineon Technologies AG Monolithic integration of mems sound transducer and environmental barrier

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201539699A (zh) * 2014-04-09 2015-10-16 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co Ltd 嵌入式芯片的製造方法
CN105448648A (zh) * 2014-07-30 2016-03-30 北大方正集团有限公司 一种晶片流片方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8447057B2 (en) 2011-03-18 2013-05-21 Analog Devices, Inc. Packages and methods for packaging MEMS microphone devices
ITMI20111579A1 (it) 2011-09-02 2013-03-03 Saati Spa Microfono mems con schermo tessile integrato di protezione.
CN103391501B (zh) * 2012-05-10 2016-12-21 迈尔森电子(天津)有限公司 Mems麦克风结构及其制作方法
US9078063B2 (en) 2012-08-10 2015-07-07 Knowles Electronics, Llc Microphone assembly with barrier to prevent contaminant infiltration
US20150060955A1 (en) 2013-09-03 2015-03-05 Windtop Technology Corp. Integrated mems microphone with mechanical electrical isolation
US10149032B2 (en) * 2017-01-30 2018-12-04 Apple Inc. Integrated particle and light filter for MEMS device
CN109890748A (zh) * 2017-06-09 2019-06-14 歌尔股份有限公司 Mems麦克风、其制造方法以及电子设备
GB2563461B (en) 2017-06-16 2021-11-10 Cirrus Logic Int Semiconductor Ltd Transducer packaging
DE102017115405B3 (de) * 2017-07-10 2018-12-20 Epcos Ag MEMS-Mikrofon mit verbessertem Partikelfilter
US10609463B2 (en) 2017-10-30 2020-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated microphone device and manufacturing method thereof
DE102018200190B4 (de) * 2018-01-08 2019-08-14 Infineon Technologies Ag Mikroelektromechanisches System mit Filterstruktur
US10968097B2 (en) * 2019-08-16 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Support structure for MEMS device with particle filter

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201539699A (zh) * 2014-04-09 2015-10-16 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co Ltd 嵌入式芯片的製造方法
CN105448648A (zh) * 2014-07-30 2016-03-30 北大方正集团有限公司 一种晶片流片方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798799B (zh) * 2021-02-12 2023-04-11 台灣積體電路製造股份有限公司 半導體裝置與其製造方法

Also Published As

Publication number Publication date
US20210047175A1 (en) 2021-02-18
CN112399291A (zh) 2021-02-23
TW202108495A (zh) 2021-03-01
US11649162B2 (en) 2023-05-16
US10941034B1 (en) 2021-03-09
US20210188627A1 (en) 2021-06-24
CN112399291B (zh) 2022-12-13

Similar Documents

Publication Publication Date Title
TWI718729B (zh) 麥克風、微機電系統裝置及其製造方法
US9452920B2 (en) Microelectromechanical system device with internal direct electric coupling
US8945969B2 (en) Internal electrical contact for enclosed MEMS devices
CN106241727A (zh) 半导体结构及其制造方法
US8096048B2 (en) Method for fabricating MEMS structure
TWI713372B (zh) 麥克風、微機電系統裝置及其製造方法
US8252695B2 (en) Method for manufacturing a micro-electromechanical structure
US11203522B2 (en) Sidewall stopper for MEMS device
TWI733711B (zh) 半導體結構以及其製造方法
US8502382B2 (en) MEMS and protection structure thereof
US20230382716A1 (en) Mems microphone and mems accelerometer on a single substrate
US8129805B2 (en) Microelectromechanical system (MEMS) device and methods for fabricating the same
US11708262B2 (en) Manufacturing method of semiconductor structure
TWI794804B (zh) 微機電系統及其製造方法
KR102503921B1 (ko) 마이크로-전기 기계 시스템 및 그 제조 방법
TWI468025B (zh) 微機電系統麥克風的晶圓級封裝結構及其製造方法
TW202405895A (zh) 微機電裝置及其製造方法