TWI713372B - 麥克風、微機電系統裝置及其製造方法 - Google Patents

麥克風、微機電系統裝置及其製造方法 Download PDF

Info

Publication number
TWI713372B
TWI713372B TW108139396A TW108139396A TWI713372B TW I713372 B TWI713372 B TW I713372B TW 108139396 A TW108139396 A TW 108139396A TW 108139396 A TW108139396 A TW 108139396A TW I713372 B TWI713372 B TW I713372B
Authority
TW
Taiwan
Prior art keywords
support structure
layer
carrier substrate
filter
particle filter
Prior art date
Application number
TW108139396A
Other languages
English (en)
Other versions
TW202110206A (zh
Inventor
鄭鈞文
朱家驊
郭文政
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI713372B publication Critical patent/TWI713372B/zh
Publication of TW202110206A publication Critical patent/TW202110206A/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0009Structural features, others than packages, for protecting a device against environmental influences
    • B81B7/0029Protection against environmental influences not provided for in groups B81B7/0012 - B81B7/0025
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0018Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
    • B81B3/0021Transducers for transforming electrical into mechanical energy or vice versa
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/00158Diaphragms, membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/0023Packaging together an electronic processing unit die and a micromechanical structure die
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00325Processes for packaging MEMS devices for reducing stress inside of the package structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/00357Creating layers of material on a substrate involving bonding one or several substrates on a non-temporary support, e.g. another substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/00468Releasing structures
    • B81C1/00476Releasing structures removing a sacrificial layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00539Wet etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00777Preserve existing structures from alteration, e.g. temporary protection during manufacturing
    • B81C1/00825Protect against mechanical threats, e.g. against shocks, or residues
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C3/00Assembling of devices or systems from individually processed components
    • B81C3/001Bonding of two components
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R19/00Electrostatic transducers
    • H04R19/04Microphones
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0257Microphones or microspeakers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0127Diaphragms, i.e. structures separating two media that can control the passage from one medium to another; Membranes, i.e. diaphragms with filtering function
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/012Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being separate parts in the same package
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/11Structural features, others than packages, for protecting a device against environmental influences
    • B81B2207/115Protective layers applied directly to the device before packaging
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0061Packages or encapsulation suitable for fluid transfer from the MEMS out of the package or vice versa, e.g. transfer of liquid, gas, sound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48153Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/48195Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • H01L2224/48464Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond the other connecting portion not on the bonding area also being a ball bond, i.e. ball-to-ball
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48475Connecting portions connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball
    • H01L2224/48476Connecting portions connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball between the wire connector and the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/4905Shape
    • H01L2224/4909Loop shape arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • H01L23/053Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15151Shape the die mounting substrate comprising an aperture, e.g. for underfilling, outgassing, window type wire connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/1615Shape
    • H01L2924/16152Cap comprising a cavity for hosting the device, e.g. U-shaped cap
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R2201/00Details of transducers, loudspeakers or microphones covered by H04R1/00 but not provided for in any of its subgroups
    • H04R2201/003Mems transducers or their use
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R31/00Apparatus or processes specially adapted for the manufacture of transducers or diaphragms therefor
    • H04R31/006Interconnection of transducer parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Signal Processing (AREA)
  • Acoustics & Sound (AREA)
  • Physics & Mathematics (AREA)
  • Micromachines (AREA)

Abstract

本公開的各種實施例關於一種麥克風,麥克風包括支撐結構層,支撐結構層設置在顆粒過濾器與微機電系統結構之間。載體基底設置在顆粒過濾器下方且具有界定載體基底開口的相對的側壁。微機電系統結構上覆在載體基底上且包括上覆在載體基底開口上的膜片,膜片具有界定膜片開口的相對的側壁。顆粒過濾器設置在載體基底與微機電系統結構之間。多個過濾器開口延伸穿過顆粒過濾器。支撐結構層包括支撐結構,支撐結構具有在載體基底的相對的側壁之間在橫向上間隔開的一個或多個段。支撐結構的一個或多個段在多個過濾器開口之間在橫向上間隔開。

Description

麥克風、微機電系統裝置及其製造方法
本發明實施例是關於麥克風、微機電系統裝置及其製造方法。
微機電系統(Microelectromechanical system,MEMS)裝置(例如,加速度計、壓力感測器及麥克風)已廣泛地用於許多現代電子裝置中。舉例來說,MEMS加速度計及麥克風通常存在於汽車(例如,氣囊部署系統)、平板電腦或智慧型電話中。MEMS裝置可具有用於檢測運動並將運動轉換成電信號的可移動(movable)部件。舉例來說,MEMS加速度計包括將加速移動轉化成電信號的可移動部件。麥克風包括將聲音轉化成電信號的可移動隔膜(membrane)。
在一些實施例中,本申請提供一種麥克風,所述麥克風包括:載體基底,具有界定載體基底開口的相對的側壁;微機電系統(MEMS)結構,上覆在所述載體基底上,其中所述微機電系統結構包括上覆在所述載體基底開口上的膜片,所述膜片具有界定膜片開口的相對的側壁;顆粒過濾器,設置在所述載體基底與 所述微機電系統結構之間,其中多個過濾器開口延伸穿過所述顆粒過濾器;以及支撐結構層,設置在所述顆粒過濾器與所述微機電系統結構之間,其中所述支撐結構層包括支撐結構,所述支撐結構具有在所述載體基底的所述相對的側壁之間在橫向上間隔開的一個或多個段,其中所述支撐結構的所述一個或多個段在所述多個過濾器開口之間在橫向上間隔開。
在一些實施例中,本申請提供一種微機電系統(MEMS)裝置,所述微機電系統裝置包括:微機電系統結構,沿支撐結構層的上表面設置,其中所述微機電系統結構包括第一背板及在垂直方向上與所述第一背板隔開的膜片;載體基底,位於所述支撐結構層之下,其中所述載體基底具有界定載體基底開口的相對的側壁,其中所述載體基底開口位於所述膜片之下;過濾器堆疊,設置在所述載體基底與所述支撐結構層之間,其中所述過濾器堆疊包括顆粒過濾器層,所述顆粒過濾器層具有顆粒過濾器,其中所述顆粒過濾器包括多個過濾器開口,所述多個過濾器開口延伸穿過所述顆粒過濾器層且在橫向上位於所述載體基底的所述相對的側壁之間;以及支撐結構,設置在所述過濾器堆疊與所述微機電系統結構之間,其中所述支撐結構是所述支撐結構層的在橫向上位於延伸穿過所述支撐結構層的支撐結構開口之間的段。
在一些實施例中,本申請提供一種製造微機電系統(MEMS)裝置的方法,所述方法包括:在犧牲基底之上形成微機電系統結構,所述微機電系統結構包括可移動膜片;在載體基底之上形成過濾器堆疊,其中所述過濾器堆疊包括一個或多個介電層及設置在所述一個或多個介電層中的顆粒過濾器層,所述顆粒 過濾器層具有顆粒過濾器;在所述過濾器堆疊之上形成支撐結構層;將所述支撐結構層圖案化,以在所述支撐結構層中界定支撐結構,其中所述支撐結構具有一個或多個段;將所述支撐結構層結合到所述微機電系統結構;以及將所述載體基底圖案化以界定載體基底開口,其中所述支撐結構的所述一個或多個段在所述載體基底的界定所述載體基底開口的相對的側壁之間在橫向上間隔開。
100、300a、300b:微機電系統(MEMS)麥克風
101:載體基底開口
102:MEMS結構
103:載體基底
104:過濾器堆疊
104a:第一介電層
104b:顆粒過濾器層
104c:第二介電層
104d:第三介電層
105:支撐結構
105a:第一細長段
105b:第二細長段
105os:支撐結構開口
106:顆粒過濾器
107:過濾器開口
108:第一背板
109:膜片開口
110:膜片
111:支撐結構層
111ia、111ib:第一相對的內側壁
111ic、111id:第二相對的內側壁
112:第二背板
113:空氣體積空間
114、116、118:電接觸件
120、413:層間介電(ILD)結構
122:導通孔
124:導電線
140:水平線
200a、200b、200c、200d:俯視圖
302:下部顆粒過濾器層
304:中間顆粒過濾器層
306:上部顆粒過濾器層
400:積體晶片
401:封裝
401a:前側結構
401b:外殼結構
402:互補金屬氧化物半導體(CMOS)積體電路(IC)晶粒
403:空腔
404:焊料球
406:結合配線
408:電晶體
410:CMOS基底
412:後段(BEOL)金屬化堆疊
414:內連通孔
416:內連線
418:結合墊
500、600、700、800、900、1000、1100、1200、1300:剖視圖
502:犧牲載體基底
1102:上部罩幕層
1104:下部罩幕層
1400:方法
1402、1404、1406、1408、1410、1412、1414、1416、1418:動作
A-A’、B-B’:切割線
d:直徑
T1、T2:初始厚度
Tcs、Tms:厚度
結合附圖閱讀以下詳細說明,會最好地理解本公開的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1示出具有顆粒過濾器及支撐結構的微機電系統(MEMS)麥克風的一些實施例的剖視圖。
圖2A到圖2D示出圖1的MEMS麥克風的一些替代實施例的俯視圖。
圖3A到3B示出具有顆粒過濾器及支撐結構的MEMS麥克風的一些實施例的剖視圖。
圖4示出積體晶片的一些實施例的剖視圖,積體晶片的所述一些實施例包括打線結合到互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)積體電路(integrated circuit,IC)晶粒的圖1的MEMS麥克風的一些實施 例。
圖5到圖13示出形成具有顆粒過濾器及支撐結構的MEMS麥克風的方法的一些實施例的剖視圖。
圖14以流程圖的形式示出一種方法,所述流程圖示出形成具有顆粒過濾器及支撐結構的MEMS麥克風的方法的一些實施例。
本公開提供用於實施本公開的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本公開。當然,這些僅為實例而非旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵從而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本公開可能在各種實例中重複使用參考編號和/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身指示所論述的各種實施例和/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「在...之下」、「在...下方」、「下部的」、「在...上方」、「上部的」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
此外,為易於說明起見,本文中可使用「第一」、「第二」、「第三」等以在一個圖或一系列圖的不同元件之間進行區分。「第一」、「第二」、「第三」等並不旨在闡述對應的元件。因此,結合第一圖所述的「第一介電層」可能未必對應於結合另一圖所述的「第一介電層」。
用於聲學應用(acoustical application)的微機電系統(MEMS)裝置(例如,MEMS麥克風)常常被收容在具有開口(即,入口)的封裝結構內。封裝結構被配置成提供對MEMS裝置的保護,同時開口使得聲波到達封裝結構的容納MEMS裝置的空腔。在此種封裝結構內,MEMS裝置可電耦合到設置在空腔內的應用專用積體電路(application-specific integrated circuit,ASIC)。MEMS裝置具有直接上覆在封裝結構的開口上的可移動部件以及設置在可移動部件與封裝結構的開口之間的顆粒過濾器。顆粒過濾器被配置成防止顆粒進入封裝結構的開口,從而減少到達可移動部件的顆粒。與可移動部件相互作用的顆粒可例如通過引起短路和/或降低MEMS裝置的聲學超載點(acoustic overload point,AOP)來降低MEMS裝置的性能。
一種製作MEMS裝置的顆粒過濾器的方法是獨立於製作MEMS裝置及ASIC而形成顆粒過濾器。舉例來說,MEMS裝置可用可移動元件來製作,且ASIC可用半導體裝置(例如,電晶體)來製作。可提供封裝基底來積體MEMS裝置與ASIC。可在封裝基底中形成封裝結構開口,且隨後,可在封裝結構開口之上形成顆粒過濾器。在形成顆粒過濾器之後,MEMS裝置直接附接到顆粒過濾器。因此,MEMS裝置的可移動元件直接上覆在封裝結構開 口上。
前述方法的問題是用於形成顆粒過濾器並將顆粒過濾器直接附接到MEMS裝置的額外的處理步驟會增加與將MEMS裝置及ASIC積體在封裝結構上相關聯的時間及成本。此外,在進行直接附接製程期間,顆粒過濾器的小的厚度(例如,小於0.5微米)可能導致對顆粒過濾器的損壞和/或破壞,從而降低顆粒過濾器保護可移動元件免受顆粒傷害的能力。
作為另外一種選擇,可將顆粒過濾器及MEMS裝置形成為可附接到封裝結構的積體結構。儘管這種方法可減少用於將顆粒過濾器附接到MEMS裝置的額外的處理步驟,但是顆粒過濾器的小的厚度(例如,小於0.5微米)仍然可能造成對顆粒過濾器的損壞和/或破壞。
在一些實施例中,本公開關於一種簡化具有顆粒過濾器的MEMS裝置的製作的方法。所述方法在將顆粒過濾器及MEMS裝置附接到封裝結構之前,將顆粒過濾器及MEMS裝置形成為積體結構。此外,所述方法採用支撐結構,支撐結構設置在顆粒過濾器與MEMS裝置的可移動元件之間。支撐結構在製作具有顆粒過濾器的MEMS裝置期間和/或之後會增加顆粒過濾器的結構完整性,從而減少對顆粒過濾器的損壞。舉例來說,在將MEMS裝置結合到支撐結構層的同時,顆粒過濾器容易受到損壞。然而,支撐結構會消除或減輕對顆粒過濾器的損壞。這會提高顆粒過濾器阻擋和/或減輕顆粒與可移動元件相互作用的能力,從而提高MEMS裝置的性能、可靠性及耐久性。
圖1示出具有顆粒過濾器106及支撐結構105的微機電 系統(MEMS)麥克風100的一些實施例的剖視圖。
MEMS麥克風100包括MEMS結構102、支撐結構層111、過濾器堆疊104及載體基底103。過濾器堆疊104設置在載體基底103與支撐結構層111之間。MEMS結構102包括設置在上覆在支撐結構層111上的層間介電(inter-level dielectric,ILD)結構120內的導電線124及導通孔122。MEMS結構102更包括第一背板108、第二背板112及設置在第一背板108與第二背板112之間的膜片110。膜片110與第一背板108及第二背板112間隔開一個或多個非零距離。此外,膜片110以及第一背板108及第二背板112可為導電的,這會形成電容元件。在一些實施例中,電接觸件118電耦合到膜片110且形成電容元件的第一端子,電接觸件114電耦合到第一背板108且形成電容元件的第二端子,且電接觸件116電耦合到第二背板112且形成電容元件的第三端子。在一些實施例中,第二端子與第三端子電耦合在一起。在一些實施例中,通過導電線124及導通孔122實現電耦合。
膜片110包括一個或多個膜片開口109且可被ILD結構120錨定(anchor)在多個點處。將膜片110錨定在所述多個點處使得膜片110的邊界相對於第一背板108及第二背板112固定。當聲波通過載體基底103中的載體基底開口101對膜片110施加壓力時,膜片110可通過聲波的能量變形成使得膜片110朝向或遠離第一背板108和/或第二背板112彎曲。載體基底103具有界定載體基底開口101的側壁且支撐結構層111具有界定支撐結構開口105os的側壁。第一背板108及第二背板112分別包括多個開口,空氣可通過這些開口而經過。
在第一背板108與第二背板112之間存在空氣體積空間(air volume space)113。空氣體積空間113位於膜片110的上方及下方。空氣可通過由第一背板108及第二背板112中的每一者中的所述多個開口形成的空氣通道(air passage way)和/或通過膜片110的所述一個或多個膜片開口109從空氣體積空間113放出或進入到空氣體積空間113中。當膜片110朝向或遠離第一背板108和/或第二背板112彎曲時,空氣從空氣體積空間113排出或進入到空氣體積空間113中。通過聲波進行的膜片110相對於第一背板108和/或第二背板112的彎曲移動會改變膜片110與第一背板108和/或第二背板112之間的電容元件的電容。電容的這種改變可通過電接觸件114、116、118而提供到被配置成測量電容的外部電路系統。所測量的電容可被轉變成與引起空氣的移動的聲波對應的聲學信號。
過濾器堆疊104包括對設置在第一介電層104a內的顆粒過濾器106進行界定的顆粒過濾器層104b。在一些實施例中,顆粒過濾器層104b可包含多晶矽(例如,本質多晶矽),且第一介電層104a可包含氧化物(例如,氧化矽)。顆粒過濾器106是顆粒過濾器層104b的位於載體基底開口101與支撐結構105之間的段。顆粒過濾器106具有被配置成將空氣從載體基底開口101傳送到空氣體積空間113的多個過濾器開口107。空氣在穿過(travel through)載體基底103中的載體基底開口101到達空氣體積空間113時經過顆粒過濾器106,顆粒過濾器106被配置成阻擋和/或移除空氣中可能對膜片110的移動產生不利影響的顆粒。在一些實施例中,顆粒可例如為來自被實施成形成MEMS麥克風100的雷 射劃切製程(laser dicing process)的副產品和/或雷射劃切製程中使用的化學品,例如多晶矽、二氧化矽等。與膜片110相互作用的顆粒可通過例如引起短路(例如,在第一背板108及第二背板112與膜片110之間引起短路)和/或降低MEMS麥克風100的聲學超載點(AOP)來降低MEMS麥克風100的性能。
支撐結構105是支撐結構層111的段(segment),其中支撐結構105包含與支撐結構層111相同的材料。在一些實施例中,支撐結構105的上表面及MEMS結構102的下表面分別沿著實質上直的水平線140設置。在一些實施例中,支撐結構層111包含矽、多晶矽(例如,本質多晶矽)等。支撐結構105包括在過濾器開口107之間在橫向上間隔開且在顆粒過濾器106與MEMS結構102之間在垂直方向上間隔開的一個或多個段(例如,圖2A的第一細長段105a)。通過將支撐結構105設置在顆粒過濾器106與MEMS結構102之間,顆粒過濾器106的結構完整性得以增加。舉例來說,在MEMS麥克風100的製作期間,支撐結構105可形成在過濾器堆疊104之上。隨後,MEMS結構102通過支撐結構層111結合到載體基底103。支撐結構105防止在前述結合製程期間對顆粒過濾器層104b的損壞和/或破壞。此外,支撐結構105在隨後的處理步驟期間和/或在MEMS麥克風100的操作期間保持在適當位置。因此,支撐結構105可減少或消除對顆粒過濾器106的應變和/或損壞,從而提高MEMS麥克風100的耐久性、可靠性及性能。
圖2A示出沿著圖1的切割線A-A’截取的支撐結構105及顆粒過濾器106的一些替代實施例的俯視圖200a。圖1示出沿 著圖2A的切割線B-B’截取的剖視圖的一些實施例。
所述多個過濾器開口107位於支撐結構105之下且分別具有圓形形狀和/或橢圓形狀。所述多個過濾器開口107可排列成包括橫跨載體基底開口(圖1的101)的行和/或列的陣列。顆粒過濾器106被配置成阻擋和/或移除從顆粒過濾器106的第一表面傳送到顆粒過濾器106的相對的第二表面的空氣中的顆粒(例如,通過過濾器開口107的形狀/大小和/或顆粒過濾器層104b的材料)。支撐結構105是支撐結構層111的在過濾器開口107之上延伸且為顆粒過濾器106提供結構支撐的段。支撐結構105包括第一細長段105a,所述第一細長段105a在支撐結構層111的第一相對的內側壁111ia、111ib之間連續地延伸。在一些實施例中,支撐結構105的第一細長段105a在橫向上與過濾器開口107偏移開非零距離。在此種實施例中,通過在橫向上從過濾器開口107移動細長段,空氣可更容易地通過支撐結構開口105os從過濾器開口107流到空氣體積空間113。在又一些實施例中,支撐結構105可包括任意數目的第一細長段105a。舉例來說,第一細長段105a可設置在過濾器開口107(未示出)的每一相鄰的行之間。
在一些實施例中,過濾器開口107各自具有可例如介於約3微米到約10微米的範圍內的直徑d。在一些實施例中,如果直徑d小於約3微米,則可降低將空氣從顆粒過濾器106的第一表面傳送到顆粒過濾器106的相對的第二表面的能力,從而降低MEMS裝置結構102的性能。在又一些實施例中,如果直徑d大於約10微米,則可降低顆粒過濾器106阻擋和/或移除經過顆粒過濾器106的空氣中的顆粒的能力。舉例來說,顆粒過濾器開口107 可大於顆粒,以使得顆粒可經過顆粒過濾器開口且對膜片(圖1的110)的移動產生不利影響。
圖2B示出沿著圖1的切割線A-A’截取的支撐結構105的一些替代實施例的俯視圖200b。圖1示出沿著圖2B的切割線B-B’截取的剖視圖的一些實施例。
支撐結構105包括第一細長段105a及第二細長段105b。第一細長段105a分別在第一相對的內側壁111ia、111ib之間連續地延伸,且第二細長段105b分別在第二相對的內側壁111ic、111id之間連續地延伸。第一相對的內側壁111ia、111ib在第二相對的內側壁111ic、111id之間連續地延伸。此外,第一細長段105a分別在第一方向上延伸且第二細長段105b分別在與第一方向正交的第二方向上延伸。在一些實施例中,第一細長段105a分別與每一第二細長段105b相交。在又一些實施例中,第一細長段105a可設置在過濾器開口107的每一相鄰的行之間和/或第二細長段105b可設置在過濾器開口107的每一相鄰的行之間(未示出)。
圖2C示出沿著圖1的切割線A-A’截取的支撐結構105的一些替代實施例的俯視圖200c。圖1示出沿著圖2C的切割線B-B’截取的剖視圖的一些實施例。
所述多個支撐結構開口105os延伸穿過支撐結構層111且分別具有圓形形狀和/或橢圓形狀。所述多個支撐結構開口105os可排列成包括橫跨載體基底開口(圖1的101)行的和/或列的陣列。在一些實施例中,所述多個支撐結構開口105os直接對準在過濾器開口107上方。在一些實施例中,支撐結構105被配置成第二顆粒過濾器。在此種實施例中,支撐結構105被配置成阻擋 和/或移除從支撐結構105的第一表面傳送到支撐結構105的相對的第二表面的空氣中的顆粒(例如,通過支撐結構開口105os的形狀/大小和/或支撐結構層111的材料來進行)。因此,支撐結構105可增加顆粒過濾器106的結構完整性且用作第二顆粒過濾器,從而進一步提高MEMS麥克風100的性能、可靠性及耐久性。在一些實施例中,支撐結構105具有第一材料(例如,矽)且顆粒過濾器(圖1的106)具有與第一材料不同的第二材料(例如,氮化矽和/或多晶矽)。
圖2D示出沿著圖1的切割線A-A’截取的顆粒過濾器106及支撐結構105的一些替代實施例的俯視圖200d。圖1示出沿著圖2D的切割線B-B’截取的剖視圖的一些實施例。
所述多個支撐結構開口105os延伸穿過支撐結構層111且分別具有多邊形形狀(例如三角形、矩形、五邊形等)。所述多個過濾器開口107位於支撐結構105之下且分別具有圓形形狀和/或橢圓形狀。因此,過濾器開口107可分別具有與支撐結構開口105os不同的形狀。所述多個支撐結構開口105os分別大於對應的下伏的過濾器開口107。在又一些實施例中,支撐結構開口105os可分別小於對應的下伏的過濾器開口107(未示出)。在又一些實施例中,支撐結構105用作具有與顆粒過濾器106不同的開口形狀的第二顆粒過濾器。這可進一步降低顆粒到達MEMS結構(圖1的102)的能力,從而進一步提高MEMS麥克風(圖1的100)的性能、可靠性及耐久性。
圖3A示出與圖1的MEMS麥克風100的一些替代實施例對應的MEMS麥克風300a的剖視圖。
在一些實施例中,顆粒過濾器層104b包括下部顆粒過濾器層302、中間顆粒過濾器層304及上部顆粒過濾器層306。下部顆粒過濾器層302可例如為或包含矽、氮化物、氮化矽等和/或具有介於約0.2微米到約1微米的範圍內的厚度。中間顆粒過濾器層304可例如為或包含多晶矽、未經摻雜的多晶矽等和/或具有介於約0.2微米到約1微米的範圍內的厚度。上部顆粒過濾器層306可例如為或包含矽、氮化物、氮化矽等和/或具有介於約0.2微米到約1微米的範圍內的厚度。在一些實施例中,顆粒過濾器層104b內的層可各自具有實質上相同的厚度。在又一些實施例中,下部顆粒過濾器層302與上部顆粒過濾器層306可包含相同的材料(例如,氮化矽)。在一些實施例中,顆粒過濾器層104b包括多晶矽層(例如,中間顆粒過濾器層304),所述多晶矽層設置在兩個氮化矽層(例如,下部顆粒過濾器層302與上部顆粒過濾器層306)之間且被配置成減少在顆粒過濾器106上誘發的應力,從而增加顆粒過濾器106的結構完整性及可靠性。在再一些實施例中,導通孔122、導電線124、第一背板108及第二背板112、膜片110及顆粒過濾器106可分別包含相同的材料(例如,金屬、多晶矽等)。
圖3B示出與圖1的MEMS麥克風100的一些替代實施例對應的MEMS麥克風300b的剖視圖。
過濾器堆疊104包括第一介電層104a、顆粒過濾器層104b、第二介電層104c及第三介電層104d。在一些實施例中,第一介電層104a、第二介電層104c及第三介電層104d可為或包含第一材料(例如氧化物(例如二氧化矽))且顆粒過濾器層104b 可為或包含與第一材料不同的第二材料(例如氮化物(例如氮化矽)、多晶矽等)。此外,如圖3B中所示,支撐結構層111具有界定多個支撐結構開口105os的多個基底側壁且顆粒過濾器層104b具有界定所述多個過濾器開口107的多個顆粒過濾器側壁。在一些實施例中,所述多個基底側壁在橫向上與所述多個顆粒過濾器側壁對齊。在此種實施例中,所述多個支撐結構開口105os分別上覆在對應的過濾器開口107上且支撐結構105被配置成第二顆粒過濾器,如圖2C中示出及闡述。
圖4示出積體晶片400的一些實施例的剖視圖,積體晶片400的所述一些實施例包括打線結合到互補金屬氧化物半導體(CMOS)積體電路(IC)晶粒402的圖1的MEMS麥克風100的一些替代實施例。
積體晶片400包括MEMS麥克風100,MEMS麥克風100在橫向上鄰近CMOS IC晶粒402且設置在封裝401的空腔403內。在一些實施例中,MEMS麥克風100的支撐結構層111包括被配置成增加MEMS麥克風100的結構完整性的支撐結構105。在一些實施例中,CMOS IC晶粒402可為應用專用積體電路(ASIC)。在一些實施例中,空腔403由封裝401的內側壁界定。封裝401包括前側結構401a及外殼結構(enclosure structure)401b。CMOS IC晶粒402及MEMS麥克風100設置在前側結構401a上。在一些實施例中,封裝401的開口(即入口)可為MEMS麥克風100的載體基底開口101,以使得任何進入或離開空腔403的空氣都經過顆粒過濾器106。
CMOS IC晶粒402包括上覆在CMOS基底410上的後段 (back-end-of-line,BEOL)金屬化堆疊412。BEOL金屬化堆疊包括層間介電(ILD)結構413、內連線416及內連通孔414。CMOS基底410及ILD結構413包括例如電晶體408等電子元件和/或例如一個或多個電容器、電阻器、電感器和/或二極體等其他電氣組件(未示出)。CMOS基底410可例如為或包括塊狀半導體基底或絕緣體上矽(silicon-on-insulator,SOI)基底。ILD結構413可包括一個或多個堆疊的ILD層,所述一個或多個堆疊的ILD層分別包含低介電常數(low-k)電介質(即介電常數小於約3.9的介電材料)及氧化物(例如二氧化矽)等。內連通孔414及內連線416可例如分別為或包含導電材料,例如鋁、銅、鎢等。
在MEMS麥克風100的每一電接觸件114、116、118之上設置有焊料球404。焊料球404為多條結合配線406提供接觸點。在內連線416的頂層上方上覆有結合墊418,且結合墊418在CMOS IC晶粒402上為結合配線406提供打線結合位置。在一些實施例中,電晶體408通過BEOL金屬化堆疊412、結合配線406及結合墊418電耦合到電接觸件114、116、118。電晶體408可被配置成從第一背板108、第二背板112、和/或膜片110接收信號。
圖5到圖13示出根據本公開的形成具有顆粒過濾器及支撐結構的MEMS麥克風的方法的一些實施例的剖視圖500到剖視圖1300。儘管參照方法闡述圖5到圖13中所示的剖視圖500到剖視圖1300,然而應理解,圖5到圖13中所示的結構並不僅限於所述方法,而是可單獨地獨立於所述方法。此外,儘管圖5到圖13被闡述為一系列動作,然而應理解,這些動作並不限制在其他實 施例中可改變的動作的次序,且所公開的方法也適用於其他結構。在其他實施例中,可全部或部分地省略示出和/或闡述的一些動作。
如圖5的剖視圖500中所示,在犧牲載體基底502之上形成MEMS結構102。在一些實施例中,犧牲載體基底502可例如為塊狀基底(例如塊狀矽基底)、絕緣體上矽(SOI)基底、或另一種合適的基底。MEMS結構102包括導電線124、導通孔122、層間介電(ILD)結構120、第一背板108、第二背板112、以及設置在第一背板108與第二背板112之間的膜片110。ILD結構120可為和/或包括一個或多個介電層。所述一個或多個介電層可例如為或包含氧化物(例如二氧化矽)、或另一種合適的氧化物。在一些實施例中,形成MEMS結構102的製程包括:通過單鑲嵌製程形成導電線124的最底層;以及隨後通過單鑲嵌製程形成導通孔122的最底層。此外,在一些實施例中,所述製程包括通過重複執行雙鑲嵌製程來形成導通孔122及導電線124的剩餘的層。
另外,第一背板108、第二背板112及膜片110可在導電線124的對應的層的雙鑲嵌製程或單鑲嵌製程期間形成。舉例來說,第二背板112可與形成導電線124的最底層的單鑲嵌製程同時形成。在另一實例中,第一背板108、第二背板112及膜片110可各自通過以下步驟形成:對多晶矽的層進行沉積(例如,通過化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、或另一種合適的沉積製程);根據罩幕層(未示出)將多晶矽的層圖案化;以及執行移除製程來移除罩幕層。在一些實施例中,導電線124、導通孔122、第一 背板108、第二背板112及膜片110可例如分別包含多晶矽、金屬或另一種合適的導電材料。
如圖6的剖視圖600中所示,提供載體基底103。在一些實施例中,載體基底103可例如為或包含塊狀基底(例如塊狀矽基底)、絕緣體上矽(SOI)基底或另一種合適的基底,和/或可具有介於約250微米到約725微米的範圍內的初始厚度T1。在載體形成基底之上形成過濾器堆疊104。過濾器堆疊104包括第一介電層104a、顆粒過濾器層104b、第二介電層104c及第三介電層104d。
在一些實施例中,形成過濾器堆疊104的製程包括:在載體基底103之上沉積第一介電層104a且隨後執行第一退火製程;在第一介電層104a之上沉積顆粒過濾器層104b,執行第二退火製程,且將顆粒過濾器層104b圖案化以界定顆粒過濾器106;在顆粒過濾器層104b之上沉積第二介電層104c且隨後執行第一平坦化製程(例如,化學機械平坦化(chemical-mechanical planarization,CMP)製程);以及在第二介電層104c之上沉積第三介電層104d且隨後執行第二平坦化製程(例如,CMP製程)。在一些實施例中,將顆粒過濾器層104b圖案化包括:在顆粒過濾器層104b之上形成罩幕層(未示出);將顆粒過濾器層104b的未被罩幕的區暴露到一種或多種蝕刻劑,從而界定顆粒過濾器106;以及執行移除製程以移除罩幕層。在一些實施例中,過濾器堆疊104的層可分別例如通過CVD、PVD、原子層沉積(atomic layer deposition,ALD)、熱氧化、或另一種合適的沉積製程來沉積和/或生長。在又一些實施例中,第一介電層104a、第二介電層104c及第三介電層104d可分別通過電漿增強型化學氣相沉積 (plasma-enhanced chemical vapor deposition,PECVD)、高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDPCVD)、低壓化學氣相沉積(LPCVD)、或另一種合適的沉積製程形成。
在一些實施例中,第一介電層104a可例如為或包含氧化物(例如二氧化矽)或者另一種介電材料,和/或可具有介於約10微米到約40微米的範圍內的厚度。在一些實施例中,顆粒過濾器層104b可例如為或包含多晶矽、氮化矽等,和/或可具有介於約0.5微米到約10微米的範圍內的厚度。在一些實施例中,第二介電層104c可例如為或包含氧化物(例如二氧化矽)或者另一種介電材料,和/或可具有約2微米的厚度。在又一些實施例中,第三介電層104d可例如為或包含氧化物(例如二氧化矽)或者另一種介電材料,和/或可具有約1微米的厚度。在又一些實施例中,第一介電層104a、第二介電層104c及第三介電層104d可分別為或包含相同的材料。
如圖7的剖視圖700中所示,在第三介電層104d上形成支撐結構層111。在一些實施例中,形成製程包括將支撐結構層111結合到第三介電層104d。在此種實施例中,結合製程可例如為熔合結合製程、或另一種合適的結合製程。在一些實施例中,支撐結構層111可例如為塊狀基底(例如,塊狀矽基底)、絕緣體上矽(SOI)基底、或者具有初始厚度T2的另一種合適的基底。在執行結合製程之後,對支撐結構層111執行薄化製程(thinning process),以將支撐結構層111的初始厚度T2減小到厚度Tms。在一些實施例中,厚度Tms介於約10微米到約200微米的範圍 內。在一些實施例中,薄化製程是通過機械研磨製程、CMP製程、一些其它薄化製程、或前述製程的任意組合來執行。舉例來說,薄化製程可完全通過機械研磨製程來執行。
在又一些實施例中,支撐結構層111可通過在過濾器堆疊104之上沉積支撐結構層111來形成。沉積製程可例如為或包括CVD、PVD、LPCVD、PECVD、或另一種沉積製程。舉例來說,沉積製程完全通過CVD製程(例如,PECVD)執行。在此種實施例中,支撐結構層111可例如為或包含多晶矽、本質多晶矽等,和/或可具有約4微米的厚度。這可減少在顆粒過濾器106上誘發的物理應變(例如,通過省略圖7的結合製程和/或薄化製程),和/或減少與形成支撐結構層111相關的成本及時間。
如圖8的剖視圖800中所示,將支撐結構層111圖案化,從而界定延伸穿過支撐結構層111及支撐結構105的支撐結構開口105os。在一些實施例中,以將支撐結構105配置成第二顆粒過濾器這樣一種方式來將支撐結構層111圖案化,如圖3B和/或圖2C中示出及闡述。在一些實施例中,將支撐結構層111圖案化的製程包括:在支撐結構層111之上形成罩幕層;將支撐結構層111的未被罩幕的區暴露到一種或多種蝕刻劑(例如,氟化硫(例如,六氟化硫(SF6))等),從而界定支撐結構105;以及執行移除製程以移除罩幕層。在一些實施例中,前述圖案化製程包括執行乾式蝕刻製程、電漿蝕刻製程、或另一種合適的蝕刻製程。
如圖9的剖視圖900中所示,將支撐結構層111結合到MEMS結構102。在一些實施例中,結合製程可例如為熔合結合製程或另一種合適的結合製程。在執行結合製程之後,對載體基底 103執行薄化製程,以將載體基底的初始厚度T1減小到厚度Tcs。在一些實施例中,厚度Tcs介於約200微米到約400微米的範圍內。在一些實施例中,薄化製程通過機械研磨製程、CMP製程、一些其它薄化製程、或前述製程的任意組合來執行。舉例來說,薄化製程可完全通過機械研磨製程來執行。
如圖10的剖視圖1000中所示,將圖9的結構翻轉且對犧牲載體基底(圖9的502)執行移除製程,從而移除犧牲載體基底(圖9的502)。在一些實施例中,犧牲載體基底(圖9的502)的移除製程包括:對犧牲載體基底(圖9的502)執行研磨製程(例如,機械研磨製程);以及對犧牲載體基底(圖9的502)的剩餘部分和/或ILD結構120執行濕式蝕刻製程,從而暴露出ILD結構120的上表面。在一些實施例中,在移除犧牲載體基底(圖9的502)之後,執行沉積製程以在ILD結構120的上表面上形成一個或多個ILD層(例如,包含二氧化矽的一個或多個ILD層)。在此種實施例中,所述一個或多個ILD層是ILD結構120的一部分且可通過例如PECVD來沉積。
同樣如圖10中所示,在ILD結構120中形成電接觸件114、116、118。在一些實施例中,形成電接觸件114、116、118的製程包括:在ILD結構120之上形成罩幕層(未示出);將ILD結構120的未被罩幕的區暴露到一種或多種蝕刻劑,從而界定電接觸件開口;以及將電接觸件114、116、118沉積在電接觸件開口中。在此種實施例中,電接觸件114、116、118可例如通過無電鍍覆、濺鍍、電鍍、或另一種合適的沉積製程來沉積和/或生長。在一些實施例中,電接觸件114、116、118可分別例如為或包含 金、鎳等。
如圖11的剖視圖1100中所示,在ILD結構120的上表面之上形成上部罩幕層1102。上部罩幕層1102覆蓋電接觸件114、116、118且被配置成在後續處理步驟期間保護電接觸件114、116、118。在載體基底103的下表面上形成下部罩幕層1104。在形成下部罩幕層1104之後,根據下部罩幕層1104將載體基底103圖案化,從而在載體基底103中形成載體基底開口101。在一些實施例中,將載體基底103圖案化包括執行乾式蝕刻製程,例如電漿蝕刻製程和/或深反應離子蝕刻(deep reactive-ion etch,DRIE)製程。
如圖12的剖視圖1200中所示,根據上部罩幕層1102和/或下部罩幕層1104對圖11的結構執行圖案化製程,從而界定MEMS麥克風100。在一些實施例中,對圖11的結構進行的圖案化製程包括:執行濕式蝕刻製程和/或將圖11的結構暴露到一種或多種蝕刻劑。圖案化製程移除ILD結構120的一部分,從而界定空氣體積空間113。此外,圖案化製程從顆粒過濾器106的上方及下方移除第一介電層104a、第二介電層104c及第三介電層104d。在執行圖案化製程之後,執行移除製程以移除上部罩幕層及下部罩幕層(圖11的1102、1104)。在蝕刻製程期間中,顆粒過濾器106被釋放且可通過蝕刻劑和/或通過MEMS麥克風的移動而移動。支撐結構105限制顆粒過濾器106的移動,以防止對顆粒過濾器106的損壞(例如,防止顆粒過濾器106接觸第一背板108)。
如圖13的剖視圖1300中所示,將MEMS麥克風100結合到封裝401的前側結構401a。在一些實施例中,結合製程可例 如為熔合結合製程、或者另一種合適的結合製程。支撐結構會增加結構支撐和/或限制顆粒過濾器106的移動,從而防止在前述結合製程期間對顆粒過濾器106的損壞。此外,在執行結合製程之後,將電接觸件114、116、118打線結合到CMOS IC晶粒402。此外,在打線結合製程之後,在前側結構401a之上形成外殼結構401b,從而界定空腔403。在一些實施例中,封裝401的開口(即入口)可為MEMS麥克風100的載體基底開口101,以使得任何進入或離開空腔403的空氣都經過顆粒過濾器106。
在一些實施例中,由於在圖6到圖12的處理步驟期間,第一介電層104a及第二介電層104c環繞顆粒過濾器層104b,因此顆粒過濾器層104b被保護免受由於圖6到圖12的處理步驟而產生的顆粒和/或造成的損壞。因此,在圖6到圖12的處理步驟期間,顆粒不會聚集在顆粒過濾器層104b周圍和/或顆粒過濾器層104b上,從而增加顆粒過濾器106阻止顆粒到達膜片110的能力。在又一些實施例中,由於顆粒過濾器106通過圖12的濕式蝕刻製程被釋放,因此可減輕對顆粒過濾器106的損壞。舉例來說,在再一些實施例中,如果顆粒過濾器106通過乾式蝕刻製程被釋放,則顆粒過濾器106可能更容易收集顆粒和/或具有結構損壞,從而降低顆粒過濾器106的性能。
圖14示出根據一些實施例的形成具有顆粒過濾器及支撐結構的MEMS麥克風的方法1400。儘管方法1400被示出和/或闡述為一系列動作或事件,然而應理解,所述方法並非僅限於所示次序或動作。因此,在一些實施例中,所述動作可以與所示不同的次序施行和/或可同時施行。此外,在一些實施例中,所示的動 作或事件可被細分為多個動作或事件,所述多個動作或事件可在單獨的時間施行或者與其他動作或子動作同時施行。在一些實施例中,可省略一些示出的動作或事件,且可包括其他未示出的動作或事件。
在動作1402處,在犧牲載體基底之上形成MEMS結構。MEMS結構包括第一背板、第二背板及設置在第一背板與第二背板之間的膜片。圖5示出與動作1402的一些實施例對應的剖視圖500。
在動作1404處,提供載體基底,且在載體基底之上形成過濾器堆疊。過濾器堆疊包括一個或多個介電層及顆粒過濾器層,顆粒過濾器層具有設置在所述一個或多個介電層中的顆粒過濾器。圖6示出與動作1404的一些實施例對應的剖視圖600。
在動作1406處,在過濾器堆疊之上形成支撐結構層。圖7示出與動作1406的一些實施例對應的剖視圖700。
在動作1408處,將支撐結構層圖案化,從而在支撐結構層中界定支撐結構。圖8示出與動作1408的一些實施例對應的剖視圖800。
在動作1410處,將支撐結構層結合到MEMS結構。支撐結構設置在膜片與顆粒過濾器之間。圖9示出與動作1410的一些實施例對應的剖視圖900。
在動作1412處,執行移除製程以移除犧牲載體基底。圖10示出與動作1412的一些實施例對應的剖視圖1000。
在動作1414處,將載體基底圖案化以在顆粒過濾器下方界定載體基底開口(例如,入口)。圖11示出與動作1414的一些 實施例對應的剖視圖1100。
在動作1416處,對MEMS結構及過濾器堆疊執行蝕刻製程,從而在第一背板、第二背板及膜片周圍界定空氣體積空間。蝕刻製程從顆粒過濾器移除所述一個或多個介電層。圖12示出與動作1416的一些實施例對應的剖視圖1200。
在動作1418處,將載體基底結合到封裝的前側結構。圖13示出與動作1418的一些實施例對應的剖視圖1300。
因此,在一些實施例中,本公開關於一種包括設置在顆粒過濾器與膜片之間的支撐結構的MEMS麥克風。
在一些實施例中,本申請提供一種麥克風,所述麥克風包括:載體基底,具有界定載體基底開口的相對的側壁;微機電系統(MEMS)結構,上覆在所述載體基底上,其中所述微機電系統結構包括上覆在所述載體基底開口上的膜片,所述膜片具有界定膜片開口的相對的側壁;顆粒過濾器,設置在所述載體基底與所述微機電系統結構之間,其中多個過濾器開口延伸穿過所述顆粒過濾器;以及支撐結構層,設置在所述顆粒過濾器與所述微機電系統結構之間,其中所述支撐結構層包括支撐結構,所述支撐結構具有在所述載體基底的所述相對的側壁之間在橫向上間隔開的一個或多個段,其中所述支撐結構的所述一個或多個段在所述多個過濾器開口之間在橫向上間隔開。
在一些實施例中,所述顆粒過濾器包含多晶矽且所述支撐結構包含矽。在一些實施例中,所述膜片、所述顆粒過濾器及所述支撐結構分別包含多晶矽。在一些實施例中,還包括:過濾器堆疊,夾置在所述載體基底與所述支撐結構層之間,其中所述 過濾器堆疊包括介電層及設置在所述介電層內的顆粒過濾器層,其中所述顆粒過濾器是所述顆粒過濾器層的段,且其中所述介電層的內側壁與所述載體基底的所述相對的側壁對齊。在一些實施例中,所述支撐結構的所述一個或多個段具有多個相對的側壁,所述多個相對的側壁界定多個支撐結構開口。在一些實施例中,當從上方觀察時,所述多個支撐結構開口與所述多個過濾器開口具有相同的形狀。在一些實施例中,所述多個支撐結構開口分別上覆在所述多個過濾器開口中的單個過濾器開口上。在一些實施例中,所述支撐結構設置在所述支撐結構層的第一對相對的內側壁與第二對相對的內側壁之間,且其中所述支撐結構層的所述第二對相對的內側壁與所述載體基底的所述相對的側壁對齊。在一些實施例中,所述支撐結構的所述一個或多個段包括第一細長段及第二細長段,其中所述第一細長段在所述支撐結構層的所述第一對相對的內側壁之間連續地延伸,且其中所述第二細長段在所述支撐結構層的所述第二對相對的內側壁之間連續地延伸。
在一些實施例中,本申請提供一種微機電系統(MEMS)裝置,所述微機電系統裝置包括:微機電系統結構,沿支撐結構層的上表面設置,其中所述微機電系統結構包括第一背板及在垂直方向上與所述第一背板隔開的膜片;載體基底,位於所述支撐結構層之下,其中所述載體基底具有界定載體基底開口的相對的側壁,其中所述載體基底開口位於所述膜片之下;過濾器堆疊,設置在所述載體基底與所述支撐結構層之間,其中所述過濾器堆疊包括顆粒過濾器層,所述顆粒過濾器層具有顆粒過濾器,其中所述顆粒過濾器包括多個過濾器開口,所述多個過濾器開口延伸 穿過所述顆粒過濾器層且在橫向上位於所述載體基底的所述相對的側壁之間;以及支撐結構,設置在所述過濾器堆疊與所述微機電系統結構之間,其中所述支撐結構是所述支撐結構層的在橫向上位於延伸穿過所述支撐結構層的支撐結構開口之間的段。
在一些實施例中,所述顆粒過濾器包括下部顆粒過濾器層、上部顆粒過濾器層及設置在所述上部顆粒過濾器層與所述下部顆粒過濾器層之間的中間顆粒過濾器層,其中所述上部顆粒過濾器層及所述下部顆粒過濾器層包含氮化矽,且其中所述中間顆粒過濾器層及所述支撐結構包含多晶矽。在一些實施例中,所述支撐結構的厚度大於所述顆粒過濾器的厚度。在一些實施例中,所述載體基底的厚度大於所述支撐結構的厚度。在一些實施例中,當從上方觀察時,所述支撐結構開口分別具有與所述過濾器開口不同的形狀。在一些實施例中,所述支撐結構開口具有多邊形形狀且所述過濾器開口具有圓形形狀。在一些實施例中,所述過濾器堆疊包括介電層,其中所述顆粒過濾器層設置在所述介電層中,其中所述介電層的內側壁與所述載體基底的所述相對的側壁對齊,且其中所述支撐結構層的內側壁與所述介電層的所述內側壁對齊。
在一些實施例中,本申請提供一種製造微機電系統(MEMS)裝置的方法,所述方法包括:在犧牲基底之上形成微機電系統結構,所述微機電系統結構包括可移動膜片;在載體基底之上形成過濾器堆疊,其中所述過濾器堆疊包括一個或多個介電層及設置在所述一個或多個介電層中的顆粒過濾器層,所述顆粒過濾器層具有顆粒過濾器;在所述過濾器堆疊之上形成支撐結構 層;將所述支撐結構層圖案化,以在所述支撐結構層中界定支撐結構,其中所述支撐結構具有一個或多個段;將所述支撐結構層結合到所述微機電系統結構;以及將所述載體基底圖案化以界定載體基底開口,其中所述支撐結構的所述一個或多個段在所述載體基底的界定所述載體基底開口的相對的側壁之間在橫向上間隔開。
在一些實施例中,形成所述支撐結構層包括:將所述支撐結構層熔合結合到所述過濾器堆疊;以及對所述支撐結構層執行機械研磨製程,以將所述支撐結構層的厚度減小到小於所述載體基底的厚度,其中所述支撐結構層包含矽且所述顆粒過濾器包含多晶矽。在一些實施例中,形成所述支撐結構層包括:通過化學氣相沉積製程在所述過濾器堆疊的上表面上沉積所述支撐結構層,其中所述支撐結構層及所述顆粒過濾器分別包含多晶矽。在一些實施例中,形成所述過濾器堆疊包括:在所述載體基底之上形成第一介電層;在所述第一介電層之上形成所述顆粒過濾器層;將所述顆粒過濾器層圖案化,以界定所述顆粒過濾器;在所述顆粒過濾器層之上形成第二介電層;以及在所述第二介電層之上形成第三介電層,其中在形成所述第一介電層及所述顆粒過濾器層之後,執行退火製程,其中在形成所述第二介電層及所述第三介電層之後,執行平坦化製程,其中所述第一介電層、所述第二介電層及所述第三介電層分別包含氧化物,且其中所述顆粒過濾器層包含多晶矽。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本公開的各個方面。所屬領域中的技術人員應 理解,他們可容易地使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下在本文中作出各種改變、代替及變更。
100:微機電系統(MEMS)麥克風
101:載體基底開口
102:MEMS結構
103:載體基底
104:過濾器堆疊
104a:第一介電層
104b:顆粒過濾器層
105:支撐結構
105os:支撐結構開口
106:顆粒過濾器
107:過濾器開口
108:第一背板
109:膜片開口
110:膜片
111:支撐結構層
112:第二背板
113:空氣體積空間
114、116、118:電接觸件
120:層間介電(ILD)結構
122:導通孔
124:導電線
140:水平線
A-A’:切割線

Claims (10)

  1. 一種麥克風,包括:載體基底,具有界定載體基底開口的相對的側壁;微機電系統結構,上覆在所述載體基底上,其中所述微機電系統結構包括上覆在所述載體基底開口上的膜片,所述膜片具有界定膜片開口的相對的側壁;顆粒過濾器,設置在所述載體基底與所述微機電系統結構之間,其中多個過濾器開口延伸穿過所述顆粒過濾器;以及支撐結構層,設置在所述顆粒過濾器與所述微機電系統結構之間,其中所述支撐結構層包括支撐結構,所述支撐結構具有在所述載體基底的所述相對的側壁之間在橫向上間隔開的一個或多個段,其中所述支撐結構的所述一個或多個段在所述多個過濾器開口之間在橫向上間隔開。
  2. 如申請專利範圍第1項所述的麥克風,其中所述顆粒過濾器包含多晶矽且所述支撐結構包含矽。
  3. 如申請專利範圍第1項所述的麥克風,其中所述膜片、所述顆粒過濾器及所述支撐結構分別包含多晶矽。
  4. 如申請專利範圍第1項所述的麥克風,更包括:過濾器堆疊,夾置在所述載體基底與所述支撐結構層之間,其中所述過濾器堆疊包括介電層及設置在所述介電層內的顆粒過濾器層,其中所述顆粒過濾器是所述顆粒過濾器層的段,且其中所述介電層的內側壁與所述載體基底的所述相對的側壁對齊。
  5. 如申請專利範圍第1項所述的麥克風,其中所述支撐結構設置在所述支撐結構層的第一對相對的內側壁與第二對相對的 內側壁之間,且其中所述支撐結構層的所述第二對相對的內側壁與所述載體基底的所述相對的側壁對齊。
  6. 一種微機電系統裝置,包括:微機電系統結構,沿支撐結構層的上表面設置,其中所述微機電系統結構包括第一背板及在垂直方向上與所述第一背板隔開的膜片;載體基底,位於所述支撐結構層之下,其中所述載體基底具有界定載體基底開口的相對的側壁,其中所述載體基底開口位於所述膜片之下;過濾器堆疊,設置在所述載體基底與所述支撐結構層之間,其中所述過濾器堆疊包括顆粒過濾器層,所述顆粒過濾器層具有顆粒過濾器,其中所述顆粒過濾器包括多個過濾器開口,所述多個過濾器開口延伸穿過所述顆粒過濾器層且在橫向上位於所述載體基底的所述相對的側壁之間;以及支撐結構,設置在所述過濾器堆疊與所述微機電系統結構之間,其中所述支撐結構是所述支撐結構層的在橫向上位於延伸穿過所述支撐結構層的支撐結構開口之間的段。
  7. 如申請專利範圍第6項所述的微機電系統裝置,其中所述顆粒過濾器包括下部顆粒過濾器層、上部顆粒過濾器層及設置在所述上部顆粒過濾器層與所述下部顆粒過濾器層之間的中間顆粒過濾器層,其中所述上部顆粒過濾器層及所述下部顆粒過濾器層包含氮化矽,且其中所述中間顆粒過濾器層及所述支撐結構包含多晶矽。
  8. 如申請專利範圍第6項所述的微機電系統裝置,其中所 述支撐結構的厚度大於所述顆粒過濾器的厚度。
  9. 一種微機電系統裝置的製造方法,所述方法包括:在犧牲基底之上形成微機電系統結構,所述微機電系統結構包括能夠移動的膜片;在載體基底之上形成過濾器堆疊,其中所述過濾器堆疊包括一個或多個介電層及設置在所述一個或多個介電層中的顆粒過濾器層,所述顆粒過濾器層具有顆粒過濾器;在所述過濾器堆疊之上形成支撐結構層;將所述支撐結構層圖案化,以在所述支撐結構層中界定支撐結構,其中所述支撐結構具有一個或多個段;將所述支撐結構層結合到所述微機電系統結構;以及將所述載體基底圖案化以界定載體基底開口,其中所述支撐結構的所述一個或多個段在所述載體基底的界定所述載體基底開口的相對的側壁之間在橫向上間隔開。
  10. 如申請專利範圍第9項所述的微機電系統裝置的製造方法,其中形成所述支撐結構層包括:將所述支撐結構層熔合結合到所述過濾器堆疊;以及對所述支撐結構層執行機械研磨製程,以將所述支撐結構層的厚度減小到小於所述載體基底的厚度,其中所述支撐結構層包含矽且所述顆粒過濾器包含多晶矽。
TW108139396A 2019-08-16 2019-10-31 麥克風、微機電系統裝置及其製造方法 TWI713372B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/542,489 US10968097B2 (en) 2019-08-16 2019-08-16 Support structure for MEMS device with particle filter
US16/542,489 2019-08-16

Publications (2)

Publication Number Publication Date
TWI713372B true TWI713372B (zh) 2020-12-11
TW202110206A TW202110206A (zh) 2021-03-01

Family

ID=74568324

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108139396A TWI713372B (zh) 2019-08-16 2019-10-31 麥克風、微機電系統裝置及其製造方法

Country Status (3)

Country Link
US (2) US10968097B2 (zh)
CN (1) CN112390223B (zh)
TW (1) TWI713372B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10526196B2 (en) * 2017-11-08 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10941034B1 (en) * 2019-08-16 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Particle filter for MEMS device
US11274037B2 (en) 2019-10-30 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Dual micro-electro mechanical system and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2566183A1 (en) * 2011-09-02 2013-03-06 Saati S.p.A. MEMS microphone with a built-in textile material protecting screen
US8447057B2 (en) * 2011-03-18 2013-05-21 Analog Devices, Inc. Packages and methods for packaging MEMS microphone devices
US20150060955A1 (en) * 2013-09-03 2015-03-05 Windtop Technology Corp. Integrated mems microphone with mechanical electrical isolation
TW201918080A (zh) * 2017-10-30 2019-05-01 台灣積體電路製造股份有限公司 積體麥克風裝置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103347808B (zh) * 2011-12-29 2016-02-10 歌尔声学股份有限公司 硅基mems麦克风、包含该麦克风的系统和封装
US9078063B2 (en) * 2012-08-10 2015-07-07 Knowles Electronics, Llc Microphone assembly with barrier to prevent contaminant infiltration
US9469527B2 (en) * 2013-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS pressure sensor and microphone devices having through-vias and methods of forming same
US9240392B2 (en) 2014-04-09 2016-01-19 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co., Ltd. Method for fabricating embedded chips
CN105448648B (zh) 2014-07-30 2018-09-25 北大方正集团有限公司 一种晶片流片方法
US9676606B2 (en) * 2015-04-29 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectromechanical systems (MEMS) structure to prevent stiction after a wet cleaning process
US9565488B2 (en) * 2015-05-20 2017-02-07 Infineon Technologies Ag Micro-electro-mechanical system devices
US10149032B2 (en) * 2017-01-30 2018-12-04 Apple Inc. Integrated particle and light filter for MEMS device
GB201708348D0 (en) * 2017-04-28 2017-07-12 Cirrus Logic Int Semiconductor Ltd MEMS devices and processes
CN109890748A (zh) 2017-06-09 2019-06-14 歌尔股份有限公司 Mems麦克风、其制造方法以及电子设备
GB2563461B (en) * 2017-06-16 2021-11-10 Cirrus Logic Int Semiconductor Ltd Transducer packaging
DE102017115405B3 (de) * 2017-07-10 2018-12-20 Epcos Ag MEMS-Mikrofon mit verbessertem Partikelfilter

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8447057B2 (en) * 2011-03-18 2013-05-21 Analog Devices, Inc. Packages and methods for packaging MEMS microphone devices
EP2566183A1 (en) * 2011-09-02 2013-03-06 Saati S.p.A. MEMS microphone with a built-in textile material protecting screen
US20150060955A1 (en) * 2013-09-03 2015-03-05 Windtop Technology Corp. Integrated mems microphone with mechanical electrical isolation
TW201918080A (zh) * 2017-10-30 2019-05-01 台灣積體電路製造股份有限公司 積體麥克風裝置

Also Published As

Publication number Publication date
US20210238030A1 (en) 2021-08-05
CN112390223B (zh) 2024-07-30
US11807521B2 (en) 2023-11-07
CN112390223A (zh) 2021-02-23
US20210047176A1 (en) 2021-02-18
TW202110206A (zh) 2021-03-01
US10968097B2 (en) 2021-04-06

Similar Documents

Publication Publication Date Title
TWI718729B (zh) 麥克風、微機電系統裝置及其製造方法
US11407636B2 (en) Inter-poly connection for parasitic capacitor and die size improvement
TWI713372B (zh) 麥克風、微機電系統裝置及其製造方法
US9452920B2 (en) Microelectromechanical system device with internal direct electric coupling
CN106241727A (zh) 半导体结构及其制造方法
US11317219B2 (en) Method for manufacturing a thin filtering membrane and an acoustic transducer device including the filtering membrane
US10508021B2 (en) Microelectromechanical systems (MEMS) structure to prevent stiction after a wet cleaning process
US8252695B2 (en) Method for manufacturing a micro-electromechanical structure
US10766763B2 (en) Sidewall stopper for MEMS device
US9462402B2 (en) Monolithic complementary metal-oxide semiconductor (CMOS)-integrated silicon microphone
TWI733711B (zh) 半導體結構以及其製造方法
KR20150105240A (ko) 압력파 및 주변 압력을 감지하기 위한 센서 구조체
US8502382B2 (en) MEMS and protection structure thereof
US20230382716A1 (en) Mems microphone and mems accelerometer on a single substrate
US11708262B2 (en) Manufacturing method of semiconductor structure
US20220348454A1 (en) Inter-poly connection for parasitic capacitor and die size improvement
KR20210122157A (ko) 마이크로-전기 기계 시스템 및 그 제조 방법