CN112390223A - 麦克风、微机电系统装置及其制造方法 - Google Patents

麦克风、微机电系统装置及其制造方法 Download PDF

Info

Publication number
CN112390223A
CN112390223A CN201911081731.2A CN201911081731A CN112390223A CN 112390223 A CN112390223 A CN 112390223A CN 201911081731 A CN201911081731 A CN 201911081731A CN 112390223 A CN112390223 A CN 112390223A
Authority
CN
China
Prior art keywords
support structure
layer
carrier substrate
filter
particulate filter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201911081731.2A
Other languages
English (en)
Inventor
郑钧文
朱家骅
郭文政
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112390223A publication Critical patent/CN112390223A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0009Structural features, others than packages, for protecting a device against environmental influences
    • B81B7/0029Protection against environmental influences not provided for in groups B81B7/0012 - B81B7/0025
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0018Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
    • B81B3/0021Transducers for transforming electrical into mechanical energy or vice versa
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/00158Diaphragms, membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/0023Packaging together an electronic processing unit die and a micromechanical structure die
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00325Processes for packaging MEMS devices for reducing stress inside of the package structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/00357Creating layers of material on a substrate involving bonding one or several substrates on a non-temporary support, e.g. another substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/00468Releasing structures
    • B81C1/00476Releasing structures removing a sacrificial layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00539Wet etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00777Preserve existing structures from alteration, e.g. temporary protection during manufacturing
    • B81C1/00825Protect against mechanical threats, e.g. against shocks, or residues
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C3/00Assembling of devices or systems from individually processed components
    • B81C3/001Bonding of two components
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R19/00Electrostatic transducers
    • H04R19/04Microphones
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0257Microphones or microspeakers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0127Diaphragms, i.e. structures separating two media that can control the passage from one medium to another; Membranes, i.e. diaphragms with filtering function
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/012Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being separate parts in the same package
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/11Structural features, others than packages, for protecting a device against environmental influences
    • B81B2207/115Protective layers applied directly to the device before packaging
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0061Packages or encapsulation suitable for fluid transfer from the MEMS out of the package or vice versa, e.g. transfer of liquid, gas, sound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48153Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/48195Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • H01L2224/48464Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond the other connecting portion not on the bonding area also being a ball bond, i.e. ball-to-ball
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48475Connecting portions connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball
    • H01L2224/48476Connecting portions connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball between the wire connector and the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/4905Shape
    • H01L2224/4909Loop shape arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • H01L23/053Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15151Shape the die mounting substrate comprising an aperture, e.g. for underfilling, outgassing, window type wire connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/1615Shape
    • H01L2924/16152Cap comprising a cavity for hosting the device, e.g. U-shaped cap
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R2201/00Details of transducers, loudspeakers or microphones covered by H04R1/00 but not provided for in any of its subgroups
    • H04R2201/003Mems transducers or their use
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R31/00Apparatus or processes specially adapted for the manufacture of transducers or diaphragms therefor
    • H04R31/006Interconnection of transducer parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Signal Processing (AREA)
  • Acoustics & Sound (AREA)
  • Physics & Mathematics (AREA)
  • Micromachines (AREA)

Abstract

本公开的各种实施例涉及一种麦克风,所述麦克风包括支撑结构层,所述支撑结构层设置在颗粒过滤器与微机电系统(MEMS)结构之间。载体衬底设置在颗粒过滤器下方且具有界定载体衬底开口的相对的侧壁。微机电系统结构上覆在载体衬底上且包括上覆在载体衬底开口上的膜片,膜片具有界定膜片开口的相对的侧壁。颗粒过滤器设置在载体衬底与微机电系统结构之间。多个过滤器开口延伸穿过颗粒过滤器。支撑结构层包括支撑结构,支撑结构具有在载体衬底的相对的侧壁之间在横向上间隔开的一个或多个段。支撑结构的所述一个或多个段在所述多个过滤器开口之间在横向上间隔开。

Description

麦克风、微机电系统装置及其制造方法
技术领域
本发明实施例是涉及麦克风、微机电系统装置及其制造方法。
背景技术
微机电系统(Microelectromechanical system,MEMS)装置(例如,加速度计、压力传感器及麦克风)已广泛地用于许多现代电子装置中。举例来说,MEMS加速度计及麦克风通常存在于汽车(例如,气囊部署系统)、平板计算机或智能电话中。MEMS装置可具有用于检测运动并将运动转换成电信号的可移动(movable)部件。举例来说,MEMS加速度计包括将加速移动转化成电信号的可移动部件。麦克风包括将声音转化成电信号的可移动隔膜(membrane)。
发明内容
在一些实施例中,本申请提供一种麦克风,所述麦克风包括:载体衬底,具有界定载体衬底开口的相对的侧壁;微机电系统(MEMS)结构,上覆在所述载体衬底上,其中所述微机电系统结构包括上覆在所述载体衬底开口上的膜片,所述膜片具有界定膜片开口的相对的侧壁;颗粒过滤器,设置在所述载体衬底与所述微机电系统结构之间,其中多个过滤器开口延伸穿过所述颗粒过滤器;以及支撑结构层,设置在所述颗粒过滤器与所述微机电系统结构之间,其中所述支撑结构层包括支撑结构,所述支撑结构具有在所述载体衬底的所述相对的侧壁之间在横向上间隔开的一个或多个段,其中所述支撑结构的所述一个或多个段在所述多个过滤器开口之间在横向上间隔开。
在一些实施例中,本申请提供一种微机电系统(MEMS)装置,所述微机电系统装置包括:微机电系统结构,沿支撑结构层的上表面设置,其中所述微机电系统结构包括第一背板及在垂直方向上与所述第一背板隔开的膜片;载体衬底,位于所述支撑结构层之下,其中所述载体衬底具有界定载体衬底开口的相对的侧壁,其中所述载体衬底开口位于所述膜片之下;过滤器堆叠,设置在所述载体衬底与所述支撑结构层之间,其中所述过滤器堆叠包括颗粒过滤器层,所述颗粒过滤器层具有颗粒过滤器,其中所述颗粒过滤器包括多个过滤器开口,所述多个过滤器开口延伸穿过所述颗粒过滤器层且在横向上位于所述载体衬底的所述相对的侧壁之间;以及支撑结构,设置在所述过滤器堆叠与所述微机电系统结构之间,其中所述支撑结构是所述支撑结构层的在横向上位于延伸穿过所述支撑结构层的支撑结构开口之间的段。
在一些实施例中,本申请提供一种制造微机电系统(MEMS)装置的方法,所述方法包括:在牺牲衬底之上形成微机电系统结构,所述微机电系统结构包括可移动膜片;在载体衬底之上形成过滤器堆叠,其中所述过滤器堆叠包括一个或多个介电层及设置在所述一个或多个介电层中的颗粒过滤器层,所述颗粒过滤器层具有颗粒过滤器;在所述过滤器堆叠之上形成支撑结构层;将所述支撑结构层图案化,以在所述支撑结构层中界定支撑结构,其中所述支撑结构具有一个或多个段;将所述支撑结构层结合到所述微机电系统结构;以及将所述载体衬底图案化以界定载体衬底开口,其中所述支撑结构的所述一个或多个段在所述载体衬底的界定所述载体衬底开口的相对的侧壁之间在横向上间隔开。
附图说明
结合附图阅读以下详细说明,会最好地理解本公开的各个方面。应注意,根据本行业中的标准惯例,各种特征并非按比例绘制。事实上,为使论述清晰起见,可任意增大或减小各种特征的尺寸。
图1示出具有颗粒过滤器及支撑结构的微机电系统(MEMS)麦克风的一些实施例的剖视图。
图2A到图2D示出图1的MEMS麦克风的一些替代实施例的俯视图。
图3A到3B示出具有颗粒过滤器及支撑结构的MEMS麦克风的一些实施例的剖视图。
图4示出集成芯片的一些实施例的剖视图,集成芯片的所述一些实施例包括打线结合到互补金属氧化物半导体(complementary metal-oxide-semiconductor,CMOS)集成电路(integrated circuit,IC)管芯的图1的MEMS麦克风的一些实施例。
图5到图13示出形成具有颗粒过滤器及支撑结构的MEMS麦克风的方法的一些实施例的剖视图。
图14以流程图的形式示出一种方法,所述流程图示出形成具有颗粒过滤器及支撑结构的MEMS麦克风的方法的一些实施例。
具体实施方式
本公开提供用于实施本公开的不同特征的许多不同的实施例或实例。以下阐述组件及排列的具体实例以简化本公开。当然,这些仅为实例而非旨在进行限制。举例来说,以下说明中将第一特征形成在第二特征之上或第二特征上可包括其中第一特征与第二特征被形成为直接接触的实施例,且也可包括其中第一特征与第二特征之间可形成有附加特征从而使得所述第一特征与所述第二特征可不直接接触的实施例。另外,本公开可能在各种实例中重复使用参考编号和/或字母。这种重复使用是出于简洁及清晰的目的,而不是自身指示所论述的各种实施例和/或配置之间的关系。
此外,为易于说明,本文中可能使用例如“在...之下(beneath)”、“在...下方(below)”、“下部的(lower)”、“在...上方(above)”、“上部的(upper)”等空间相对性用语来阐述图中所示的一个元件或特征与另一(其他)元件或特征的关系。所述空间相对性用语旨在除图中所绘示的取向外还囊括装置在使用或操作中的不同取向。设备可具有其他取向(旋转90度或处于其他取向),且本文中所使用的空间相对性描述语可同样相应地进行解释。
此外,为易于说明起见,本文中可使用“第一”、“第二”、“第三”等以在一个图或一系列图的不同元件之间进行区分。“第一”、“第二”、“第三”等并不旨在阐述对应的元件。因此,结合第一图所述的“第一介电层”可能未必对应于结合另一图所述的“第一介电层”。
用于声学应用(acoustical application)的微机电系统(MEMS)装置(例如,MEMS麦克风)常常被收容在具有开口(即,入口)的封装结构内。封装结构被配置成提供对MEMS装置的保护,同时开口使得声波到达封装结构的容纳MEMS装置的空腔。在此种封装结构内,MEMS装置可电耦合到设置在空腔内的应用专用集成电路(application-specificintegrated circuit,ASIC)。MEMS装置具有直接上覆在封装结构的开口上的可移动部件以及设置在可移动部件与封装结构的开口之间的颗粒过滤器。颗粒过滤器被配置成防止颗粒进入封装结构的开口,从而减少到达可移动部件的颗粒。与可移动部件相互作用的颗粒可例如通过引起短路和/或降低MEMS装置的声学过载点(acoustic overload point,AOP)来降低MEMS装置的性能。
一种制作MEMS装置的颗粒过滤器的方法是独立于制作MEMS装置及ASIC而形成颗粒过滤器。举例来说,MEMS装置可用可移动元件来制作,且ASIC可用半导体装置(例如,晶体管)来制作。可提供封装衬底来集成MEMS装置与ASIC。可在封装衬底中形成封装结构开口,且随后,可在封装结构开口之上形成颗粒过滤器。在形成颗粒过滤器之后,MEMS装置直接附接到颗粒过滤器。因此,MEMS装置的可移动元件直接上覆在封装结构开口上。
前述方法的问题是用于形成颗粒过滤器并将颗粒过滤器直接附接到MEMS装置的额外的处理步骤会增加与将MEMS装置及ASIC集成在封装结构上相关联的时间及成本。此外,在进行直接附接工艺期间,颗粒过滤器的小的厚度(例如,小于0.5微米)可能导致对颗粒过滤器的损坏和/或破坏,从而降低颗粒过滤器保护可移动元件免受颗粒伤害的能力。
作为另外一种选择,可将颗粒过滤器及MEMS装置形成为可附接到封装结构的集成结构。尽管这种方法可减少用于将颗粒过滤器附接到MEMS装置的额外的处理步骤,但是颗粒过滤器的小的厚度(例如,小于0.5微米)仍然可能造成对颗粒过滤器的损坏和/或破坏。
在一些实施例中,本公开涉及一种简化具有颗粒过滤器的MEMS装置的制作的方法。所述方法在将颗粒过滤器及MEMS装置附接到封装结构之前,将颗粒过滤器及MEMS装置形成为集成结构。此外,所述方法采用支撑结构,支撑结构设置在颗粒过滤器与MEMS装置的可移动元件之间。支撑结构在制作具有颗粒过滤器的MEMS装置期间和/或之后会增加颗粒过滤器的结构完整性,从而减少对颗粒过滤器的损坏。举例来说,在将MEMS装置结合到支撑结构层的同时,颗粒过滤器容易受到损坏。然而,支撑结构会消除或减轻对颗粒过滤器的损坏。这会提高颗粒过滤器阻挡和/或减轻颗粒与可移动元件相互作用的能力,从而提高MEMS装置的性能、可靠性及耐久性。
图1示出具有颗粒过滤器106及支撑结构105的微机电系统(MEMS)麦克风100的一些实施例的剖视图。
MEMS麦克风100包括MEMS结构102、支撑结构层111、过滤器堆叠104及载体衬底103。过滤器堆叠104设置在载体衬底103与支撑结构层111之间。MEMS结构102包括设置在上覆在支撑结构层111上的层间介电(inter-level dielectric,ILD)结构120内的导电线124及导通孔122。MEMS结构102还包括第一背板108、第二背板112及设置在第一背板108与第二背板112之间的膜片110。膜片110与第一背板108及第二背板112间隔开一个或多个非零距离。此外,膜片110以及第一背板108及第二背板112可为导电的,这会形成电容元件。在一些实施例中,电接触件118电耦合到膜片110且形成电容元件的第一端子,电接触件114电耦合到第一背板108且形成电容元件的第二端子,且电接触件116电耦合到第二背板112且形成电容元件的第三端子。在一些实施例中,第二端子与第三端子电耦合在一起。在一些实施例中,通过导电线124及导通孔122实现电耦合。
膜片110包括一个或多个膜片开口109且可被ILD结构120锚定(anchor)在多个点处。将膜片110锚定在所述多个点处使得膜片110的边界相对于第一背板108及第二背板112固定。当声波通过载体衬底103中的载体衬底开口101对膜片110施加压力时,膜片110可通过声波的能量变形成使得膜片110朝向或远离第一背板108和/或第二背板112弯曲。载体衬底103具有界定载体衬底开口101的侧壁且支撑结构层111具有界定支撑结构开口105os的侧壁。第一背板108及第二背板112分别包括多个开口,空气可通过这些开口而经过。
在第一背板108与第二背板112之间存在空气体积空间(air volume space)113。空气体积空间113位于膜片110的上方及下方。空气可通过由第一背板108及第二背板112中的每一者中的所述多个开口形成的空气通道(air passage way)和/或通过膜片110的所述一个或多个膜片开口109从空气体积空间113放出或进入到空气体积空间113中。当膜片110朝向或远离第一背板108和/或第二背板112弯曲时,空气从空气体积空间113排出或进入到空气体积空间113中。通过声波进行的膜片110相对于第一背板108和/或第二背板112的弯曲移动会改变膜片110与第一背板108和/或第二背板112之间的电容元件的电容。电容的这种改变可通过电接触件114、116、118而提供到被配置成测量电容的外部电路系统。所测量的电容可被转变成与引起空气的移动的声波对应的声学信号。
过滤器堆叠104包括对设置在第一介电层104a内的颗粒过滤器106进行界定的颗粒过滤器层104b。在一些实施例中,颗粒过滤器层104b可包含多晶硅(例如,本征多晶硅),且第一介电层104a可包含氧化物(例如,氧化硅)。颗粒过滤器106是颗粒过滤器层104b的位于载体衬底开口101与支撑结构105之间的段。颗粒过滤器106具有被配置成将空气从载体衬底开口101传送到空气体积空间113的多个过滤器开口107。空气在穿过(travelthrough)载体衬底103中的载体衬底开口101到达空气体积空间113时经过颗粒过滤器106,颗粒过滤器106被配置成阻挡和/或移除空气中可能对膜片110的移动产生不利影响的颗粒。在一些实施例中,颗粒可例如为来自被实施成形成MEMS麦克风100的激光划切工艺(laser dicing process)的副产品和/或激光划切工艺中使用的化学品,例如多晶硅、二氧化硅等。与膜片110相互作用的颗粒可通过例如引起短路(例如,在第一背板108及第二背板112与膜片110之间引起短路)和/或降低MEMS麦克风100的声学过载点(AOP)来降低MEMS麦克风100的性能。
支撑结构105是支撑结构层111的段,其中支撑结构105包含与支撑结构层111相同的材料。在一些实施例中,支撑结构105的上表面及MEMS结构102的下表面分别沿着实质上直的水平线140设置。在一些实施例中,支撑结构层111包含硅、多晶硅(例如,本征多晶硅)等。支撑结构105包括在过滤器开口107之间在横向上间隔开且在颗粒过滤器106与MEMS结构102之间在垂直方向上间隔开的一个或多个段(例如,图2A的第一细长段105a)。通过将支撑结构105设置在颗粒过滤器106与MEMS结构102之间,颗粒过滤器106的结构完整性得以增加。举例来说,在MEMS麦克风100的制作期间,支撑结构105可形成在过滤器堆叠104之上。随后,MEMS结构102通过支撑结构层111结合到载体衬底103。支撑结构105防止在前述结合工艺期间对颗粒过滤器层104b的损坏和/或破坏。此外,支撑结构105在随后的处理步骤期间和/或在MEMS麦克风100的操作期间保持在适当位置。因此,支撑结构105可减少或消除对颗粒过滤器106的应变和/或损坏,从而提高MEMS麦克风100的耐久性、可靠性及性能。
图2A示出沿着图1的切割线A-A’截取的支撑结构105及颗粒过滤器106的一些替代实施例的俯视图200a。图1示出沿着图2A的切割线B-B’截取的剖视图的一些实施例。
所述多个过滤器开口107位于支撑结构105之下且分别具有圆形形状和/或椭圆形状。所述多个过滤器开口107可排列成包括横跨载体衬底开口(图1的101)的列和/或行的阵列。颗粒过滤器106被配置成阻挡和/或移除从颗粒过滤器106的第一表面传送到颗粒过滤器106的相对的第二表面的空气中的颗粒(例如,通过过滤器开口107的形状/大小和/或颗粒过滤器层104b的材料)。支撑结构105是支撑结构层111的在过滤器开口107之上延伸且为颗粒过滤器106提供结构支撑的段。支撑结构105包括第一细长段105a,所述第一细长段105a在支撑结构层111的第一相对的内侧壁111ia、111ib之间连续地延伸。在一些实施例中,支撑结构105的第一细长段105a在横向上与过滤器开口107偏移开非零距离。在此种实施例中,通过在横向上从过滤器开口107移动细长段,空气可更容易地通过支撑结构开口105os从过滤器开口107流到空气体积空间113。在又一些实施例中,支撑结构105可包括任意数目的第一细长段105a。举例来说,第一细长段105a可设置在过滤器开口107(未示出)的每一相邻的列之间。
在一些实施例中,过滤器开口107各自具有可例如介于约3微米到约10微米的范围内的直径d。在一些实施例中,如果直径d小于约3微米,则可降低将空气从颗粒过滤器106的第一表面传送到颗粒过滤器106的相对的第二表面的能力,从而降低MEMS装置结构102的性能。在又一些实施例中,如果直径d大于约10微米,则可降低颗粒过滤器106阻挡和/或移除经过颗粒过滤器106的空气中的颗粒的能力。举例来说,颗粒过滤器开口107可大于颗粒,以使得颗粒可经过颗粒过滤器开口且对膜片(图1的110)的移动产生不利影响。
图2B示出沿着图1的切割线A-A’截取的支撑结构105的一些替代实施例的俯视图200b。图1示出沿着图2B的切割线B-B’截取的剖视图的一些实施例。
支撑结构105包括第一细长段105a及第二细长段105b。第一细长段105a分别在第一相对的内侧壁111ia、111ib之间连续地延伸,且第二细长段105b分别在第二相对的内侧壁111ic、111id之间连续地延伸。第一相对的内侧壁111ia、111ib在第二相对的内侧壁111ic、111id之间连续地延伸。此外,第一细长段105a分别在第一方向上延伸且第二细长段105b分别在与第一方向正交的第二方向上延伸。在一些实施例中,第一细长段105a分别与每一第二细长段105b相交。在又一些实施例中,第一细长段105a可设置在过滤器开口107的每一相邻的列之间和/或第二细长段105b可设置在过滤器开口107的每一相邻的行之间(未示出)。
图2C示出沿着图1的切割线A-A’截取的支撑结构105的一些替代实施例的俯视图200c。图1示出沿着图2C的切割线B-B’截取的剖视图的一些实施例。
所述多个支撑结构开口105os延伸穿过支撑结构层111且分别具有圆形形状和/或椭圆形状。所述多个支撑结构开口105os可排列成包括横跨载体衬底开口(图1的101)的列和/或行的阵列。在一些实施例中,所述多个支撑结构开口105os直接对准在过滤器开口107上方。在一些实施例中,支撑结构105被配置成第二颗粒过滤器。在此种实施例中,支撑结构105被配置成阻挡和/或移除从支撑结构105的第一表面传送到支撑结构105的相对的第二表面的空气中的颗粒(例如,通过支撑结构开口105os的形状/大小和/或支撑结构层111的材料)。因此,支撑结构105可增加颗粒过滤器106的结构完整性且用作第二颗粒过滤器,从而进一步提高MEMS麦克风100的性能、可靠性及耐久性。在一些实施例中,支撑结构105具有第一材料(例如,硅)且颗粒过滤器(图1的106)具有与第一材料不同的第二材料(例如,氮化硅和/或多晶硅)。
图2D示出沿着图1的切割线A-A’截取的颗粒过滤器106及支撑结构105的一些替代实施例的俯视图200d。图1示出沿着图2D的切割线B-B’截取的剖视图的一些实施例。
所述多个支撑结构开口105os延伸穿过支撑结构层111且分别具有多边形形状(例如三角形、矩形、五边形等)。所述多个过滤器开口107位于支撑结构105之下且分别具有圆形形状和/或椭圆形状。因此,过滤器开口107可分别具有与支撑结构开口105os不同的形状。所述多个支撑结构开口105os分别大于对应的下伏的过滤器开口107。在又一些实施例中,支撑结构开口105os可分别小于对应的下伏的过滤器开口107(未示出)。在又一些实施例中,支撑结构105用作具有与颗粒过滤器106不同的开口形状的第二颗粒过滤器。这可进一步降低颗粒到达MEMS结构(图1的102)的能力,从而进一步提高MEMS麦克风(图1的100)的性能、可靠性及耐久性。
图3A示出与图1的MEMS麦克风100的一些替代实施例对应的MEMS麦克风300a的剖视图。
在一些实施例中,颗粒过滤器层104b包括下部颗粒过滤器层302、中间颗粒过滤器层304及上部颗粒过滤器层306。下部颗粒过滤器层302可例如为或包含硅、氮化物、氮化硅等和/或具有介于约0.2微米到约1微米的范围内的厚度。中间颗粒过滤器层304可例如为或包含多晶硅、未经掺杂的多晶硅等和/或具有介于约0.2微米到约1微米的范围内的厚度。上部颗粒过滤器层306可例如为或包含硅、氮化物、氮化硅等和/或具有介于约0.2微米到约1微米的范围内的厚度。在一些实施例中,颗粒过滤器层104b内的层可各自具有实质上相同的厚度。在又一些实施例中,下部颗粒过滤器层302与上部颗粒过滤器层306可包含相同的材料(例如,氮化硅)。在一些实施例中,颗粒过滤器层104b包括多晶硅层(例如,中间颗粒过滤器层304),所述多晶硅层设置在两个氮化硅层(例如,下部颗粒过滤器层302与上部颗粒过滤器层306)之间且被配置成减少在颗粒过滤器106上诱发的应力,从而增加颗粒过滤器106的结构完整性及可靠性。在再一些实施例中,导通孔122、导电线124、第一背板108及第二背板112、膜片110及颗粒过滤器106可分别包含相同的材料(例如,金属、多晶硅等)。
图3B示出与图1的MEMS麦克风100的一些替代实施例对应的MEMS麦克风300b的剖视图。
过滤器堆叠104包括第一介电层104a、颗粒过滤器层104b、第二介电层104c及第三介电层104d。在一些实施例中,第一介电层104a、第二介电层104c及第三介电层104d可为或包含第一材料(例如氧化物(例如二氧化硅))且颗粒过滤器层104b可为或包含与第一材料不同的第二材料(例如氮化物(例如氮化硅)、多晶硅等)。此外,如图3B中所示,支撑结构层111具有界定多个支撑结构开口105os的多个衬底侧壁且颗粒过滤器层104b具有界定所述多个过滤器开口107的多个颗粒过滤器侧壁。在一些实施例中,所述多个衬底侧壁在横向上与所述多个颗粒过滤器侧壁对齐。在此种实施例中,所述多个支撑结构开口105os分别上覆在对应的过滤器开口107上且支撑结构105被配置成第二颗粒过滤器,如图2C中示出及阐述。
图4示出集成芯片400的一些实施例的剖视图,集成芯片400的所述一些实施例包括打线结合到互补金属氧化物半导体(CMOS)集成电路(IC)管芯402的图1的MEMS麦克风100的一些替代实施例。
集成芯片400包括MEMS麦克风100,MEMS麦克风100在横向上邻近CMOS IC管芯402且设置在封装401的空腔403内。在一些实施例中,MEMS麦克风100的支撑结构层111包括被配置成增加MEMS麦克风100的结构完整性的支撑结构105。在一些实施例中,CMOS IC管芯402可为应用专用集成电路(ASIC)。在一些实施例中,空腔403由封装401的内侧壁界定。封装401包括前侧结构401a及外壳结构(enclosure structure)401b。CMOS IC管芯402及MEMS麦克风100设置在前侧结构401a上。在一些实施例中,封装401的开口(即入口)可为MEMS麦克风100的载体衬底开口101,以使得任何进入或离开空腔403的空气都经过颗粒过滤器106。
CMOS IC管芯402包括上覆在CMOS衬底410上的后段(back-end-of-line,BEOL)金属化堆叠412。BEOL金属化堆叠包括层间介电(ILD)结构413、内连线416及内连通孔414。CMOS衬底410及ILD结构413包括例如晶体管408等电子组件和/或例如一个或多个电容器、电阻器、电感器和/或二极管等其他电气组件(未示出)。CMOS衬底410可例如为或包括块状半导体衬底或绝缘体上硅(silicon-on-insulator,SOI)衬底。ILD结构413可包括一个或多个堆叠的ILD层,所述一个或多个堆叠的ILD层分别包含低介电常数(low-k)电介质(即介电常数小于约3.9的介电材料)及氧化物(例如二氧化硅)等。内连通孔414及内连线416可例如分别为或包含导电材料,例如铝、铜、钨等。
在MEMS麦克风100的每一电接触件114、116、118之上设置有焊料球404。焊料球404为多条结合配线406提供接触点。在内连线416的顶层上方上覆有结合垫418,且结合垫418在CMOS IC管芯402上为结合配线406提供打线结合位置。在一些实施例中,晶体管408通过BEOL金属化堆叠412、结合配线406及结合垫418电耦合到电接触件114、116、118。晶体管408可被配置成从第一背板108、第二背板112、和/或膜片110接收信号。
图5到图13示出根据本公开的形成具有颗粒过滤器及支撑结构的MEMS麦克风的方法的一些实施例的剖视图500到剖视图1300。尽管参照方法阐述图5到图13中所示的剖视图500到剖视图1300,然而应理解,图5到图13中所示的结构并不仅限于所述方法,而是可单独地独立于所述方法。此外,尽管图5到图13被阐述为一系列动作,然而应理解,这些动作并不限制在其他实施例中可改变的动作的次序,且所公开的方法也适用于其他结构。在其他实施例中,可全部或部分地省略示出和/或阐述的一些动作。
如图5的剖视图500中所示,在牺牲载体衬底502之上形成MEMS结构102。在一些实施例中,牺牲载体衬底502可例如为块状衬底(例如块状硅衬底)、绝缘体上硅(SOI)衬底、或另一种合适的衬底。MEMS结构102包括导电线124、导通孔122、层间介电(ILD)结构120、第一背板108、第二背板112、以及设置在第一背板108与第二背板112之间的膜片110。ILD结构120可为和/或包括一个或多个介电层。所述一个或多个介电层可例如为或包含氧化物(例如二氧化硅)、或另一种合适的氧化物。在一些实施例中,形成MEMS结构102的工艺包括:通过单镶嵌工艺形成导电线124的最底层;以及随后通过单镶嵌工艺形成导通孔122的最底层。此外,在一些实施例中,所述工艺包括通过重复执行双镶嵌工艺来形成导通孔122及导电线124的剩余的层。
另外,第一背板108、第二背板112及膜片110可在导电线124的对应的层的双镶嵌工艺或单镶嵌工艺期间形成。举例来说,第二背板112可与形成导电线124的最底层的单镶嵌工艺同时形成。在另一实例中,第一背板108、第二背板112及膜片110可各自通过以下步骤形成:对多晶硅的层进行沉积(例如,通过化学气相沉积(chemical vapor deposition,CVD)、物理气相沉积(physical vapor deposition,PVD)、或另一种合适的沉积工艺);根据掩蔽层(未示出)将多晶硅的层图案化;以及执行移除工艺来移除掩蔽层。在一些实施例中,导电线124、导通孔122、第一背板108、第二背板112及膜片110可例如分别包含多晶硅、金属或另一种合适的导电材料。
如图6的剖视图600中所示,提供载体衬底103。在一些实施例中,载体衬底103可例如为或包含块状衬底(例如块状硅衬底)、绝缘体上硅(SOI)衬底或另一种合适的衬底,和/或可具有介于约250微米到约725微米的范围内的初始厚度T1。在载体形成衬底之上形成过滤器堆叠104。过滤器堆叠104包括第一介电层104a、颗粒过滤器层104b、第二介电层104c及第三介电层104d。
在一些实施例中,形成过滤器堆叠104的工艺包括:在载体衬底103之上沉积第一介电层104a且随后执行第一退火工艺;在第一介电层104a之上沉积颗粒过滤器层104b,执行第二退火工艺,且将颗粒过滤器层104b图案化以界定颗粒过滤器106;在颗粒过滤器层104b之上沉积第二介电层104c且随后执行第一平坦化工艺(例如,化学机械平坦化(chemical-mechanical planarization,CMP)工艺);以及在第二介电层104c之上沉积第三介电层104d且随后执行第二平坦化工艺(例如,CMP工艺)。在一些实施例中,将颗粒过滤器层104b图案化包括:在颗粒过滤器层104b之上形成掩蔽层(未示出);将颗粒过滤器层104b的未被掩蔽的区暴露到一种或多种蚀刻剂,从而界定颗粒过滤器106;以及执行移除工艺以移除掩蔽层。在一些实施例中,过滤器堆叠104的层可分别例如通过CVD、PVD、原子层沉积(atomic layer deposition,ALD)、热氧化、或另一种合适的沉积工艺来沉积和/或生长。在又一些实施例中,第一介电层104a、第二介电层104c及第三介电层104d可分别通过等离子体增强型化学气相沉积(plasma-enhanced chemical vapor deposition,PECVD)、高密度等离子体化学气相沉积(high density plasma chemical vapor deposition,HDPCVD)、低压化学气相沉积(LPCVD)、或另一种合适的沉积工艺形成。
在一些实施例中,第一介电层104a可例如为或包含氧化物(例如二氧化硅)或者另一种介电材料,和/或可具有介于约10微米到约40微米的范围内的厚度。在一些实施例中,颗粒过滤器层104b可例如为或包含多晶硅、氮化硅等,和/或可具有介于约0.5微米到约10微米的范围内的厚度。在一些实施例中,第二介电层104c可例如为或包含氧化物(例如二氧化硅)或者另一种介电材料,和/或可具有约2微米的厚度。在又一些实施例中,第三介电层104d可例如为或包含氧化物(例如二氧化硅)或者另一种介电材料,和/或可具有约1微米的厚度。在又一些实施例中,第一介电层104a、第二介电层104c及第三介电层104d可分别为或包含相同的材料。
如图7的剖视图700中所示,在第三介电层104d上形成支撑结构层111。在一些实施例中,形成工艺包括将支撑结构层111结合到第三介电层104d。在此种实施例中,结合工艺可例如为熔合结合工艺、或另一种合适的结合工艺。在一些实施例中,支撑结构层111可例如为块状衬底(例如,块状硅衬底)、绝缘体上硅(SOI)衬底、或者具有初始厚度T2的另一种合适的衬底。在执行结合工艺之后,对支撑结构层111执行薄化工艺(thinning process),以将支撑结构层111的初始厚度T2减小到厚度Tms。在一些实施例中,厚度Tms介于约10微米到约200微米的范围内。在一些实施例中,薄化工艺是通过机械研磨工艺、CMP工艺、一些其它薄化工艺、或前述工艺的任意组合来执行。举例来说,薄化工艺可完全通过机械研磨工艺来执行。
在又一些实施例中,支撑结构层111可通过在过滤器堆叠104之上沉积支撑结构层111来形成。沉积工艺可例如为或包括CVD、PVD、LPCVD、PECVD、或另一种沉积工艺。举例来说,沉积工艺完全通过CVD工艺(例如,PECVD)执行。在此种实施例中,支撑结构层111可例如为或包含多晶硅、本征多晶硅等,和/或可具有约4微米的厚度。这可减少在颗粒过滤器106上诱发的物理应变(例如,通过省略图7的结合工艺和/或薄化工艺),和/或减少与形成支撑结构层111相关的成本及时间。
如图8的剖视图800中所示,将支撑结构层111图案化,从而界定延伸穿过支撑结构层111及支撑结构105的支撑结构开口105os。在一些实施例中,以将支撑结构105配置成第二颗粒过滤器这样一种方式来将支撑结构层111图案化,如图3B和/或图2C中示出及阐述。在一些实施例中,将支撑结构层111图案化的工艺包括:在支撑结构层111之上形成掩蔽层;将支撑结构层111的未被掩蔽的区暴露到一种或多种蚀刻剂(例如,氟化硫(例如,六氟化硫(SF6))等),从而界定支撑结构105;以及执行移除工艺以移除掩蔽层。在一些实施例中,前述图案化工艺包括执行干式蚀刻工艺、等离子体蚀刻工艺、或另一种合适的蚀刻工艺。
如图9的剖视图900中所示,将支撑结构层111结合到MEMS结构102。在一些实施例中,结合工艺可例如为熔合结合工艺或另一种合适的结合工艺。在执行结合工艺之后,对载体衬底103执行薄化工艺,以将载体衬底的初始厚度T1减小到厚度Tcs。在一些实施例中,厚度Tcs介于约200微米到约400微米的范围内。在一些实施例中,薄化工艺通过机械研磨工艺、CMP工艺、一些其它薄化工艺、或前述工艺的任意组合来执行。举例来说,薄化工艺可完全通过机械研磨工艺来执行。
如图10的剖视图1000中所示,将图9的结构翻转且对牺牲载体衬底(图9的502)执行移除工艺,从而移除牺牲载体衬底(图9的502)。在一些实施例中,牺牲载体衬底(图9的502)的移除工艺包括:对牺牲载体衬底(图9的502)执行研磨工艺(例如,机械研磨工艺);以及对牺牲载体衬底(图9的502)的剩余部分和/或ILD结构120执行湿式蚀刻工艺,从而暴露出ILD结构120的上表面。在一些实施例中,在移除牺牲载体衬底(图9的502)之后,执行沉积工艺以在ILD结构120的上表面上形成一个或多个ILD层(例如,包含二氧化硅的一个或多个ILD层)。在此种实施例中,所述一个或多个ILD层是ILD结构120的一部分且可通过例如PECVD来沉积。
同样如图10中所示,在ILD结构120中形成电接触件114、116、118。在一些实施例中,形成电接触件114、116、118的工艺包括:在ILD结构120之上形成掩蔽层(未示出);将ILD结构120的未被掩蔽的区暴露到一种或多种蚀刻剂,从而界定电接触件开口;以及将电接触件114、116、118沉积在电接触件开口中。在此种实施例中,电接触件114、116、118可例如通过无电镀覆、溅镀、电镀、或另一种合适的沉积工艺来沉积和/或生长。在一些实施例中,电接触件114、116、118可分别例如为或包含金、镍等。
如图11的剖视图1100中所示,在ILD结构120的上表面之上形成上部掩蔽层1102。上部掩蔽层1102覆盖电接触件114、116、118且被配置成在后续处理步骤期间保护电接触件114、116、118。在载体衬底103的下表面上形成下部掩蔽层1104。在形成下部掩蔽层1104之后,根据下部掩蔽层1104将载体衬底103图案化,从而在载体衬底103中形成载体衬底开口101。在一些实施例中,将载体衬底103图案化包括执行干式蚀刻工艺,例如等离子体蚀刻工艺和/或深反应离子蚀刻(deep reactive-ion etch,DRIE)工艺。
如图12的剖视图1200中所示,根据上部掩蔽层1102和/或下部掩蔽层1104对图11的结构执行图案化工艺,从而界定MEMS麦克风100。在一些实施例中,对图11的结构进行的图案化工艺包括:执行湿式蚀刻工艺和/或将图11的结构暴露到一种或多种蚀刻剂。图案化工艺移除ILD结构120的一部分,从而界定空气体积空间113。此外,图案化工艺从颗粒过滤器106的上方及下方移除第一介电层104a、第二介电层104c及第三介电层104d。在执行图案化工艺之后,执行移除工艺以移除上部掩蔽层及下部掩蔽层(图11的1102、1104)。在蚀刻工艺期间中,颗粒过滤器106被释放且可通过蚀刻剂和/或通过MEMS麦克风的移动而移动。支撑结构105限制颗粒过滤器106的移动,以防止对颗粒过滤器106的损坏(例如,防止颗粒过滤器106接触第一背板108)。
如图13的剖视图1300中所示,将MEMS麦克风100结合到封装401的前侧结构401a。在一些实施例中,结合工艺可例如为熔合结合工艺、或者另一种合适的结合工艺。支撑结构会增加结构支撑和/或限制颗粒过滤器106的移动,从而防止在前述结合工艺期间对颗粒过滤器106的损坏。此外,在执行结合工艺之后,将电接触件114、116、118打线结合到CMOS IC管芯402。此外,在打线结合工艺之后,在前侧结构401a之上形成外壳结构401b,从而界定空腔403。在一些实施例中,封装401的开口(即入口)可为MEMS麦克风100的载体衬底开口101,以使得任何进入或离开空腔403的空气都经过颗粒过滤器106。
在一些实施例中,由于在图6到图12的处理步骤期间,第一介电层104a及第二介电层104c环绕颗粒过滤器层104b,因此颗粒过滤器层104b被保护免受由于图6到图12的处理步骤而产生的颗粒和/或造成的损坏。因此,在图6到图12的处理步骤期间,颗粒不会聚集在颗粒过滤器层104b周围和/或颗粒过滤器层104b上,从而增加颗粒过滤器106阻止颗粒到达膜片110的能力。在又一些实施例中,由于颗粒过滤器106通过图12的湿式蚀刻工艺被释放,因此可减轻对颗粒过滤器106的损坏。举例来说,在再一些实施例中,如果颗粒过滤器106通过干式蚀刻工艺被释放,则颗粒过滤器106可能更容易收集颗粒和/或具有结构损坏,从而降低颗粒过滤器106的性能。
图14示出根据一些实施例的形成具有颗粒过滤器及支撑结构的MEMS麦克风的方法1400。尽管方法1400被示出和/或阐述为一系列动作或事件,然而应理解,所述方法并非仅限于所示次序或动作。因此,在一些实施例中,所述动作可以与所示不同的次序施行和/或可同时施行。此外,在一些实施例中,所示的动作或事件可被细分为多个动作或事件,所述多个动作或事件可在单独的时间施行或者与其他动作或子动作同时施行。在一些实施例中,可省略一些示出的动作或事件,且可包括其他未示出的动作或事件。
在动作1402处,在牺牲载体衬底之上形成MEMS结构。MEMS结构包括第一背板、第二背板及设置在第一背板与第二背板之间的膜片。图5示出与动作1402的一些实施例对应的剖视图500。
在动作1404处,提供载体衬底,且在载体衬底之上形成过滤器堆叠。过滤器堆叠包括一个或多个介电层及颗粒过滤器层,颗粒过滤器层具有设置在所述一个或多个介电层中的颗粒过滤器。图6示出与动作1404的一些实施例对应的剖视图600。
在动作1406处,在过滤器堆叠之上形成支撑结构层。图7示出与动作1406的一些实施例对应的剖视图700。
在动作1408处,将支撑结构层图案化,从而在支撑结构层中界定支撑结构。图8示出与动作1408的一些实施例对应的剖视图800。
在动作1410处,将支撑结构层结合到MEMS结构。支撑结构设置在膜片与颗粒过滤器之间。图9示出与动作1410的一些实施例对应的剖视图900。
在动作1412处,执行移除工艺以移除牺牲载体衬底。图10示出与动作1412的一些实施例对应的剖视图1000。
在动作1414处,将载体衬底图案化以在颗粒过滤器下方界定载体衬底开口(例如,入口)。图11示出与动作1414的一些实施例对应的剖视图1100。
在动作1416处,对MEMS结构及过滤器堆叠执行蚀刻工艺,从而在第一背板、第二背板及膜片周围界定空气体积空间。蚀刻工艺从颗粒过滤器移除所述一个或多个介电层。图12示出与动作1416的一些实施例对应的剖视图1200。
在动作1418处,将载体衬底结合到封装的前侧结构。图13示出与动作1418的一些实施例对应的剖视图1300。
因此,在一些实施例中,本公开涉及一种包括设置在颗粒过滤器与膜片之间的支撑结构的MEMS麦克风。
在一些实施例中,本申请提供一种麦克风,所述麦克风包括:载体衬底,具有界定载体衬底开口的相对的侧壁;微机电系统(MEMS)结构,上覆在所述载体衬底上,其中所述微机电系统结构包括上覆在所述载体衬底开口上的膜片,所述膜片具有界定膜片开口的相对的侧壁;颗粒过滤器,设置在所述载体衬底与所述微机电系统结构之间,其中多个过滤器开口延伸穿过所述颗粒过滤器;以及支撑结构层,设置在所述颗粒过滤器与所述微机电系统结构之间,其中所述支撑结构层包括支撑结构,所述支撑结构具有在所述载体衬底的所述相对的侧壁之间在横向上间隔开的一个或多个段,其中所述支撑结构的所述一个或多个段在所述多个过滤器开口之间在横向上间隔开。
在一些实施例中,所述颗粒过滤器包含多晶硅且所述支撑结构包含硅。在一些实施例中,所述膜片、所述颗粒过滤器及所述支撑结构分别包含多晶硅。在一些实施例中,还包括:过滤器堆叠,夹置在所述载体衬底与所述支撑结构层之间,其中所述过滤器堆叠包括介电层及设置在所述介电层内的颗粒过滤器层,其中所述颗粒过滤器是所述颗粒过滤器层的段,且其中所述介电层的内侧壁与所述载体衬底的所述相对的侧壁对齐。在一些实施例中,所述支撑结构的所述一个或多个段具有多个相对的侧壁,所述多个相对的侧壁界定多个支撑结构开口。在一些实施例中,当从上方观察时,所述多个支撑结构开口与所述多个过滤器开口具有相同的形状。在一些实施例中,所述多个支撑结构开口分别上覆在所述多个过滤器开口中的单个过滤器开口上。在一些实施例中,所述支撑结构设置在所述支撑结构层的第一对相对的内侧壁与第二对相对的内侧壁之间,且其中所述支撑结构层的所述第二对相对的内侧壁与所述载体衬底的所述相对的侧壁对齐。在一些实施例中,所述支撑结构的所述一个或多个段包括第一细长段及第二细长段,其中所述第一细长段在所述支撑结构层的所述第一对相对的内侧壁之间连续地延伸,且其中所述第二细长段在所述支撑结构层的所述第二对相对的内侧壁之间连续地延伸。
在一些实施例中,本申请提供一种微机电系统(MEMS)装置,所述微机电系统装置包括:微机电系统结构,沿支撑结构层的上表面设置,其中所述微机电系统结构包括第一背板及在垂直方向上与所述第一背板隔开的膜片;载体衬底,位于所述支撑结构层之下,其中所述载体衬底具有界定载体衬底开口的相对的侧壁,其中所述载体衬底开口位于所述膜片之下;过滤器堆叠,设置在所述载体衬底与所述支撑结构层之间,其中所述过滤器堆叠包括颗粒过滤器层,所述颗粒过滤器层具有颗粒过滤器,其中所述颗粒过滤器包括多个过滤器开口,所述多个过滤器开口延伸穿过所述颗粒过滤器层且在横向上位于所述载体衬底的所述相对的侧壁之间;以及支撑结构,设置在所述过滤器堆叠与所述微机电系统结构之间,其中所述支撑结构是所述支撑结构层的在横向上位于延伸穿过所述支撑结构层的支撑结构开口之间的段。
在一些实施例中,所述颗粒过滤器包括下部颗粒过滤器层、上部颗粒过滤器层及设置在所述上部颗粒过滤器层与所述下部颗粒过滤器层之间的中间颗粒过滤器层,其中所述上部颗粒过滤器层及所述下部颗粒过滤器层包含氮化硅,且其中所述中间颗粒过滤器层及所述支撑结构包含多晶硅。在一些实施例中,所述支撑结构的厚度大于所述颗粒过滤器的厚度。在一些实施例中,所述载体衬底的厚度大于所述支撑结构的厚度。在一些实施例中,当从上方观察时,所述支撑结构开口分别具有与所述过滤器开口不同的形状。在一些实施例中,所述支撑结构开口具有多边形形状且所述过滤器开口具有圆形形状。在一些实施例中,所述过滤器堆叠包括介电层,其中所述颗粒过滤器层设置在所述介电层中,其中所述介电层的内侧壁与所述载体衬底的所述相对的侧壁对齐,且其中所述支撑结构层的内侧壁与所述介电层的所述内侧壁对齐。
在一些实施例中,本申请提供一种制造微机电系统(MEMS)装置的方法,所述方法包括:在牺牲衬底之上形成微机电系统结构,所述微机电系统结构包括可移动膜片;在载体衬底之上形成过滤器堆叠,其中所述过滤器堆叠包括一个或多个介电层及设置在所述一个或多个介电层中的颗粒过滤器层,所述颗粒过滤器层具有颗粒过滤器;在所述过滤器堆叠之上形成支撑结构层;将所述支撑结构层图案化,以在所述支撑结构层中界定支撑结构,其中所述支撑结构具有一个或多个段;将所述支撑结构层结合到所述微机电系统结构;以及将所述载体衬底图案化以界定载体衬底开口,其中所述支撑结构的所述一个或多个段在所述载体衬底的界定所述载体衬底开口的相对的侧壁之间在横向上间隔开。
在一些实施例中,形成所述支撑结构层包括:将所述支撑结构层熔合结合到所述过滤器堆叠;以及对所述支撑结构层执行机械研磨工艺,以将所述支撑结构层的厚度减小到小于所述载体衬底的厚度,其中所述支撑结构层包含硅且所述颗粒过滤器包含多晶硅。在一些实施例中,形成所述支撑结构层包括:通过化学气相沉积工艺在所述过滤器堆叠的上表面上沉积所述支撑结构层,其中所述支撑结构层及所述颗粒过滤器分别包含多晶硅。在一些实施例中,形成所述过滤器堆叠包括:在所述载体衬底之上形成第一介电层;在所述第一介电层之上形成所述颗粒过滤器层;将所述颗粒过滤器层图案化,以界定所述颗粒过滤器;在所述颗粒过滤器层之上形成第二介电层;以及在所述第二介电层之上形成第三介电层,其中在形成所述第一介电层及所述颗粒过滤器层之后,执行退火工艺,其中在形成所述第二介电层及所述第三介电层之后,执行平坦化工艺,其中所述第一介电层、所述第二介电层及所述第三介电层分别包含氧化物,且其中所述颗粒过滤器层包含多晶硅。
以上概述了若干实施例的特征,以使所属领域中的技术人员可更好地理解本公开的各个方面。所属领域中的技术人员应理解,他们可容易地使用本公开作为设计或修改其他工艺及结构的基础来施行与本文中所介绍的实施例相同的目的和/或实现与本文中所介绍的实施例相同的优点。所属领域中的技术人员还应认识到,这些等效构造并不背离本公开的精神及范围,而且他们可在不背离本公开的精神及范围的条件下在本文中作出各种改变、代替及变更。

Claims (10)

1.一种麦克风,包括:
载体衬底,具有界定载体衬底开口的相对的侧壁;
微机电系统结构,上覆在所述载体衬底上,其中所述微机电系统结构包括上覆在所述载体衬底开口上的膜片,所述膜片具有界定膜片开口的相对的侧壁;
颗粒过滤器,设置在所述载体衬底与所述微机电系统结构之间,其中多个过滤器开口延伸穿过所述颗粒过滤器;以及
支撑结构层,设置在所述颗粒过滤器与所述微机电系统结构之间,其中所述支撑结构层包括支撑结构,所述支撑结构具有在所述载体衬底的所述相对的侧壁之间在横向上间隔开的一个或多个段,其中所述支撑结构的所述一个或多个段在所述多个过滤器开口之间在横向上间隔开。
2.根据权利要求1所述的麦克风,其中所述颗粒过滤器包含多晶硅且所述支撑结构包含硅。
3.根据权利要求1所述的麦克风,其中所述膜片、所述颗粒过滤器及所述支撑结构分别包含多晶硅。
4.根据权利要求1所述的麦克风,还包括:
过滤器堆叠,夹置在所述载体衬底与所述支撑结构层之间,其中所述过滤器堆叠包括介电层及设置在所述介电层内的颗粒过滤器层,其中所述颗粒过滤器是所述颗粒过滤器层的段,且其中所述介电层的内侧壁与所述载体衬底的所述相对的侧壁对齐。
5.根据权利要求1所述的麦克风,其中所述支撑结构设置在所述支撑结构层的第一对相对的内侧壁与第二对相对的内侧壁之间,且其中所述支撑结构层的所述第二对相对的内侧壁与所述载体衬底的所述相对的侧壁对齐。
6.一种微机电系统装置,包括:
微机电系统结构,沿支撑结构层的上表面设置,其中所述微机电系统结构包括第一背板及在垂直方向上与所述第一背板隔开的膜片;
载体衬底,位于所述支撑结构层之下,其中所述载体衬底具有界定载体衬底开口的相对的侧壁,其中所述载体衬底开口位于所述膜片之下;
过滤器堆叠,设置在所述载体衬底与所述支撑结构层之间,其中所述过滤器堆叠包括颗粒过滤器层,所述颗粒过滤器层具有颗粒过滤器,其中所述颗粒过滤器包括多个过滤器开口,所述多个过滤器开口延伸穿过所述颗粒过滤器层且在横向上位于所述载体衬底的所述相对的侧壁之间;以及
支撑结构,设置在所述过滤器堆叠与所述微机电系统结构之间,其中所述支撑结构是所述支撑结构层的在横向上位于延伸穿过所述支撑结构层的支撑结构开口之间的段。
7.根据权利要求6所述的微机电系统装置,其中所述颗粒过滤器包括下部颗粒过滤器层、上部颗粒过滤器层及设置在所述上部颗粒过滤器层与所述下部颗粒过滤器层之间的中间颗粒过滤器层,其中所述上部颗粒过滤器层及所述下部颗粒过滤器层包含氮化硅,且其中所述中间颗粒过滤器层及所述支撑结构包含多晶硅。
8.根据权利要求6所述的微机电系统装置,其中所述支撑结构的厚度大于所述颗粒过滤器的厚度。
9.一种微机电系统装置的制造方法,所述方法包括:
在牺牲衬底之上形成微机电系统结构,所述微机电系统结构包括可移动膜片;
在载体衬底之上形成过滤器堆叠,其中所述过滤器堆叠包括一个或多个介电层及设置在所述一个或多个介电层中的颗粒过滤器层,所述颗粒过滤器层具有颗粒过滤器;
在所述过滤器堆叠之上形成支撑结构层;
将所述支撑结构层图案化,以在所述支撑结构层中界定支撑结构,其中所述支撑结构具有一个或多个段;
将所述支撑结构层结合到所述微机电系统结构;以及
将所述载体衬底图案化以界定载体衬底开口,其中所述支撑结构的所述一个或多个段在所述载体衬底的界定所述载体衬底开口的相对的侧壁之间在横向上间隔开。
10.根据权利要求9所述的微机电系统装置的制造方法,其中形成所述支撑结构层包括:
将所述支撑结构层熔合结合到所述过滤器堆叠;以及
对所述支撑结构层执行机械研磨工艺,以将所述支撑结构层的厚度减小到小于所述载体衬底的厚度,
其中所述支撑结构层包含硅且所述颗粒过滤器包含多晶硅。
CN201911081731.2A 2019-08-16 2019-11-07 麦克风、微机电系统装置及其制造方法 Pending CN112390223A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/542,489 2019-08-16
US16/542,489 US10968097B2 (en) 2019-08-16 2019-08-16 Support structure for MEMS device with particle filter

Publications (1)

Publication Number Publication Date
CN112390223A true CN112390223A (zh) 2021-02-23

Family

ID=74568324

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911081731.2A Pending CN112390223A (zh) 2019-08-16 2019-11-07 麦克风、微机电系统装置及其制造方法

Country Status (3)

Country Link
US (2) US10968097B2 (zh)
CN (1) CN112390223A (zh)
TW (1) TWI713372B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10526196B2 (en) * 2017-11-08 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10941034B1 (en) * 2019-08-16 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Particle filter for MEMS device
US11274037B2 (en) 2019-10-30 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Dual micro-electro mechanical system and manufacturing method thereof

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120237073A1 (en) * 2011-03-18 2012-09-20 Analog Devices, Inc. Packages and methods for packaging mems microphone devices
CN103347808A (zh) * 2011-12-29 2013-10-09 歌尔声学股份有限公司 硅基mems麦克风、包含该麦克风的系统和封装
US20140264653A1 (en) * 2013-03-14 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS Pressure Sensor and Microphone Devices Having Through-Vias and Methods of Forming Same
US20160318753A1 (en) * 2015-04-29 2016-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectromechanical systems (mems) structure to prevent stiction after a wet cleaning process
US20160345084A1 (en) * 2015-05-20 2016-11-24 Infineon Technologies Ag Micro-electro-mechanical system devices
WO2018197838A1 (en) * 2017-04-28 2018-11-01 Cirrus Logic International Semiconductor Limited Mems devices and processes
DE102017115405B3 (de) * 2017-07-10 2018-12-20 Epcos Ag MEMS-Mikrofon mit verbessertem Partikelfilter
US20180362332A1 (en) * 2017-06-16 2018-12-20 Cirrus Logic International Semiconductor Ltd. Transducer packaging

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITMI20111579A1 (it) * 2011-09-02 2013-03-03 Saati Spa Microfono mems con schermo tessile integrato di protezione.
US9078063B2 (en) * 2012-08-10 2015-07-07 Knowles Electronics, Llc Microphone assembly with barrier to prevent contaminant infiltration
US20150060955A1 (en) 2013-09-03 2015-03-05 Windtop Technology Corp. Integrated mems microphone with mechanical electrical isolation
US9240392B2 (en) 2014-04-09 2016-01-19 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co., Ltd. Method for fabricating embedded chips
CN105448648B (zh) 2014-07-30 2018-09-25 北大方正集团有限公司 一种晶片流片方法
US10149032B2 (en) * 2017-01-30 2018-12-04 Apple Inc. Integrated particle and light filter for MEMS device
US11111131B2 (en) 2017-06-09 2021-09-07 Goertek Inc MEMS microphone, a manufacturing method thereof and an electronic apparatus
US10609463B2 (en) * 2017-10-30 2020-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated microphone device and manufacturing method thereof

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120237073A1 (en) * 2011-03-18 2012-09-20 Analog Devices, Inc. Packages and methods for packaging mems microphone devices
CN103347808A (zh) * 2011-12-29 2013-10-09 歌尔声学股份有限公司 硅基mems麦克风、包含该麦克风的系统和封装
US20140264653A1 (en) * 2013-03-14 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS Pressure Sensor and Microphone Devices Having Through-Vias and Methods of Forming Same
US20160318753A1 (en) * 2015-04-29 2016-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectromechanical systems (mems) structure to prevent stiction after a wet cleaning process
US20160345084A1 (en) * 2015-05-20 2016-11-24 Infineon Technologies Ag Micro-electro-mechanical system devices
CN106167246A (zh) * 2015-05-20 2016-11-30 英飞凌科技股份有限公司 微机电系统装置
WO2018197838A1 (en) * 2017-04-28 2018-11-01 Cirrus Logic International Semiconductor Limited Mems devices and processes
US20180362332A1 (en) * 2017-06-16 2018-12-20 Cirrus Logic International Semiconductor Ltd. Transducer packaging
DE102017115405B3 (de) * 2017-07-10 2018-12-20 Epcos Ag MEMS-Mikrofon mit verbessertem Partikelfilter

Also Published As

Publication number Publication date
US20210238030A1 (en) 2021-08-05
US10968097B2 (en) 2021-04-06
TW202110206A (zh) 2021-03-01
US20210047176A1 (en) 2021-02-18
US11807521B2 (en) 2023-11-07
TWI713372B (zh) 2020-12-11

Similar Documents

Publication Publication Date Title
CN112399291B (zh) 麦克风、微机电系统装置及其制造方法
US11807521B2 (en) Support structure for MEMS device with particle filter
US11407636B2 (en) Inter-poly connection for parasitic capacitor and die size improvement
US9738516B2 (en) Structure to reduce backside silicon damage
CN106241727A (zh) 半导体结构及其制造方法
US9670059B2 (en) Sensor structure for sensing pressure waves and ambient pressure
CN109511066B (zh) 用于制造薄过滤膜的方法以及包括过滤膜的声学换能器装置
US8252695B2 (en) Method for manufacturing a micro-electromechanical structure
US10766763B2 (en) Sidewall stopper for MEMS device
TWI733711B (zh) 半導體結構以及其製造方法
US9493346B2 (en) Capacitor with planarized bonding for CMOS-MEMS integration
US20230382716A1 (en) Mems microphone and mems accelerometer on a single substrate
US11708262B2 (en) Manufacturing method of semiconductor structure
US10087071B2 (en) Semiconductor structure and manufacturing method thereof
US20220348454A1 (en) Inter-poly connection for parasitic capacitor and die size improvement

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination