CN112399291B - 麦克风、微机电系统装置及其制造方法 - Google Patents

麦克风、微机电系统装置及其制造方法 Download PDF

Info

Publication number
CN112399291B
CN112399291B CN201911087537.5A CN201911087537A CN112399291B CN 112399291 B CN112399291 B CN 112399291B CN 201911087537 A CN201911087537 A CN 201911087537A CN 112399291 B CN112399291 B CN 112399291B
Authority
CN
China
Prior art keywords
mems
layer
filter
substrate
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201911087537.5A
Other languages
English (en)
Other versions
CN112399291A (zh
Inventor
朱家骅
郑钧文
郭文政
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112399291A publication Critical patent/CN112399291A/zh
Application granted granted Critical
Publication of CN112399291B publication Critical patent/CN112399291B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/00158Diaphragms, membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0009Structural features, others than packages, for protecting a device against environmental influences
    • B81B7/0029Protection against environmental influences not provided for in groups B81B7/0012 - B81B7/0025
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R1/00Details of transducers, loudspeakers or microphones
    • H04R1/08Mouthpieces; Microphones; Attachments therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0035Packages or encapsulation for maintaining a controlled atmosphere inside of the chamber containing the MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0061Packages or encapsulation suitable for fluid transfer from the MEMS out of the package or vice versa, e.g. transfer of liquid, gas, sound
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/0023Packaging together an electronic processing unit die and a micromechanical structure die
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00277Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00325Processes for packaging MEMS devices for reducing stress inside of the package structure
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R31/00Apparatus or processes specially adapted for the manufacture of transducers or diaphragms therefor
    • H04R31/003Apparatus or processes specially adapted for the manufacture of transducers or diaphragms therefor for diaphragms or their outer suspension
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0235Accelerometers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0257Microphones or microspeakers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0264Pressure sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0127Diaphragms, i.e. structures separating two media that can control the passage from one medium to another; Membranes, i.e. diaphragms with filtering function
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/012Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being separate parts in the same package
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R2231/00Details of apparatus or processes specially adapted for the manufacture of transducers or diaphragms therefor covered by H04R31/00, not provided for in its subgroups
    • H04R2231/001Moulding aspects of diaphragm or surround

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Acoustics & Sound (AREA)
  • Signal Processing (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Micromachines (AREA)

Abstract

本公开的各种实施例涉及一种麦克风,所述麦克风包括设置在微机电系统(MEMS)衬底与载体衬底之间的颗粒过滤器。微机电系统装置结构上覆在微机电系统衬底上。微机电系统装置结构包括膜片,膜片具有界定膜片开口的相对的侧壁。载体衬底位于微机电系统衬底之下。载体衬底具有界定载体衬底开口的相对的侧壁,载体衬底开口位于膜片开口之下。过滤器堆叠夹置在载体衬底与微机电系统衬底之间。过滤器堆叠包括上部介电层、下部介电层及设置在上部介电层与下部介电层之间的颗粒过滤器层。颗粒过滤器层包括在载体衬底的相对的侧壁之间在横向上间隔开的颗粒过滤器。

Description

麦克风、微机电系统装置及其制造方法
技术领域
本发明的实施例是有关于一种麦克风、微机电系统装置及其制造方法。
背景技术
微机电系统(Microelectromechanical system,MEMS)装置(例如,加速度计、压力传感器及麦克风)已广泛地用于许多现代电子装置中。MEMS装置可具有用于检测运动并将运动转换成电信号的可移动部件。举例来说,MEMS加速度计及麦克风通常存在于汽车(例如,气囊部署系统)、平板计算机或智能电话中。MEMS加速度计包括将加速移动转化成电信号的可移动部件。麦克风包括将声音转化成电信号的可移动隔膜(membrane)。
发明内容
本发明实施例提供一种麦克风,包括微机电系统(MEMS)装置结构、载体衬底以及过滤器堆叠。微机电系统装置结构上覆在微机电系统衬底上,其中微机电系统装置结构包括膜片。膜片具有界定膜片开口的相对的侧壁。载体衬底位于微机电系统衬底之下,其中载体衬底具有界定载体衬底开口的相对的侧壁。载体衬底开口位于膜片开口之下。过滤器堆叠夹置在载体衬底与微机电系统衬底之间。过滤器堆叠包括上部介电层、下部介电层及设置在上部介电层与下部介电层之间的颗粒过滤器层,其中颗粒过滤器层包括在载体衬底的相对的侧壁之间在横向上间隔开的颗粒过滤器。
本发明实施例提供一种微机电系统(MEMS)装置,包括微机电系统衬底、微机电系统结构、载体衬底以及过滤器堆叠。微机电系统衬底具有界定微机电系统开口的相对的侧壁。微机电系统结构在垂直方向上位于微机电系统衬底之上,其中微机电系统结构包括第一背板及在垂直方向上与第一背板分隔开的膜片。载体衬底位于微机电系统衬底之下,其中载体衬底具有界定载体衬底开口的相对的侧壁,其中载体衬底开口位于膜片及微机电系统开口之下。过滤器堆叠设置在载体衬底与微机电系统衬底之间,其中过滤器堆叠包括颗粒过滤器层。颗粒过滤器层具有颗粒过滤器,其中颗粒过滤器包括多个过滤器开口。多个过滤器开口延伸穿过颗粒过滤器层且在横向上位于微机电系统衬底的相对的侧壁之间。
本发明实施例提供一种制造微机电系统(MEMS)装置的方法,上述方法包括在载体衬底之上形成过滤器堆叠,其中过滤器堆叠包括上部介电层、下部介电层、及设置在上部介电层与下部介电层之间的颗粒过滤器层。将过滤器堆叠图案化,以在颗粒过滤器层中界定颗粒过滤器,颗粒过滤器具有围绕多个过滤器开口连续地延伸的一个或多个表面。将微机电系统衬底结合到上部介电层。在微机电系统衬底之上形成微机电系统结构,微机电系统结构包括能够移动的膜片。将载体衬底图案化,以在载体衬底中界定载体衬底开口。将微机电系统衬底图案化,以在微机电系统衬底中界定微机电系统开口。以及,对微机电系统结构及过滤器堆叠执行刻蚀工艺,其中刻蚀工艺从颗粒过滤器正上方及正下方移除上部介电层及下部介电层。
附图说明
结合附图阅读以下详细说明,会最好地理解本公开的各个方面。应注意,根据本行业中的标准惯例,各种特征并非按比例绘制。事实上,为使论述清晰起见,可任意增大或减小各种特征的尺寸。
图1示出具有颗粒过滤器的微机电系统(MEMS)麦克风的一些实施例的剖视图。
图2A及图2B示出图1的MEMS麦克风的替代实施例的俯视图。
图3示出具有颗粒过滤器的微机电系统(MEMS)麦克风的一些实施例的剖视图。
图4示出集成芯片的一些实施例的剖视图,集成芯片的所述一些实施例包括打线结合到互补金属氧化物半导体(complementary metal-oxide-semiconductor,CMOS)集成电路(integrated circuit,IC)管芯的图1的MEMS麦克风的一些实施例。
图5到图11示出形成具有颗粒过滤器的MEMS麦克风的第一方法的一些实施例的剖视图。
图12以流程图的形式示出一种方法,所述流程图示出形成具有颗粒过滤器的MEMS麦克风的第一方法的一些实施例。
图13到图20示出形成具有颗粒过滤器的MEMS麦克风的第二方法的一些实施例的剖视图。
图21以流程图的形式示出一种方法,所述流程图示出形成具有颗粒过滤器的MEMS麦克风的第二方法的一些实施例。
图22到图29示出形成具有颗粒过滤器的MEMS麦克风的第三方法的一些实施例的剖视图。
图30以流程图的形式示出一种方法,所述流程图示出形成具有颗粒过滤器的MEMS麦克风的第三方法的一些实施例。
[符号的说明]
100、300:微机电系统(MEMS)麦克风;
101:载体衬底开口;
102:MEMS装置结构;
103:载体衬底;
104:过滤器堆叠;
104a:下部介电层;
104b:颗粒过滤器层;
104c:上部介电层;
106:颗粒过滤器;
107:过滤器开口;
108:第一背板;
109:膜片开口;
110:膜片;
111:MEMS衬底;
111o:MEMS开口;
112:第二背板;
113:空气体积空间;
114、116、118:电接触件;
120、413:层间介电(ILD)结构;
122:导通孔;
124:导电线;
200a、200b:俯视图;
302:下部颗粒过滤器层;
304:中间颗粒过滤器层;
306:上部颗粒过滤器层;
400:集成芯片;
401:封装;
401a:前侧结构;
401b:外壳结构;
402:互补金属氧化物半导体(CMOS)集成电路(IC)管芯;
403:空腔;
404:焊料球;
406:结合配线;
408:晶体管;
410:CMOS衬底;
412:后段制程的(BEOL)金属化堆叠;
414:内连通孔;
416:内连线;
418:结合焊盘;
420:支柱结构;
500、600、700、800、900、1000、1100、1300、1400、1500、1600、1700、1800、1900、2000、2200、2300、2400、2500、2600、2700、2800、2900:剖视图;
802、1802:下部掩蔽层;
804:上部掩蔽层;
902、2402:支柱;
1200:第一方法;
1202、1204、1206、1208、1210、1212、1214、1216、2102、2104、2106、2108、2110、2112、2114、2116、3002、3004、3006、3008、3010、3012、3014、3016、3018、3020、3022:动作;
2100:第二方法;
2302:粘合剂结合层;
2304:牺牲衬底;
3000:第三方法;
A-A’:线;
d:直径;
len:长度;
Ti、Tr:初始厚度;
Tcs、Tms:厚度;
w1:第一宽度;
w2:第二宽度。
具体实施方式
本公开提供用于实施本公开的不同特征的许多不同的实施例或实例。以下阐述组件及排列的具体实例以简化本公开。当然,这些仅为实例而非旨在进行限制。举例来说,以下说明中将第一特征形成在第二特征之上或第二特征上可包括其中第一特征与第二特征被形成为直接接触的实施例,且也可包括其中第一特征与第二特征之间可形成有附加特征从而使得所述第一特征与所述第二特征可不直接接触的实施例。另外,本公开可能在各种实例中重复使用参考编号和/或字母。这种重复使用是出于简洁及清晰的目的,而不是自身指示所论述的各种实施例和/或配置之间的关系。
此外,为易于说明,本文中可能使用例如“在...之下(beneath)”、“在...下方(below)”、“下部的(lower)”、“在...上方(above)”、“上部的(upper)”等空间相对性用语来阐述图中所示的一个元件或特征与另一(其他)元件或特征的关系。所述空间相对性用语旨在除图中所绘示的取向外还囊括装置在使用或操作中的不同取向。设备可具有其他取向(旋转90度或处于其他取向),且本文中所使用的空间相对性描述语可同样相应地进行解释。
此外,为易于说明起见,本文中可使用“第一”、“第二”、“第三”等以在一个图或一系列图的不同元件之间进行区分。“第一”、“第二”、“第三”等并不旨在阐述对应的元件。因此,结合第一图所述的“第一介电层”可能未必对应于结合另一图所述的“第一介电层”。
用于声学应用(acoustical application)的微机电系统(MEMS)装置(例如,MEMS麦克风)常常被收容在具有开口(即,入口)的封装结构内。封装结构被配置成提供对MEMS装置的保护,同时开口使得声波到达封装结构的容纳MEMS装置的空腔。在此种封装内,MEMS装置可电耦合到设置在封装结构的空腔内的应用专用集成电路(application-specificintegrated circuit,ASIC)。MEMS装置具有直接上覆在封装结构的开口上的可移动部件以及设置在可移动部件与封装结构的开口之间的颗粒过滤器。颗粒过滤器被配置成防止颗粒进入封装结构的开口,从而减少到达可移动部件的颗粒。与可移动部件相互作用的颗粒可通过引起短路和/或降低MEMS装置的声学过载点(acoustic overload point,AOP)来降低MEMS装置的性能。
一种制作MEMS装置的颗粒过滤器的方法是独立于制作MEMS装置及ASIC而形成颗粒过滤器。举例来说,MEMS装置可用可移动元件来制作,且ASIC可用半导体装置(例如,晶体管)来制作。可提供封装衬底来集成MEMS装置与ASIC。可在封装衬底中形成封装结构开口,且随后,可在封装结构开口之上形成颗粒过滤器。在形成颗粒过滤器之后,MEMS装置直接附接到颗粒过滤器。因此,MEMS装置的可移动元件直接上覆在封装结构开口上。在一些实施例中,在将MEMS装置附接到衬底之前,颗粒过滤器可直接附接到MEMS装置。直接附接工艺可包括利用形成在MEMS装置和/或颗粒过滤器上的对齐标记、和/或执行结合工艺。
前述方法的问题是用于形成颗粒过滤器并将颗粒过滤器直接附接到MEMS装置的额外的处理步骤。这会部分地增加与将MEMS装置及ASIC集成在封装衬底上相关联的时间及成本。此外,在直接附接工艺期间,颗粒过滤器的小的厚度(例如,小于0.5微米)可能导致对颗粒过滤器的损坏和/或破坏,从而降低颗粒过滤器保护可移动元件免受颗粒伤害的能力。此外,由于用于形成以及附接颗粒过滤器的额外的处理步骤,颗粒过滤器及MEMS装置被暴露给更多的颗粒,因此会降低可移动元件的性能。另外,颗粒过滤器包括多个颗粒过滤器开口,且由于处理限制,颗粒过滤器开口的直径可各自相当大(例如,约10微米或大于10微米)。这继而会降低阻挡和/或防止颗粒经过颗粒过滤器开口的能力。
在一些实施例中,本公开涉及一种简化具有颗粒过滤器的MEMS装置的制作的方法。所述方法在将颗粒过滤器及MEMS装置附接到封装结构之前,将颗粒过滤器及MEMS装置形成为集成结构。通过将颗粒过滤器及MEMS装置形成为集成结构,制作工艺得以简化,且对颗粒过滤器的损坏得以减轻。此外,与形成颗粒过滤器相关联的时间及成本得以减少。
作为示例性应用,MEMS装置可为麦克风。在一些实施例中,麦克风是通过提供载体衬底且在载体衬底之上形成过滤器堆叠来制作。过滤器堆叠包括上部介电层、下部介电层及设置在上部介电层与下部介电层之间的颗粒过滤器层。将过滤器堆叠图案化,从而在颗粒过滤器层中界定颗粒过滤器。将MEMS衬底结合到上部介电层。在MEMS衬底之上形成MEMS装置结构。在形成MEMS装置结构之后,将载体衬底及MEMS衬底图案化以在载体衬底及MEMS衬底中形成开口。将MEMS装置结构及过滤器堆叠图案化,以在MEMS装置结构中形成一个或多个可移动元件且从颗粒过滤器移除上部介电层及下部介电层。通过在载体衬底上形成颗粒过滤器,载体衬底、上部介电层及下部介电层在麦克风的制作期间为颗粒过滤器提供结构支撑,从而减少对颗粒过滤器的损坏。此外,通过在最后的图案化工艺期间从颗粒过滤器移除上部介电层及下部介电层,对颗粒的暴露得以减轻,从而提高颗粒过滤器及可移动元件的性能。
参照图1,提供具有颗粒过滤器106的微机电系统(MEMS)麦克风100的一些实施例的剖视图。
MEMS麦克风100包括MEMS装置结构102、MEMS衬底111、过滤器堆叠104及载体衬底103。过滤器堆叠104设置在载体衬底103与MEMS衬底111之间。MEMS装置结构102包括设置在上覆在MEMS衬底111上的层间介电(inter-level dielectric,ILD)结构120内的导电线124及导通孔122。MEMS装置结构102还包括第一背板108、第二背板112及设置在第一背板108与第二背板112之间的膜片110。膜片110与第一背板108及第二背板112间隔开一个或多个非零距离。此外,膜片110以及第一背板108及第二背板112可为导电的,这会形成电容元件。电接触件114电耦合到膜片110且形成电容元件的第一端子,电接触件118电耦合到第一背板108且形成电容元件的第二端子,且电接触件116电耦合到第二背板112且形成电容元件的第三端子。在一些实施例中,第二端子与第三端子电耦合在一起。在一些实施例中,通过导电线124及导通孔122实现电耦合。
膜片110包括一个或多个膜片开口109且可被ILD结构120锚定(anchor)在多个点处。将膜片110锚定在所述多个点处使得膜片110的边界相对于第一背板108及第二背板112固定。当声波通过载体衬底103中的载体衬底开口101对膜片110施加压力时,膜片110可通过声波的能量变形成使得膜片110朝向或远离第一背板108和/或第二背板112弯曲。载体衬底103具有界定载体衬底开口101的侧壁,且MEMS衬底111具有界定MEMS开口111o的侧壁。在一些实施例中,在界定颗粒过滤器106的最外开口的侧壁之间延伸的第一宽度w1小于载体衬底103的界定载体衬底开口101的侧壁的第二宽度w2。第一背板108及第二背板112各自包括多个开口,空气可通过所述多个开口而经过。在第一背板108与第二背板112之间存在空气体积空间113。空气体积空间113位于膜片110上方及下方。空气可通过由第一背板108及第二背板112中的每一者中的所述多个开口形成的空气通道(air passage way)和/或通过膜片110的所述一个或多个膜片开口109从空气体积空间113放出或进入到空气体积空间113中。当膜片110朝向或远离第一背板108和/或第二背板112弯曲时,空气从空气体积空间113排出或进入到空气体积空间113中。通过声波进行的膜片110相对于第一背板108和/或第二背板112的弯曲移动会改变膜片110与第一背板108和/或第二背板112之间的电容元件的电容。电容的这种改变可通过电接触件114、116、118来测量。
空气在穿过(travel through)载体衬底103中的载体衬底开口101到达空气体积空间113时经过颗粒过滤器106。在一些实施例中,颗粒过滤器106是过滤器堆叠104的一部分。过滤器堆叠104包括下部介电层104a、颗粒过滤器层104b及上部介电层104c。在一些实施例中,下部介电层104a可包含氧化物(例如,二氧化硅),颗粒过滤器层104b可包含氮化物(例如,氮化硅),且上部介电层104c可包含氧化物(例如,二氧化硅)。颗粒过滤器106是颗粒过滤器层104b的位于载体衬底开口101与MEMS开口111o之间的段。颗粒过滤器106包括被配置成将空气从载体衬底开口101传送到空气体积空间113的多个过滤器开口107。当空气从载体衬底开口101传送到空气体积空间113时,颗粒过滤器106被配置成阻挡和/或移除空气中可能对膜片110的移动产生不利影响的颗粒。在一些实施例中,颗粒可例如为来自被实施成形成MEMS麦克风100的激光划切工艺(laser dicing process)的副产品和/或激光划切工艺中使用的化学品。与膜片110相互作用的颗粒可通过例如引起短路(例如,在第一背板108及第二背板112与膜片110之间引起短路)和/或降低MEMS麦克风100的声学过载点(AOP)来降低MEMS麦克风100的性能。
通过将颗粒过滤器106设置在载体衬底103之上,与制作MEMS麦克风100相关联的时间及成本得以减少。另外,载体衬底103、上部介电层104c及下部介电层104a可在MEMS麦克风100的制作期间为颗粒过滤器106提供结构支撑。举例来说,在MEMS麦克风100的制作期间,过滤器堆叠104可形成在载体衬底103之上,且随后上部介电层104c可结合到MEMS衬底111。载体衬底103、上部介电层104c及下部介电层104a会防止在前述结合工艺期间对颗粒过滤器层104b的损坏或破坏。此外,通过在上部介电层104c与下部介电层104a之间设置颗粒过滤器层104b,可减少在MEMS麦克风100的制作期间颗粒直接暴露到颗粒过滤器106,从而增加MEMS麦克风100的耐久性及可靠性。
参照图2A,提供沿着线A-A’截取的图1的颗粒过滤器106的一些替代实施例的俯视图200a。
如图2A中所见,设置在颗粒过滤器层104b中的所述多个过滤器开口107各自具有圆形形状和/或椭圆形状。所述多个过滤器开口107可排列成包括横跨图1的载体衬底开口101的列及行的阵列。颗粒过滤器106被配置成阻挡和/或移除从颗粒过滤器106的第一表面传送到颗粒过滤器106的相对的第二表面的空气中的颗粒(例如,通过过滤器开口107的形状/大小和/或颗粒过滤器层104b的材料)。
在一些实施例中,过滤器开口107各自具有可例如介于约3微米到约10微米的范围内的直径d。在一些实施例中,如果直径d小于约3微米,则可降低将空气从颗粒过滤器106的第一表面传送到颗粒过滤器106的相对的第二表面的能力,从而降低MEMS装置结构102的性能。在又一些实施例中,如果直径d大于约10微米,则可降低颗粒过滤器106阻挡和/或移除经过颗粒过滤器106的空气中的颗粒的能力。举例来说,颗粒过滤器开口107可大于颗粒,以使得颗粒可经过颗粒过滤器开口且对膜片(图1的110)的移动产生不利影响。
参照图2B,提供沿着线A-A’截取的图1的颗粒过滤器106的一些替代实施例的俯视图200b。
如图2B中所见,设置在颗粒过滤器层104b中的所述多个过滤器开口107各自具有多边形形状。所述多边形形状可为任何多边形,例如三角形、矩形、五边形、六边形等。所述多个过滤器开口107可排列成包括横跨图1的载体衬底开口101的列及行的阵列。颗粒过滤器106被配置成阻挡和/或移除从颗粒过滤器106的第一表面传送到颗粒过滤器106的相对的第二表面的空气中的颗粒(例如,通过过滤器开口107的形状/大小和/或颗粒过滤器层104b的材料)。
在一些实施例中,每一过滤器开口107的一个或多个侧具有长度len,所述长度len可例如介于约3微米到约10微米的范围内。在一些实施例中,如果长度len小于约3微米,则可降低将空气从颗粒过滤器106的第一表面传送到颗粒过滤器106的相对的第二表面的能力,从而降低MEMS装置结构102的性能。在又一些实施例中,如果长度len大于约10微米,则可降低颗粒过滤器106阻挡和/或移除经过颗粒过滤器106的空气中的颗粒的能力。举例来说,颗粒过滤器开口107可大于颗粒,以使得颗粒可经过颗粒过滤器开口并对膜片(图1的110)的移动产生不利影响。
参照图3,提供与图1的MEMS麦克风100的一些替代实施例对应的MEMS麦克风300的剖视图。
在一些实施例中,颗粒过滤器层104b包括下部颗粒过滤器层302、中间颗粒过滤器层304及上部颗粒过滤器层306。下部颗粒过滤器层302可例如为或包含硅(silicon)、氮化物(nitride)、氮化硅(silicon nitride)等,和/或具有介于约0.2微米到约1微米的范围内的厚度。中间颗粒过滤器层304可例如为或包含多晶硅(polysilicon)、未经掺杂的多晶硅(un-doped polysilicon)等,和/或具有介于约0.2微米到约1微米的范围内的厚度。上部颗粒过滤器层306可例如为或包含硅、氮化物、氮化硅等,和/或具有介于约0.2微米到约1微米的范围内的厚度。在一些实施例中,颗粒过滤器层104b内的层可各自具有实质上相同的厚度。在又一些实施例中,下部颗粒过滤器层302与上部颗粒过滤器层306可包含相同的材料(例如,氮化硅)。在一些实施例中,包括设置在两个氮化硅层(例如,下部颗粒过滤器层302与上部颗粒过滤器层306)之间的多晶硅层(例如,中间颗粒过滤器层304)的颗粒过滤器层104b将减少在颗粒过滤器106上诱发的应力,从而增加颗粒过滤器106的结构完整性及可靠性。
参照图4,提供集成芯片400的一些实施例的剖视图,集成芯片400的所述一些实施例包括打线结合到互补金属氧化物半导体(CMOS)集成电路(IC)管芯402的图1的MEMS麦克风100的一些替代实施例。
集成芯片400包括MEMS麦克风100,MEMS麦克风100在横向上邻近CMOS IC管芯402且设置在空腔403内。在一些实施例中,MEMS麦克风100的MEMS衬底111包括被配置成增加MEMS麦克风100的结构完整性的支柱结构420。在一些实施例中,CMOS IC管芯402可为应用专用集成电路(ASIC)。在一些实施例中,空腔403由封装401的内侧壁界定。封装401包括前侧结构401a及外壳结构(enclosure structure)401b。CMOS IC管芯402及MEMS麦克风100设置在前侧结构401a上。在一些实施例中,封装401的开口(即入口)可为MEMS麦克风100的载体衬底开口101,以使得任何进入或离开空腔403的空气都经过颗粒过滤器106。
CMOS IC管芯402包括上覆在CMOS衬底410上的后段制程的(back-end-of-line,BEOL)金属化堆叠412。层间介电(ILD)结构413上覆在CMOS衬底410上。CMOS衬底410及ILD结构413包括例如晶体管408等电子组件和/或例如一个或多个电容器、电阻器、电感器或二极管等其他电气组件(未示出)。CMOS衬底410可例如为或包括块状半导体衬底或绝缘体上覆硅(silicon-on-insulator,SOI)衬底。BEOL金属化堆叠412包括ILD结构413、内连线416及内连通孔414。ILD结构413可包括一个或多个堆叠的ILD层,所述一个或多个堆叠的ILD层分别包含低介电常数(low-k)电介质(即介电常数小于约3.9的介电材料)及氧化物等。内连通孔414及内连线416可例如分别为或包含导电材料,例如铝、铜、钨等。
在每一电接触件114、116、118之上设置有焊料球404。焊料球404为多条结合配线406提供接触点。在内连线416的顶层上上覆有结合焊盘418,且结合焊盘418为结合配线406提供打线结合位置。晶体管408通过BEOL金属化堆叠412、结合配线406及结合焊盘418电耦合到电接触件114、116、118。晶体管408可被配置成从第一背板108、第二背板112、和/或膜片110接收信号。
图5到图11示出根据本公开的形成具有颗粒过滤器的MEMS麦克风的第一方法的一些实施例的剖视图500到剖视图1100。尽管参照方法阐述图5到图11中所示的剖视图500到剖视图1100,然而应理解,图5到图11中所示的结构并非仅限于所述方法,而是可单独地独立于所述方法。此外,尽管图5到图11被阐述为一系列动作,然而应理解,这些动作并不限制在其他实施例中可改变的动作的次序,且所公开的方法也适用于其他结构。在其他实施例中,可全部或部分地省略示出和/或阐述的一些动作。
如图5的剖视图500中所示,提供载体衬底103。在一些实施例中,载体衬底103可为例如块状(bulk)衬底(例如块状硅衬底)、绝缘体上覆硅(SOI)衬底、或一些其他合适的衬底,和/或可具有介于近似250微米到近似725微米的范围内的初始厚度Ti。在载体衬底103之上形成过滤器堆叠104。过滤器堆叠104包括下部介电层104a、颗粒过滤器层104b及上部介电层104c。在一些实施例中,形成过滤器堆叠104的工艺包括:在载体衬底103之上沉积下部介电层104a且随后执行第一退火工艺;在下部介电层104a之上沉积颗粒过滤器层104b且随后执行第二退火工艺;以及在颗粒过滤器层104b之上沉积上部介电层104c且随后执行第三退火工艺。在一些实施例中,前述过滤器堆叠104的层可分别例如通过化学气相沉积(chemical vapor deposition,CVD)、物理气相沉积(physical vapor deposition,PVD)、原子层沉积(atomic layer deposition,ALD)、热氧化、或另一种合适的沉积工艺来沉积和/或生长。
在一些实施例中,下部介电层104a可例如为或包含氧化物(例如二氧化硅)或另一种介电材料,下部介电层104a被形成介于约0.5微米到约10微米的范围内的厚度。在一些实施例中,颗粒过滤器层104b可例如为或包含氮化物(例如氮化硅)等,颗粒过滤器层104b被形成介于约0.1微米到约3微米的范围内的厚度。在一些实施例中,上部介电层104c可例如为或包含氧化物(例如二氧化硅)或另一种介电材料,上部介电层104c被形成介于约0.5微米到约10微米的范围内的厚度。在又一些实施例中,下部介电层104a与上部介电层104c可为或包含相同的材料且具有近似相同的厚度。
同样如图5中所示,对过滤器堆叠104进行刻蚀,从而界定多个过滤器开口107及颗粒过滤器106。在一些实施例中,刻蚀工艺包括:在上部介电层104c之上形成掩蔽层(未示出);将上部介电层104c的未被掩蔽的区暴露到一种或多种刻蚀剂;以及执行移除工艺以移除掩蔽层。
载体衬底103、上部介电层104c及下部介电层104a各自在随后的处理步骤期间为颗粒过滤器106和/或颗粒过滤器层104b提供结构支撑。这会部分地减少和/或消除制作期间对颗粒过滤器层104b和/或颗粒过滤器106的损坏。
如图6的剖视图600中所示,提供MEMS衬底111,且随后将MEMS衬底111结合到上部介电层104c。在一些实施例中,结合工艺可例如为熔合结合工艺、或另一种合适的结合工艺。在一些实施例中,MEMS衬底111可为例如块状衬底(例如块状硅衬底)、绝缘体上覆硅(SOI)衬底或具有初始厚度Tr的一些其他合适的衬底。在执行结合工艺之后,对MEMS衬底111执行薄化工艺,以将MEMS衬底111的初始厚度Tr减小到厚度Tms。在一些实施例中,厚度Tms介于约10微米到约200微米的范围内。在一些实施例中,薄化工艺通过机械研磨工艺、化学机械抛光(chemical mechanical polish,CMP)、一些其它薄化工艺、或前述工艺的任意组合来执行。举例来说,薄化工艺可完全通过机械研磨工艺来执行。
如图7的剖视图700中所示,在MEMS衬底111之上形成MEMS装置结构102。MEMS装置结构102包括导电线124、导通孔122、层间介电(ILD)结构120、第一背板108、第二背板112以及设置在第一背板108与第二背板112之间的膜片110。ILD结构120可为一个或多个介电层。所述一个或多个介电层可例如为或包含氧化物(例如二氧化硅)、或另一种合适的介电材料。在一些实施例中,形成MEMS装置结构102的工艺包括:通过单镶嵌工艺形成导通孔122的最底层;以及随后通过单镶嵌工艺(single damascene process)形成导电线124的最底层。此外,在一些实施例中,所述工艺包括通过重复执行双镶嵌工艺(dual damasceneprocess)来形成导通孔122的剩余的层及导电线124的剩余的层。另外,第一背板108、第二背板112及膜片110可在导电线124的对应的层的双镶嵌工艺或单镶嵌工艺期间形成。举例来说,第一背板108可与用于形成导电线124的最底层的单镶嵌工艺同时形成。在另一实例中,第一背板108、第二背板112及膜片110可各自通过对多晶硅的层进行沉积(例如,通过CVD、PVD、或另一种合适的沉积工艺)、根据掩蔽层(未示出)将多晶硅的层图案化、以及执行移除工艺以移除掩蔽层来形成。
在一些实施例中,单镶嵌工艺包括以下步骤:沉积介电层;将介电层图案化成具有用于单层导电特征(例如,一层通孔、导线、背板和/或膜片)的开口;以及用导电材料(例如,多晶硅)填充开口以形成单层导电特征。介电层可例如与ILD结构120中的所述一个或多个介电层对应。在一些实施例中,双镶嵌工艺包括以下步骤:沉积介电层;将介电层图案化成具有用于两层导电特征(例如,一层通孔及一层导线、背板和/或膜片)的开口;以及用导电材料(例如,多晶硅)填充开口以形成所述两层导电特征。在一些实施例中,导电线124、导通孔122、第一背板108、第二背板112及膜片110可例如分别包含多晶硅或另一种合适的导电材料。
同样如图7中所示,形成MEMS装置结构102的工艺还包括形成电接触件114、116、118。在一些实施例中,形成前述电接触件的工艺包括:在ILD结构120之上形成掩蔽层(未示出);根据掩蔽层将ILD结构120图案化;以及在ILD结构120之上沉积电接触件114、116、118。前述电接触件可例如通过无电镀覆、溅镀、电镀或另一种合适的沉积工艺来沉积和/或生长。在一些实施例中,电接触件114、116、118可例如分别为或包含金、镍等。
如图8的剖视图800中所示,对载体衬底103执行薄化工艺,以将载体衬底103的初始厚度Ti减小到厚度Tcs。在一些实施例中,厚度Tcs介于约200微米到约400微米的范围内。在一些实施例中,薄化工艺通过机械研磨工艺、化学机械抛光(CMP)、一些其它薄化工艺、或前述工艺的任意组合来执行。举例来说,薄化工艺可完全通过机械研磨工艺来执行。在执行薄化工艺之后,在载体衬底103的底表面上形成下部掩蔽层802且在ILD结构120之上形成上部掩蔽层804。在一些实施例中,下部掩蔽层802和/或上部掩蔽层804可例如分别为或包括光刻胶、硬掩模层等。下部掩蔽层802及上部掩蔽层804分别具有界定多个开口的多个侧壁。
如图9的剖视图900中所示,根据下部掩蔽层802,对载体衬底103及MEMS衬底111执行第一图案化工艺。在一些实施例中,第一图案化工艺包括执行干式刻蚀工艺,例如等离子体刻蚀工艺和/或深反应离子刻蚀(deep reactive-ion etch,DRIE)工艺。第一图案化工艺在颗粒过滤器106正下方界定载体衬底开口101。另外,第一图案化工艺从MEMS衬底111界定多个支柱902。在一些实施例中,在第一图案化工艺之后,MEMS衬底111包括与颗粒过滤器106的所述多个过滤器开口107的形状对应的多个开口。
如图10的剖视图1000中所示,根据下部掩蔽层802,对载体衬底103及MEMS衬底111执行第二图案化工艺。在一些实施例中,第二图案化工艺包括执行湿式刻蚀工艺(例如,各向同性刻蚀工艺)和/或干式刻蚀工艺。第二图案化工艺可包括将载体衬底103和/或MEMS衬底111暴露到一种或多种刻蚀剂,例如(举例来说)二氟化氙(xenon difluoride,XeF2)。第二图案化工艺移除所述多个支柱(图9的902),且扩展载体衬底开口101。
如图11的剖视图1100中所示,根据下部掩蔽层(图10的802)及上部掩蔽层(图10的804),对图10的结构执行第三图案化工艺。在一些实施例中,第三图案化工艺包括执行湿式刻蚀工艺。在一些实施例中,第三图案化工艺包括将图10的结构暴露到一种或多种刻蚀剂。第三图案化工艺移除ILD结构120的一部分,从而界定空气体积空间113。此外,第三图案化工艺从颗粒过滤器106的底表面移除下部介电层104a,且从颗粒过滤器106的顶表面移除上部介电层104c。在执行第三图案化工艺之后,执行移除工艺以移除下部掩蔽层及上部掩蔽层(图10的802、804)。
图12示出根据一些实施例的形成具有颗粒过滤器的MEMS麦克风的第一方法1200。尽管第一方法1200被示出和/或阐述为一系列动作或事件,然而应理解,所述方法并非仅限于所示次序或动作。因此,在一些实施例中,所述动作可以与所示不同的次序施行和/或可同时施行。此外,在一些实施例中,所示的动作或事件可被细分为多个动作或事件,所述多个动作或事件可在单独的时间施行或者与其他动作或子动作同时施行。在一些实施例中,可省略一些示出的动作或事件,且可包括其他未示出的动作或事件。
在动作1202处,提供载体衬底。图5示出与动作1202的一些实施例对应的剖视图500。
在动作1204处,在载体衬底之上形成过滤器堆叠。过滤器堆叠包括上部介电层、颗粒过滤器层及下部介电层,颗粒过滤器层设置在上部介电层与下部介电层之间。图5示出与动作1204的一些实施例对应的剖视图500。
在动作1206处,对过滤器堆叠执行刻蚀工艺,从而在颗粒过滤器层中界定颗粒过滤器。图5示出与动作1206的一些实施例对应的剖视图500。
在动作1208处,将MEMS衬底结合到上部介电层。图6示出与动作1208的一些实施例对应的剖视图600。
在动作1210处,在MEMS衬底之上形成MEMS结构。MEMS结构包括第一背板、第二背板及设置在第一背板与第二背板之间的膜片。图7示出与动作1210的一些实施例对应的剖视图700。
在动作1212处,对载体衬底及MEMS衬底执行干式刻蚀工艺,从而在载体衬底中界定开口且在MEMS衬底中界定支柱。图9示出与动作1212的一些实施例对应的剖视图900。
在动作1214处,对载体衬底及MEMS衬底执行湿式刻蚀工艺,从而扩展载体衬底中的开口并移除支柱。图10示出与动作1214的一些实施例对应的剖视图1000。
在动作1216处,对MEMS结构及过滤器堆叠执行刻蚀工艺,从而在第一背板、第二背板及膜片周围界定空气体积空间。刻蚀工艺从颗粒过滤器移除上部介电层及下部介电层。图11示出与动作1216的一些实施例对应的剖视图1100。
图13到图20示出根据本公开的形成具有颗粒过滤器的MEMS麦克风的第二方法的一些实施例的剖视图1300到剖视图2000。尽管参照方法阐述图13到图20中所示的剖视图1300到剖视图2000,然而应理解,图13到图20中所示的结构并非仅限于所述方法,而是可单独地独立于所述方法。此外,尽管图13到图20被阐述为一系列动作,然而应理解,这些动作并不限制在其他实施例中可改变的动作的次序,且所公开的方法也适用于其他结构。在其他实施例中,可全部或部分地省略示出和/或阐述的一些动作。
如图13的剖视图1300中所示,提供载体衬底103。在一些实施例中,载体衬底103可为例如块状衬底(例如块状硅衬底)、绝缘体上覆硅(SOI)衬底或一些其他合适的衬底,和/或可具有介于近似250微米到近似725微米的范围内的初始厚度Ti。在载体衬底103之上形成过滤器堆叠104。过滤器堆叠104包括下部介电层104a、颗粒过滤器层104b及上部介电层104c。在一些实施例中,形成过滤器堆叠104的工艺包括:在载体衬底103之上沉积下部介电层104a且随后执行第一退火工艺;在下部介电层104a之上沉积颗粒过滤器层104b且随后执行第二退火工艺;以及在颗粒过滤器层104b之上沉积上部介电层104c且随后执行第三退火工艺。在一些实施例中,前述过滤器堆叠104的层可分别例如通过化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)、热氧化、或另一种合适的沉积工艺来沉积和/或生长。在一些实施例中,下部介电层104a可例如为或包含氧化物(例如二氧化硅)或另一种介电材料,下部介电层104a被形成介于约0.5微米到约10微米的范围内的厚度。在一些实施例中,颗粒过滤器层104b可例如为或包含氮化物(例如氮化硅)等,颗粒过滤器层104b被形成介于约0.1微米到约3微米的范围内的厚度。在一些实施例中,上部介电层104c可例如为或包含氧化物(例如二氧化硅)或另一种介电材料,上部介电层104c被形成介于约0.5微米到约10微米的范围内的厚度。在又一些实施例中,下部介电层104a与上部介电层104c可为或包含相同的材料且具有近似相同的厚度。
如图14的剖视图1400中所示,提供MEMS衬底111,且随后将MEMS衬底111结合到上部介电层104c。在一些实施例中,结合工艺可例如为熔合结合工艺、或另一种合适的结合工艺。在一些实施例中,MEMS衬底111可为例如块状衬底(例如块状硅衬底)、绝缘体上覆硅(SOI)衬底或具有初始厚度Tr的一些其他合适的衬底。在执行结合工艺之后,对MEMS衬底111执行薄化工艺,以将MEMS衬底111的初始厚度Tr减小到厚度Tms。在一些实施例中,厚度Tms介于约10微米到约200微米的范围内。在一些实施例中,薄化工艺通过机械研磨工艺、化学机械抛光(CMP)、一些其它薄化工艺、或前述工艺的任意组合来执行。举例来说,薄化工艺可完全通过机械研磨工艺来执行。
如图15的剖视图1500中所示,在MEMS衬底111之上形成MEMS装置结构102。MEMS装置结构102包括导电线124、导通孔122、层间介电(ILD)结构120、第一背板108、第二背板112及设置在第一背板108与第二背板112之间的膜片110。ILD结构120可为一个或多个介电层。在一些实施例中,MEMS装置结构102是如图7中所示和/或所述来形成。
如图16的剖视图1600中所示,对载体衬底103执行薄化工艺,以将载体衬底103的初始厚度Ti减小到厚度Tcs。在一些实施例中,厚度Tcs介于约200微米到约400微米的范围内。在一些实施例中,薄化工艺通过机械研磨工艺、化学机械抛光(CMP)、一些其它薄化工艺、或前述工艺的任意组合来执行。举例来说,薄化工艺可完全通过机械研磨工艺来执行。在执行薄化工艺之后,在载体衬底103的底表面上形成下部掩蔽层802且在ILD结构120之上形成上部掩蔽层804。在一些实施例中,下部掩蔽层802和/或上部掩蔽层804可例如分别为或包括光刻胶、硬掩模层等。下部掩蔽层802及上部掩蔽层804分别具有界定多个开口的多个侧壁。
如图17的剖视图1700中所示,根据下部掩蔽层802,对载体衬底103执行第一图案化工艺。在一些实施例中,第一图案化工艺包括执行干式刻蚀工艺,例如等离子体刻蚀工艺和/或深反应离子刻蚀(DRIE)工艺。第一图案化工艺在过滤器堆叠104正下方界定载体衬底开口101且暴露出下部介电层104a的底表面。在又一些实施例中,在执行第一图案化工艺之后,执行移除工艺以移除下部掩蔽层802(未示出)。
如图18的剖视图1800中所示,在载体衬底103以及下部介电层104a的底表面之上形成另一下部掩蔽层1802。在一些实施例中,另一下部掩蔽层1802保护载体衬底103免受随后的刻蚀工艺的影响。在形成所述另一下部掩蔽层1802之后,对过滤器堆叠104及MEMS衬底111执行第二图案化工艺。这会部分地在MEMS衬底111中界定多个支柱902且在颗粒过滤器层104b中界定颗粒过滤器106。在一些实施例中,第二图案化工艺包括对过滤器堆叠104执行第一干式刻蚀工艺,从而将过滤器堆叠104的未被掩蔽的部分暴露到一种或多种第一刻蚀剂。第二图案化工艺还包括对MEMS衬底111执行第二干式刻蚀工艺,从而将MEMS衬底的未被掩蔽的部分暴露到一种或多种第二刻蚀剂。在一些实施例中,所述一种或多种第一刻蚀剂与所述一种或多种第二刻蚀剂不同。此外,第一干式刻蚀工艺界定颗粒过滤器106的所述多个过滤器开口107。
如图19的剖视图1900中所示,对MEMS衬底111执行第三图案化工艺。在一些实施例中,第三图案化工艺包括执行湿式刻蚀工艺(例如各向同性刻蚀工艺)和/或干式刻蚀工艺。第三图案化工艺可包括将MEMS衬底111暴露到一种或多种刻蚀剂,例如(举例来说)二氟化氙(XeF2)。第三图案化工艺移除所述多个支柱(图18的902)。
如图20的剖视图2000中所示,对图19的结构执行第四图案化工艺。在一些实施例中,第四图案化工艺包括执行湿式刻蚀工艺以及将图19的结构暴露到一种或多种刻蚀剂。第四图案化工艺移除ILD结构120的一部分,从而界定空气体积空间113。此外,第四图案化工艺从颗粒过滤器106的底表面移除下部介电层104a且从颗粒过滤器106的顶表面移除上部介电层104c。在执行第四图案化工艺之后,执行移除工艺以移除上部掩蔽层(图19的804)以及另一下部掩蔽层(图19的1802)。
图21示出根据一些实施例的形成具有颗粒过滤器的MEMS麦克风的第二方法2100。尽管第二方法2100被示出和/或阐述为一系列动作或事件,然而应理解,所述方法并非仅限于所示次序或动作。因此,在一些实施例中,所述动作可以与所示不同的次序施行和/或可同时施行。此外,在一些实施例中,所示的动作或事件可被细分为多个动作或事件,所述多个动作或事件可在单独的时间施行或者与其他动作或子动作同时施行。在一些实施例中,可省略一些示出的动作或事件,且可包括其他未示出的动作或事件。
在动作2102处,提供载体衬底。图13示出与动作2102的一些实施例对应的剖视图1300。
在动作2104处,在载体衬底之上形成过滤器堆叠。过滤器堆叠包括上部介电层、颗粒过滤器层及下部介电层,颗粒过滤器层设置在上部介电层与下部介电层之间。图13示出与动作2104的一些实施例对应的剖视图1300。
在动作2106处,将MEMS衬底结合到上部介电层。图14示出与动作2106的一些实施例对应的剖视图1400。
在动作2108处,在MEMS衬底之上形成MEMS结构。MEMS结构包括第一背板、第二背板及设置在第一背板与第二背板之间的膜片。图15示出与动作2108的一些实施例对应的剖视图1500。
在动作2110处,对载体衬底执行干式刻蚀工艺,从而在载体衬底中界定开口且暴露出下部介电层的底表面。图17示出与动作2110的一些实施例对应的剖视图1700。
在动作2112处,对MEMS衬底及过滤器堆叠执行干式刻蚀工艺,从而在颗粒过滤器层中界定颗粒过滤器,且在MEMS衬底中界定多个支柱。图18示出与动作2112的一些实施例对应的剖视图1800。
在动作2114处,对MEMS衬底执行湿式刻蚀工艺,从而移除所述多个支柱。图19示出与动作2114的一些实施例对应的剖视图1900。
在动作2116处,对MEMS结构及过滤器堆叠执行刻蚀工艺,从而在第一背板、第二背板及膜片周围界定空气体积空间。刻蚀工艺从颗粒过滤器移除上部介电层及下部介电层。图20示出与动作2116的一些实施例对应的剖视图2000。
图22到图29示出根据本公开的形成具有颗粒过滤器的MEMS麦克风的第三方法的一些实施例的剖视图2200到剖视图2900。尽管参照方法阐述图22到图29中所示的剖视图2200到剖视图2900,然而应理解,图22到图29中所示的结构并非仅限于所述方法,而是可单独地独立于所述方法。此外,尽管图22到图29被阐述为一系列动作,然而应理解,这些动作并不限制在其他实施例中可改变的动作的次序,且所公开的方法也适用于其他结构。在其他实施例中,可全部或部分地省略示出和/或阐述的一些动作。
如图22的剖视图2200中所示,提供MEMS衬底111且在MEMS衬底111之上形成MEMS装置结构102。MEMS装置结构102包括导电线124、导通孔122、电接触件114、116、118、层间介电(ILD)结构120、第一背板108、第二背板112以及设置在第一背板108与第二背板112之间的膜片110。在一些实施例中,MEMS装置结构102是如图7中所示和/或所述来形成。MEMS衬底111可为例如块状衬底(例如块状硅衬底)、绝缘体上覆硅(SOI)衬底、或具有初始厚度Tr的一些其他合适的衬底。
如图23的剖视图2300中所示,将粘合剂结合层2302及牺牲衬底2304结合到MEMS装置结构102的ILD结构120。在一些实施例中,结合工艺为熔合结合工艺、或者另一种合适的结合工艺,和/或可包括达到介于200摄氏度到300摄氏度的范围内的最高温度。牺牲衬底2304被配置成在随后的处理步骤(例如,图24的薄化工艺和/或图26的结合工艺)期间增加MEMS装置结构102和/或MEMS衬底111的结构完整性。
如图24的剖视图2400中所示,对MEMS衬底111执行薄化工艺,以将MEMS衬底111的初始厚度Tr减小到厚度Tms。在一些实施例中,厚度Tms介于约10微米到约200微米的范围内。在一些实施例中,薄化工艺通过机械研磨工艺、化学机械抛光(CMP)、一些其它薄化工艺、或前述工艺的任意组合来执行。举例来说,薄化工艺可完全通过机械研磨工艺来执行。在执行薄化工艺之后,将MEMS衬底111图案化以在MEMS衬底111中界定多个支柱2402。在一些实施例中,图案化工艺包括:在MEMS衬底111(未示出)的后表面之上形成掩蔽层;将MEMS衬底111的未被掩蔽的区暴露到一种或多种刻蚀剂,从而界定支柱2402;以及执行移除工艺以移除掩蔽层。所述多个支柱2402被配置成在后续处理步骤(例如,图26的结合工艺)期间进一步增加MEMS衬底111的结构完整性。
如图25的剖视图2500中所示,提供载体衬底103。在一些实施例中,载体衬底103可为例如块状衬底(例如块状硅衬底)、绝缘体上覆硅(SOI)衬底、或一些其他合适的衬底,和/或可具有介于近似250到近似725微米的范围内的初始厚度Ti。在载体衬底103之上形成过滤器堆叠104。过滤器堆叠104包括下部介电层104a、颗粒过滤器层104b及上部介电层104c。在一些实施例中,过滤器堆叠104是如图5中所示和/或所述来形成。
同样如图25中所示,对过滤器堆叠104进行刻蚀,从而界定多个过滤器开口107及颗粒过滤器106。在一些实施例中,刻蚀工艺包括:在上部介电层104c之上形成掩蔽层(未示出);将上部介电层104c的未被掩蔽的区暴露到一种或多种刻蚀剂;以及执行移除工艺以移除掩蔽层。
如图26的剖视图2600中所示,将MEMS衬底111结合到上部介电层104c。在一些实施例中,结合工艺可例如为熔合结合工艺、或者另一种合适的结合工艺。在执行结合工艺之后,对载体衬底103执行薄化工艺,以将载体衬底103的初始厚度Ti减小到厚度Tcs。在一些实施例中,厚度Tcs介于约200微米到约400微米的范围内。在一些实施例中,薄化工艺通过机械研磨工艺、化学机械抛光(CMP)、一些其它薄化工艺、或前述工艺的任意组合来执行。举例来说,薄化工艺可完全通过机械研磨工艺来执行。
如图27的剖视图2700中所示,粘合剂结合层(图26的2302)及牺牲衬底(图26的2304)与MEMS装置结构102分隔开(与ILD结构120脱离结合(de-bond))。在分隔工艺之后,在载体衬底103的底表面上形成下部掩蔽层802且在ILD结构120之上形成上部掩蔽层804。在一些实施例中,下部掩蔽层802和/或上部掩蔽层804可例如分别为或包括光刻胶、硬掩模层等。下部掩蔽层802及上部掩蔽层804分别具有界定多个开口的多个侧壁。
如图28的剖视图2800中所示,根据下部掩蔽层802,对载体衬底103执行第一图案化工艺。在一些实施例中,第一图案化工艺包括执行干式刻蚀工艺,例如等离子体刻蚀工艺和/或深反应离子刻蚀(DRIE)工艺。第一图案化工艺在颗粒过滤器106正下方界定载体衬底开口101。
同样如图28中所示,在第一图案化工艺之后,根据下部掩蔽层802对载体衬底103及MEMS衬底111执行第二图案化工艺。在一些实施例中,第二图案化工艺包括执行湿式刻蚀工艺(例如各向同性刻蚀工艺)和/或干式刻蚀工艺。第二图案化工艺可包括将载体衬底103和/或MEMS衬底111暴露到一种或多种刻蚀剂,例如(举例来说)二氟化氙(XeF2)。第二图案化工艺移除所述多个支柱(图27的2402),且可扩展载体衬底开口101的宽度。
如图29的剖视图2900中所示,对图28的结构执行第三图案化工艺。在一些实施例中,第三图案化工艺包括执行湿式刻蚀工艺以及将图28的结构暴露到一种或多种刻蚀剂。第三图案化工艺移除ILD结构120的一部分,从而界定空气体积空间113。此外,第四图案化工艺从颗粒过滤器106的底表面移除下部介电层104a,且从颗粒过滤器106的顶表面移除上部介电层104c。在执行第三图案化工艺之后,执行移除工艺以移除下部掩蔽层及上部掩蔽层(图28的802、804)。
图30示出根据一些实施例的形成具有颗粒过滤器的MEMS麦克风的第三方法3000。尽管第三方法3000被示出和/或阐述为一系列动作或事件,然而应理解,所述方法并非仅限于所示次序或动作。因此,在一些实施例中,所述动作可以与所示不同的次序施行和/或可同时施行。此外,在一些实施例中,所示的动作或事件可被细分为多个动作或事件,所述多个动作或事件可在单独的时间施行或者与其他动作或子动作同时施行。在一些实施例中,可省略一些示出的动作或事件,且可包括其他未示出的动作或事件。
在动作3002处,提供MEMS衬底。图22示出与动作3002的一些实施例对应的剖视图2200。
在动作3004处,在MEMS衬底之上形成MEMS结构。MEMS结构包括第一背板、第二背板及设置在第一背板与第二背板之间的膜片。图22示出与动作3004的一些实施例对应的剖视图2200。
在动作3006处,将牺牲衬底结合到MEMS结构。图23示出与动作3006的一些实施例对应的剖视图2300。
在动作3008处,对MEMS衬底执行刻蚀工艺,从而在MEMS衬底中界定多个支柱。图24示出与动作3008的一些实施例对应的剖视图2400。
在动作3010处,提供载体衬底且在载体衬底之上形成过滤器堆叠。过滤器堆叠包括上部介电层、颗粒过滤器层及下部介电层,颗粒过滤器层设置在上部介电层与下部介电层之间。图25示出与动作3010的一些实施例对应的剖视图2500。
在动作3012处,对过滤器堆叠执行刻蚀工艺,从而在颗粒过滤器层中界定颗粒过滤器。图25示出与动作3012的一些实施例对应的剖视图2500。
在动作3014处,将MEMS衬底结合到过滤器堆叠。图26示出与动作3014的一些实施例对应的剖视图2600。
在动作3016处,对载体衬底执行薄化工艺。在薄化工艺之后,使牺牲衬底与MEMS结构脱离结合。图27示出与动作3016的一些实施例对应的剖视图2700。
在动作3018处,对载体衬底执行干式刻蚀工艺,从而在颗粒过滤器正下方在载体衬底中界定开口。图28示出与动作3018的一些实施例对应的剖视图2800。
在动作3020处,对MEMS衬底执行湿式刻蚀工艺,从而移除所述多个支柱。图28示出与动作3020的一些实施例对应的剖视图2800。
在动作3022处,对MEMS结构及过滤器堆叠执行刻蚀工艺,从而在第一背板、第二背板及膜片周围界定空气体积空间。刻蚀工艺从颗粒过滤器移除上部介电层及下部介电层。图29示出与动作3022的一些实施例对应的剖视图2900。
因此,在一些实施例中,本公开涉及简化具有颗粒过滤器的MEMS装置的制作以使得颗粒过滤器设置在MEMS衬底与载体衬底之间的多种方法。
在一些实施例中,本申请提供一种麦克风,所述麦克风包括:微机电系统(MEMS)装置结构,上覆在微机电系统衬底上,其中所述微机电系统装置结构包括膜片,所述膜片具有界定膜片开口的相对的侧壁;载体衬底,位于所述微机电系统衬底之下,其中所述载体衬底具有界定载体衬底开口的相对的侧壁,所述载体衬底开口位于所述膜片开口之下;以及过滤器堆叠,夹置在所述载体衬底与所述微机电系统衬底之间,所述过滤器堆叠包括上部介电层、下部介电层及设置在所述上部介电层与所述下部介电层之间的颗粒过滤器层,其中所述颗粒过滤器层包括在所述载体衬底的所述相对的侧壁之间在横向上间隔开的颗粒过滤器。
在一些实施例中,所述颗粒过滤器具有界定多个过滤器开口的多个相对的侧壁,其中所述多个过滤器开口在横向上位于所述载体衬底的所述相对的侧壁之间。在一些实施例中,所述颗粒过滤器层包含氮化硅,且其中所述上部介电层及所述下部介电层各自包含氧化物。在一些实施例中,所述颗粒过滤器层包括夹置在上部氮化硅层与下部氮化硅层之间的多晶硅层。在一些实施例中,所述颗粒过滤器层的厚度小于所述上部介电层的厚度且小于所述下部介电层的厚度。在一些实施例中,当从上方观察时,所述颗粒过滤器连续地环绕所述多个过滤器开口中的各别过滤器开口。在一些实施例中,所述颗粒过滤器的宽度小于所述载体衬底的所述相对的侧壁之间的距离。在一些实施例中,所述微机电系统衬底的厚度小于所述载体衬底的厚度。
在一些实施例中,本申请提供一种微机电系统(MEMS)装置,所述微机电系统装置包括:微机电系统衬底,具有界定微机电系统开口的相对的侧壁;微机电系统结构,在垂直方向上位于所述微机电系统衬底之上,其中所述微机电系统结构包括第一背板及在垂直方向上与所述第一背板分隔开的膜片;载体衬底,位于所述微机电系统衬底之下,其中所述载体衬底具有界定载体衬底开口的相对的侧壁,其中所述载体衬底开口位于所述膜片及所述微机电系统开口之下;以及过滤器堆叠,设置在所述载体衬底与所述微机电系统衬底之间,其中所述过滤器堆叠包括颗粒过滤器层,所述颗粒过滤器层具有颗粒过滤器,其中所述颗粒过滤器包括多个过滤器开口,所述多个过滤器开口延伸穿过所述颗粒过滤器层且在横向上位于所述微机电系统衬底的所述相对的侧壁之间。
在一些实施例中,所述过滤器堆叠还包括:上部介电层,设置在所述微机电系统衬底与所述颗粒过滤器层之间;下部介电层,设置在所述载体衬底与所述颗粒过滤器层之间,且其中所述颗粒过滤器层的外侧壁延伸超过所述上部介电层的外侧壁及所述下部介电层的外侧壁。在一些实施例中,所述上部介电层的所述外侧壁及所述下部介电层的所述外侧壁分别在朝向所述多个过滤器开口的方向上与所述颗粒过滤器层的所述外侧壁在横向上偏置开非零距离。在一些实施例中,所述上部介电层及所述下部介电层各自包含氧化物且所述颗粒过滤器层包含氮化物。在一些实施例中,所述颗粒过滤器的所述多个过滤器开口是由第一氮化物层的侧壁、第二氮化物层的侧壁及设置在所述第一氮化物层与所述第二氮化物层之间的多晶硅层的侧壁界定。在一些实施例中,所述颗粒过滤器层的厚度小于所述微机电系统衬底的厚度,其中所述微机电系统衬底的厚度小于所述载体衬底的厚度。在一些实施例中,所述载体衬底在远离所述颗粒过滤器的方向上与所述颗粒过滤器层在横向上偏置开非零距离。在一些实施例中,当从上方观察时,所述多个过滤器开口是圆形的或椭圆形的。
在一些实施例中,本申请提供一种制造微机电系统(MEMS)装置的方法,所述方法包括:在载体衬底之上形成过滤器堆叠,其中所述过滤器堆叠包括上部介电层、下部介电层、及设置在所述上部介电层与所述下部介电层之间的颗粒过滤器层;将所述过滤器堆叠图案化,以在所述颗粒过滤器层中界定颗粒过滤器,所述颗粒过滤器具有围绕多个过滤器开口连续地延伸的一个或多个表面;将微机电系统衬底结合到所述上部介电层;在所述微机电系统衬底之上形成微机电系统结构,所述微机电系统结构包括能够移动的膜片;将所述载体衬底图案化,以在所述载体衬底中界定载体衬底开口;将所述微机电系统衬底图案化,以在所述微机电系统衬底中界定微机电系统开口;以及对所述微机电系统结构及所述过滤器堆叠执行刻蚀工艺,其中所述刻蚀工艺从所述颗粒过滤器正上方及正下方移除所述上部介电层及所述下部介电层。
在一些实施例中,所述颗粒过滤器是在将所述微机电系统衬底结合到所述上部介电层之前形成。在一些实施例中,所述颗粒过滤器是在界定所述载体衬底开口之后且在所述微机电系统衬底中界定所述微机电系统开口之前形成。在一些实施例中,所述微机电系统结构是在将所述微机电系统衬底结合到所述上部介电层之前形成在所述微机电系统衬底之上,且其中牺牲衬底是在将所述微机电系统衬底结合到所述上部介电层之前结合到所述微机电系统衬底。
以上概述了若干实施例的特征,以使所属领域中的技术人员可更好地理解本公开的各个方面。所属领域中的技术人员应理解,他们可容易地使用本公开作为设计或修改其他工艺及结构的基础来施行与本文中所介绍的实施例相同的目的和/或实现与本文中所介绍的实施例相同的优点。所属领域中的技术人员还应认识到,这些等效构造并不背离本公开的精神及范围,而且他们可在不背离本公开的精神及范围的条件下在本文中作出各种改变、代替及变更。

Claims (20)

1.一种麦克风,其特征在于,包括:
微机电系统(MEMS)装置结构,上覆在微机电系统衬底上,其中所述微机电系统装置结构包括膜片,所述膜片具有界定膜片开口的相对的侧壁;
载体衬底,位于所述微机电系统衬底之下,其中所述载体衬底具有界定载体衬底开口的相对的侧壁,所述载体衬底开口位于所述膜片开口之下;以及
过滤器堆叠,夹置在所述载体衬底与所述微机电系统衬底之间,所述过滤器堆叠包括上部介电层、下部介电层及设置在所述上部介电层与所述下部介电层之间的颗粒过滤器层,其中所述颗粒过滤器层包括在所述载体衬底的所述相对的侧壁之间在横向上间隔开的颗粒过滤器,其中所述颗粒过滤器层包括上部过滤器层、下部过滤器层以及设置在所述上部过滤器层与所述下部过滤器层之间的中间过滤器层,其中所述上部过滤器层与所述下部过滤器层包括第一材料,且其中所述中间过滤器层包括第二材料,且所述第二材料与所述第一材料不同。
2.根据权利要求1所述的麦克风,其特征在于,所述颗粒过滤器具有界定多个过滤器开口的多个相对的侧壁,其中所述多个过滤器开口在横向上位于所述载体衬底的所述相对的侧壁之间,其中所述颗粒过滤器层包括夹设于上部氮化硅层与下部氮化硅层之间的多晶硅层。
3.根据权利要求2所述的麦克风,其特征在于,所述颗粒过滤器层包含氮化硅,且其中所述上部介电层及所述下部介电层各自包含氧化物。
4.根据权利要求2所述的麦克风,其特征在于,所述第一材料包括氮化硅,且所述第二材料包括多晶硅。
5.根据权利要求2所述的麦克风,其特征在于,所述颗粒过滤器层的厚度小于所述上部介电层的厚度且小于所述下部介电层的厚度。
6.根据权利要求1所述的麦克风,其特征在于,所述微机电系统衬底的厚度小于所述载体衬底的厚度。
7.一种微机电系统(MEMS)装置,其特征在于,包括:
微机电系统衬底,具有界定微机电系统开口的相对的侧壁;
微机电系统结构,在垂直方向上位于所述微机电系统衬底之上,其中所述微机电系统结构包括第一背板及在垂直方向上与所述第一背板分隔开的膜片;
载体衬底,位于所述微机电系统衬底之下,其中所述载体衬底具有界定载体衬底开口的相对的侧壁,其中所述载体衬底开口位于所述膜片及所述微机电系统开口之下;以及
过滤器堆叠,设置在所述载体衬底与所述微机电系统衬底之间,其中所述过滤器堆叠包括颗粒过滤器层,所述颗粒过滤器层具有颗粒过滤器,其中所述颗粒过滤器包括多个过滤器开口,所述多个过滤器开口延伸穿过所述颗粒过滤器层且在横向上位于所述微机电系统衬底的所述相对的侧壁之间,其中所述颗粒过滤器层包括多晶硅层、第一氮化层以及第二氮化层,其中所述颗粒过滤器的所述多个过滤器开口由所述第一氮化层的多个侧壁、所述第二氮化层的多个侧壁以及设置于所述第一氮化层与所述第二氮化层之间的所述多晶硅层的多个侧壁所界定。
8.根据权利要求7所述的微机电系统装置,其特征在于,所述过滤器堆叠还包括:
上部介电层,设置在所述微机电系统衬底与所述颗粒过滤器层之间;
下部介电层,设置在所述载体衬底与所述颗粒过滤器层之间,且
其中所述颗粒过滤器层的外侧壁延伸超过所述上部介电层的外侧壁及所述下部介电层的外侧壁。
9.根据权利要求8所述的微机电系统装置,其特征在于,所述上部介电层的所述外侧壁及所述下部介电层的所述外侧壁分别在朝向所述多个过滤器开口的方向上与所述颗粒过滤器层的所述外侧壁在横向上偏置开非零距离。
10.根据权利要求9所述的微机电系统装置,其特征在于,所述上部介电层及所述下部介电层各自包含氧化物。
11.根据权利要求7所述的微机电系统装置,其特征在于,所述颗粒过滤器层的厚度小于所述微机电系统衬底的厚度,其中所述微机电系统衬底的厚度小于所述载体衬底的厚度。
12.根据权利要求7所述的微机电系统装置,其特征在于,所述载体衬底包括在所述颗粒过滤器之下界定载体衬底开口的多个侧壁。
13.根据权利要求7所述的微机电系统装置,其特征在于,当从上方观察时,所述多个过滤器开口是圆形的或椭圆形的。
14.一种制造微机电系统(MEMS)装置的方法,其特征在于,所述方法包括:
在载体衬底之上形成过滤器堆叠,其中所述过滤器堆叠包括上部介电层、下部介电层、及设置在所述上部介电层与所述下部介电层之间的颗粒过滤器层,其中所述颗粒过滤器层包括上部过滤器层、下部过滤器层以及设置在所述上部过滤器层与所述下部过滤器层之间的中间过滤器层,其中所述上部过滤器层与所述下部过滤器层包括第一材料,且其中所述中间过滤器层包括第二材料,且所述第二材料与所述第一材料不同;
在所述过滤器堆叠设置于所述载体衬底上的同时,将所述过滤器堆叠图案化,以在所述颗粒过滤器层中界定颗粒过滤器,其中所述颗粒过滤器具有围绕多个过滤器开口连续地延伸的一个或多个表面;
将微机电系统衬底结合到所述上部介电层;
在所述微机电系统衬底之上形成微机电系统结构,所述微机电系统结构包括能够移动的膜片;
将所述载体衬底图案化,以在所述载体衬底中界定载体衬底开口;
将所述微机电系统衬底图案化,以在所述微机电系统衬底中界定微机电系统开口;以及
对所述微机电系统结构及所述过滤器堆叠执行刻蚀工艺,其中所述刻蚀工艺从所述颗粒过滤器正上方及正下方移除所述上部介电层及所述下部介电层。
15.根据权利要求14所述的方法,其特征在于,所述过滤器堆叠是在将所述微机电系统衬底结合到所述上部介电层之前图案化。
16.根据权利要求14所述的方法,其特征在于,所述过滤器堆叠是在界定所述载体衬底开口之后且在所述微机电系统衬底中界定所述微机电系统开口之前图案化。
17.根据权利要求14所述的方法,其特征在于,所述微机电系统结构是在将所述微机电系统衬底结合到所述上部介电层之前形成在所述微机电系统衬底之上。
18.根据权利要求14所述的方法,其特征在于,所述微机电系统结构还包括第一背板及第二背板,其中所述上部过滤器层与所述下部过滤器层包括氮化硅,且其中所述中间过滤器层包括多晶硅。
19.根据权利要求14所述的方法,其特征在于,所述过滤器堆叠的图案化包括移除所述上部介电层的部分、所述下部介电层的部分以及所述颗粒过滤器层的部分。
20.根据权利要求14所述的方法,其特征在于,还包括:
对所述微机电系统衬底执行刻蚀工艺,以在所述微机电系统衬底的图案化之前,在所述微机电系统衬底中界定多个支柱。
CN201911087537.5A 2019-08-16 2019-11-08 麦克风、微机电系统装置及其制造方法 Active CN112399291B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/542,479 2019-08-16
US16/542,479 US10941034B1 (en) 2019-08-16 2019-08-16 Particle filter for MEMS device

Publications (2)

Publication Number Publication Date
CN112399291A CN112399291A (zh) 2021-02-23
CN112399291B true CN112399291B (zh) 2022-12-13

Family

ID=74568316

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911087537.5A Active CN112399291B (zh) 2019-08-16 2019-11-08 麦克风、微机电系统装置及其制造方法

Country Status (3)

Country Link
US (2) US10941034B1 (zh)
CN (1) CN112399291B (zh)
TW (1) TWI718729B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11274037B2 (en) 2019-10-30 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Dual micro-electro mechanical system and manufacturing method thereof
US20220259037A1 (en) * 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Arched Membrane Structure for MEMS Device
US20230027657A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company Limited Damping device and method of making
EP4380182A1 (en) * 2022-12-01 2024-06-05 Infineon Technologies AG Monolithic integration of mems sound transducer and environmental barrier

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103391501A (zh) * 2012-05-10 2013-11-13 迈尔森电子(天津)有限公司 Mems麦克风结构及其制作方法
TW201539699A (zh) * 2014-04-09 2015-10-16 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co Ltd 嵌入式芯片的製造方法
CN109890748A (zh) * 2017-06-09 2019-06-14 歌尔股份有限公司 Mems麦克风、其制造方法以及电子设备
CN110015633A (zh) * 2018-01-08 2019-07-16 英飞凌科技股份有限公司 微机电系统

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8447057B2 (en) 2011-03-18 2013-05-21 Analog Devices, Inc. Packages and methods for packaging MEMS microphone devices
ITMI20111579A1 (it) 2011-09-02 2013-03-03 Saati Spa Microfono mems con schermo tessile integrato di protezione.
US9078063B2 (en) 2012-08-10 2015-07-07 Knowles Electronics, Llc Microphone assembly with barrier to prevent contaminant infiltration
US20150060955A1 (en) 2013-09-03 2015-03-05 Windtop Technology Corp. Integrated mems microphone with mechanical electrical isolation
CN105448648B (zh) 2014-07-30 2018-09-25 北大方正集团有限公司 一种晶片流片方法
US10149032B2 (en) * 2017-01-30 2018-12-04 Apple Inc. Integrated particle and light filter for MEMS device
GB2563461B (en) 2017-06-16 2021-11-10 Cirrus Logic Int Semiconductor Ltd Transducer packaging
DE102017115405B3 (de) * 2017-07-10 2018-12-20 Epcos Ag MEMS-Mikrofon mit verbessertem Partikelfilter
US10609463B2 (en) 2017-10-30 2020-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated microphone device and manufacturing method thereof
US10968097B2 (en) * 2019-08-16 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Support structure for MEMS device with particle filter

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103391501A (zh) * 2012-05-10 2013-11-13 迈尔森电子(天津)有限公司 Mems麦克风结构及其制作方法
TW201539699A (zh) * 2014-04-09 2015-10-16 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co Ltd 嵌入式芯片的製造方法
CN109890748A (zh) * 2017-06-09 2019-06-14 歌尔股份有限公司 Mems麦克风、其制造方法以及电子设备
CN110015633A (zh) * 2018-01-08 2019-07-16 英飞凌科技股份有限公司 微机电系统

Also Published As

Publication number Publication date
CN112399291A (zh) 2021-02-23
US10941034B1 (en) 2021-03-09
US20210047175A1 (en) 2021-02-18
US20210188627A1 (en) 2021-06-24
US11649162B2 (en) 2023-05-16
TWI718729B (zh) 2021-02-11
TW202108495A (zh) 2021-03-01

Similar Documents

Publication Publication Date Title
CN112399291B (zh) 麦克风、微机电系统装置及其制造方法
US9738516B2 (en) Structure to reduce backside silicon damage
JP5133867B2 (ja) マイクロコンポーネント用のパッケージ
US9452920B2 (en) Microelectromechanical system device with internal direct electric coupling
US11807521B2 (en) Support structure for MEMS device with particle filter
US9670059B2 (en) Sensor structure for sensing pressure waves and ambient pressure
CN106241727A (zh) 半导体结构及其制造方法
US11203522B2 (en) Sidewall stopper for MEMS device
US8252695B2 (en) Method for manufacturing a micro-electromechanical structure
TWI733711B (zh) 半導體結構以及其製造方法
CN109205548B (zh) 微电子机械系统(mems)装置及其制造方法
US8502382B2 (en) MEMS and protection structure thereof
CN107445135B (zh) 半导体器件及其封装方法
US20230382716A1 (en) Mems microphone and mems accelerometer on a single substrate
US8129805B2 (en) Microelectromechanical system (MEMS) device and methods for fabricating the same
CN111129281B (zh) 压电装置、形成压电装置的方法及形成压电结构的方法
US7651888B2 (en) Wafer lever fixture and method for packaging micro-electro-mechanical-system devices
CN114988345A (zh) 微机电系统和制造方法
CN117156362A (zh) 微机电系统麦克风封装体

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant