TWI712150B - 具有整合天線結構之晶圓級封裝及其形成方法 - Google Patents

具有整合天線結構之晶圓級封裝及其形成方法 Download PDF

Info

Publication number
TWI712150B
TWI712150B TW108131324A TW108131324A TWI712150B TW I712150 B TWI712150 B TW I712150B TW 108131324 A TW108131324 A TW 108131324A TW 108131324 A TW108131324 A TW 108131324A TW I712150 B TWI712150 B TW I712150B
Authority
TW
Taiwan
Prior art keywords
redistribution layer
forming
semiconductor chip
semiconductor
semiconductor device
Prior art date
Application number
TW108131324A
Other languages
English (en)
Other versions
TW202025438A (zh
Inventor
馬可 威藍德
克莉絲汀 葛歐特
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW202025438A publication Critical patent/TW202025438A/zh
Application granted granted Critical
Publication of TWI712150B publication Critical patent/TWI712150B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/48Earthing means; Earth screens; Counterpoises
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Details Of Aerials (AREA)

Abstract

射頻(RF)半導體晶片可以基於用於提供一封裝材料的兩步工藝在晶圓級上封裝,從而在形成於該封裝材料中的天線結構和該半導體晶片之間提供非常短的電性連接。在一些說明性實施例中,該天線結構可設置在該半導體晶片的上方,從而形成一高空間效率的總體配置。

Description

具有整合天線結構之晶圓級封裝及其形成方法
一般而言,本申請關於包括必須連接到各自的天線結構以與該半導體裝置的外圍建立無線連接的射頻(RF)元件的半導體裝置。
在半導體產品的領域,存在著將越來越多的電路元件整合到單一的半導體裸片(die)或晶片(chip)中的發展趨勢,從而提供在單一晶片上實現整個系統的可能性。由於電子裝置對於無線連接的需求總體上在增加,因此,在單個半導體晶片中實現各自無線連接的需求也在不斷增加,從而顯著提高電子組件的靈活性和應用領域。例如,對於低端感測器裝置,無線信號傳輸在建立可基於感測器裝置等資訊運行的複雜系統方面提供了卓越的靈活性。另一方面,即便是單晶片上的高度複雜系統,也可能必須配備精密的無線連接,以便與例如感測器、監控設備等其他組件進行通信。
在晶片級實現無線連接後,不僅必須在半導體晶片中提供各自的RF組件,例如,放大器、本地振盪器、鎖相環組件等,而且還必須將各自的天線結構定位於這些RF組件的附近,從而在功率損耗、抗干擾的穩健性(robustness)等方面獲得適當的性能。在最近的發展中,為進一步降低波長從而增加通常用於 無線連接的各個通信通道的頻率,必須處理大約20-110GHz的頻率,因此對於各電子元件的類比(analog)射頻部分的總體設計造成了重大負擔。例如,當波長在毫米範圍內減小時,各天線結構與實際電子組件(例如,發射器的最終射頻放大器或接收器的輸入放大器)之間的介面(interface)是一個非常關鍵的裝置部分,這是因為,例如,各個饋電線(feed line)的任何過長的長度都可能導致顯著的信號丟失、干擾等異常。因此,為了提供高效的中間結構,以將半導體晶片中的天線結構與實際電子組件連接起來,這一技術領域正在作出巨大的努力。例如,已在具有適當接觸結構的特定材料的基礎上開發專用基板,以便有效地連接至半導體晶片,從而提供一完整電子裝置的有效整體性能,其中,該完整電子裝置包括有半導體晶片、各自的封裝件、以及具有形成於其中的天線結構的專門設計的基板等。在其他最近的方法中,天線結構可在半導體晶片中提供,然而,這可能會導致晶片面積的顯著增加,從而提高整體製造成本。
一般而言,半導體技術領域有一種趨勢,根據這種趨勢,半導體晶片的封裝可以在晶圓級(wafer level)上完成,也就是說,半導體裸片可以在將晶圓實際分離成單個半導體晶片之前進行封裝,從而實現高成本效益的封裝工藝。
由於在晶圓級上進行封裝是製造半導體裝置的一種非常具有前景的方法,此是由於是針對晶圓整體而非單獨的裸片執行相應的處理工藝,從而在整個製造流程的最終狀態下減少產量損失,本申請通常關於半導體裝置和製造技術,其中,可以包含天線結構,同時避免或至少減少上述問題的影響。
以下是針對本發明的一簡要概述,以提供對本發明的某些方面的基本瞭解。此摘要並非本發明之詳盡概述。其並非用於識別本發明的關鍵要素,也不用於限制本發明的範圍。其唯一目的是以簡化的形式呈現一些概念,以作為後續詳細描述的前奏。
基本上,本申請關於射頻(RF)半導體裝置的晶圓級封裝的概念,其採用基於適當材料的成型技術,這些材料被確定為射頻應用的優良材料。此外,天線結構可併入各自的介電材料(例如,成型材料)中,並與其他適當的連接結構(例如金屬化層)結合,用於將半導體晶片的電性連接重新分配到較小臨界橫向尺寸的一晶片外部級,以允許至該半導體晶片的外圍(例如,另一載體基板、一印刷電路板等)的連接。一般而言,這種以金屬線、金屬墊以及接觸通孔或元件形式分佈的接觸結構可稱為重分佈層,並表示該半導體晶片的最終金屬層的典型密集型封裝的接觸墊和外部組件(例如基板封裝件、印刷電路板等)之間的一介面。人們已經認識到,基本上,基於介電材料包圍半導體晶片的封裝技術的應用,例如,基於成型工藝(molding process),可用於以高空間效率的方式結合各自的天線結構,從而在半導體晶片和天線結構之間實現極短的電性連接,同時,介電材料的優良特性可有助於封裝的射頻半導體晶片的優異性能。例如,在一些說明性實施例中,除了基於一第一成型工藝形成一重分佈層之外,還可使用另一成型工藝,以合併所需的天線結構和各自的連接,從而獲得一晶圓級封裝工藝,其中,天線結構在封裝材料中提供,以於天線結構和實際半導體晶片之間提供非常短的導電路徑。
根據本文所公開的一個說明性實施例,一種方法包括形成在一半導體晶片的一第一表面上方的一第一重分佈層,其中,該第一重分佈層包括至少 一天線接地板。該方法進一步包括將該半導體晶片附接至該第一重分佈層。此外,形成一第一介電材料以包圍該半導體晶片並將該半導體晶片機械地連接至該第一重分佈層。該方法進一步包括形成一第二重分佈層以連接到該半導體晶片的一第二表面,其中,該第二表面與該第一表面相對。此外,該方法包括形成一金屬化結構於一第二介電材料中,其中,該金屬化結構包括一個或多個天線結構以及將該一個或多個天線結構連接到該第一重分佈層的一連接結構。
根據本文所公開的另一實施例,一射頻(RF)半導體裝置包括具有至少一射頻組件的一半導體晶片,其中,該半導體晶片具有一第一表面和相對的一第二表面。該射頻半導體裝置進一步包括包圍該半導體晶片的一介電封裝材料。此外,一第一重分佈層形成於該半導體晶片的該第一表面的上方,其中,該第一重分佈層包括橫向設置的至少一天線接地板,以沿一高度方向觀察時,其至少部分地位於該半導體晶片的一輪廓內。該半導體裝置進一步包括形成於該半導體晶片的該第二表面下方的一第二重分佈層。此外,該射頻半導體裝置包括一金屬化結構,其形成於該第一表面的上方且沿該高度方向與該第一重分佈層隔開,並包括一個或多個天線結構,其中,當沿該高度方向觀察時,該一個或多個天線中的至少一個位於該半導體晶片的該輪廓內。
根據本文所公開的另一說明性實施例中,一射頻半導體裝置包括具有至少一射頻組件的一半導體晶片,其中,該半導體晶片具有一第一表面和相對的一第二表面。該半導體裝置進一步包括包圍該半導體晶片的一介電封裝材料。此外,一第一重分佈層形成於該半導體晶片的該第一表面的上方,其中,該第一重分佈層包括橫向設置的至少一天線接地板,以便在沿以高度方向觀察時,其至少部分地位於該半導體晶片的一輪廓內。此外,一第二重分佈層形成於該半 導體晶片的該第二表面的下方。最後,一金屬化結構沿該高度方向形成於該第一表面以及該第一重分佈層的上方,其中,該金屬化結構包括多個天線結構,當沿該高度方向觀察時,該多個天線結構中的每一個至少部分地位於該半導體晶片的該輪廓內。
100‧‧‧半導體裝置
101‧‧‧基板或晶圓
110‧‧‧半導體晶片
111‧‧‧輪廓
120‧‧‧封裝材料
135A/135C/135F‧‧‧天線結構(貼片)
200/200A‧‧‧半導體裝置
202‧‧‧高度方向
210‧‧‧半導體晶片
212‧‧‧第一表面
213‧‧‧第二表面
220‧‧‧封裝材料
220A‧‧‧第一介電材料(第一部分)
220B‧‧‧第二介電材料(第二部分)
221‧‧‧第一重分佈層
222‧‧‧接地板
223‧‧‧第二重分佈層
230‧‧‧金屬化結構
235A/235B/235C/235D‧‧‧天線結構(貼片)
236‧‧‧金屬線(區域)
237‧‧‧接觸元件
240‧‧‧接觸結構
300‧‧‧半導體裝置
302‧‧‧高度方向
310‧‧‧半導體晶片
312‧‧‧第一表面
313‧‧‧第二表面
320A‧‧‧第一部分(封裝材料)
320B‧‧‧第二部分(封裝材料)
321‧‧‧第一重分佈層
322‧‧‧接地板
323‧‧‧第二重分佈層
330‧‧‧金屬化結構
335A/335B‧‧‧天線結構
337A‧‧‧通孔
337B‧‧‧接觸元件
340‧‧‧接觸結構
400‧‧‧半導體裝置
406‧‧‧犧牲材料
410‧‧‧半導體晶片
412‧‧‧第一表面
413‧‧‧第二表面
420A‧‧‧第一部分
420B‧‧‧第二部分
421‧‧‧第一重分佈層
423‧‧‧第二重分佈層
430‧‧‧金屬化結構
435A/435B/435C/435D‧‧‧天線結構
437A‧‧‧通孔
437B‧‧‧接觸元件
440‧‧‧接觸結構
本申請可通過下述結合附圖的描述進行理解,其中,類似的參考數字用於識別類似的元件,且其中:
第1圖為根據說明性實施例,示意性說明了一半導體晶片的俯視圖,該半導體晶片在晶圓級封裝,且在該半導體晶片的輪廓內,該封裝材料中包含多個天線結構;
第2A圖及第2B圖為根據說明性實施例,示意性說明了半導體裝置的橫截面圖,該半導體裝置包括封裝材料中(即成型材料中)的天線結構,其中,第2A圖所提供的半導體晶片為“正面朝下”,以提供晶片內部接觸墊到一封裝基板的一接觸結構的高效路由,第2B圖所提供的半導體晶片定位為“正面朝上”,以於半導體晶片和形成的封裝材料中的天線結構之間提供非常短的電性連接;
第3A圖至第3D圖為根據說明性實施例,示意性說明了在用於將天線結構納入封裝材料的半導體裝置的各種製造階段的橫截面圖,其中,該封裝材料是基於兩步(two-step)成型工藝所提供的,通過例如雷射鑽孔以於封裝材料的上部形成接觸元件;以及
第4A圖至第4E圖為根據說明性實施例,示意性說明了半導體裝置在執行兩步成型工藝的各個製造階段的橫截面圖,其中,接觸元件是通過光蝕刻以圖案化封裝材料的第二層而形成的。
雖然本文所公開的主題容易受到各種修改和替換形式的影響,但其具體實施例已在附圖中以實例的方式予以示出,並在本文予以詳述。然而,應當理解的是,本文對於具體實施例的描述並不打算將本發明限定於本公開的特定形式,反之,其目的在於涵蓋屬本發明精神和範圍內的所有修改、等效和替代方案,如所附申請專利範圍所定義的。
本發明的各種說明性實施例描述如下。為了清晰起見,本說明書並沒有描述實際實現的所有特性。當然,在任何此類實際實施例的開發過程中,必須做出許多具體的實施決策,以實現開發人員的特定目標,例如,遵守與系統相關和與業務相關的約束,這些約束在不同的實現之間會有所不同。此外,應當瞭解的是,這種開發工作可能是複雜和耗時的,但對於本領域的普通技術人員而言,這不會是一項例行的常規工作。
現將參考附圖描述本發明的主題。附圖中對各種結構、系統以及裝置進行了示意性地描述,其僅作為解釋之用,以使本申請不被本發明技術人員所熟悉的細節所掩蓋。所附圖示僅用於描述和解釋本發明的說明性實施例。本文所使用的詞語和詞組,其涵義應與相關領域技術人員對這些詞語和詞組的理解相一致。本領域技術人員所理解的術語或詞組的特殊定義,即與普通和習慣涵義不同的定義,並不打算通過本領域術語或詞組的一致使用來暗示。如果一個術語 或詞組具有特殊涵義,即除本領域技術人員所理解的涵義外的其他涵義,此類特殊定義將在說明書中以定義的方式明確規定,以直接明確地為術語或詞組提供特殊定義。
本發明基於這樣的一個概念,即天線結構可以通過將各自的天線結構(即天線貼片)和各自的反射器或接地板合併到封裝材料中,從而有效地靠近實際的半導體晶片,該封裝材料可用於將半導體晶片以及相應的重分佈(redistribution)系統在晶圓級進行封裝。為此,封裝材料可按兩步順序予以提供,其中,在第一步中,可提供與整個天線系統的反射器或接地板進行組合的所需的重分佈層,而剩餘的封裝材料可在第二步中形成,其中,在形成該封裝材料的第二部分之前或之後,可至少形成用於電性接連該天線結構的一金屬化結構的接觸元件。
在一些說明性實施例中,天線結構(即天線貼片,天線系統的接地板和半導體晶片)可作為一堆疊配置予以提供,其中,當從各相應組件堆疊的高度方向觀察時,這些組件的至少一部分可能會橫向重疊。也就是說,當以俯視角度(即沿著各自的高度方向)觀看封裝的半導體裝置時,一個或多個天線結構中的至少一個可以橫向地定位在半導體晶片的輪廓內,從而有助於實現高空間效率的配置,並可允許在晶圓級別進行封裝,且確保天線結構和半導體晶片之間較短的電性連接。
基於兩步工藝(例如,通過兩步成型工藝)在晶圓級別形成晶片封裝件,提供了適當控制用於容納半導體晶片的各個空腔的尺寸(尤其是厚度)的可能性。此外,天線結構或天線貼片可以高度精確的方式定位於封裝件的頂部,且其中,也可以以非常精確的工藝來控制各個天線結構的平面度,這是由於兩步工 藝能夠實現中間平面化步驟,從而減少天線結構的層間高度偏差以及天線結構相對於接地板的層內偏差。通過這種方式,由於天線結構與接地板的高度平面配置,可以建立優越的高性能天線系統。特別是,當提供多個獨立可控制的天線結構時,可以對發射輻射的方向性進行高精度的控制,因為任何此類控制策略的效率都很大程度上取決於單個天線結構的平面度。此外,通過將天線結構合併到封裝材料中,可以利用相應的優越材料性能,同時通過使用至少兩個單獨的工藝步驟來應用封裝材料,可以獲得額外的靈活性,這是因為其厚度(即天線結構與接地板之間的距離)可根據材料特性、待發射輻射的波長等參數進行精確調整。
第1圖示意性地示出了處於以一封裝狀態的一半導體裝置100的俯視圖,其中,半導體裝置100仍然是一基板或晶圓101的一部分,所述基板或晶圓101可以包括相同類型的多個半導體裝置(未示出)。也就是說,封裝的半導體裝置100可能仍然需要進行切割,以便連接到另一封裝基板(未示出)或印刷電路板(未示出)。
在此階段中,封裝的半導體裝置100可包括一半導體晶片110,其可理解為已形成於其中的任何電子組件,例如電晶體、電阻器、電容器、電感器等,其形式取決於半導體晶片110的整個電路設計,其中,至少有一個電路需要連接到一天線系統。根據半導體晶片110的複雜性,甚至整個系統,即通常代表一特定電子系統的功能性的多個功能電路部分,也可以併入半導體晶片110中,其中,如上所述,具體而言,可並入射頻(RF)組件以提供封裝的半導體裝置100具有無線連接性能。因此,半導體晶片110可包括能夠在大約20-110GHz的頻率範圍內對信號進行處理的快速切換電晶體元件,取決於半導體裝置100與任何外圍組件的無線通信需求。
此外,半導體裝置100可包括一封裝材料120,其可以任何適當介電材料(例如環氧樹脂材料等)的形式提供,其通常用於在晶圓級上封裝半導體晶片。應當瞭解的是,在一些說明性實施例中,封裝材料120可具有一基本連續的材料組成,而不考慮封裝材料120可在至少兩個獨立的工藝步驟中應用,在其他情況下,封裝材料120可在一橫向方向(即第1圖中的水平方向和垂直方向)和/或在一高度方向(即第1圖中,垂直於第1圖所繪平面的方向)具有不同的材料組成。
封裝材料120可在其各自表面或其附近納入一個或多個天線結構或貼片135A至135F,其可由任何適當的導電材料(例如,銅、銅合金、鋁、銀、金等)所形成。儘管在一些說明性實施例中,本申請可包括單個天線貼片或結構135的可能性,但在其他說明性實施例中,兩個或多個天線結構135A至135F可以橫向排列,使得多個天線結構135A至135F中的至少一個在半導體晶片110的輪廓111內。也就是說,天線結構135A至135F中的至少一個在輪廓111內,而在另一說明性實施例中,如第1圖所示,多個天線結構135A至135F中的任何一個至少部分的在輪廓111內,因此與輪廓111所描繪的晶片區域重疊(當從高度方向觀察時)。此外,應當瞭解的是,儘管第1圖中顯示了六個天線結構135A至135F,但可根據總體裝置需求而採用任何其他數量的天線結構。此外,天線結構135A至135F的具體橫向形狀及其尺寸也可根據總體需求進行調整。從下面的描述可以明顯看出,本申請提供了一些技術,其在設計和定位各自的天線結構時,可以實現高度的靈活性。
如第1圖所示,用於形成封裝半導體裝置100的各製造技術將在後續的第3A圖至第3D圖和第4A圖至第4E圖中討論。
第2A圖示意性地說明了半導體裝置200的橫截面圖,除了相應封裝材料中所提供的天線結構的尺寸和數量有所不同之外,其可能與第1圖中所示的半導體裝置100具有基本相同的配置。如圖所示,半導體裝置200可包括具有一第一表面212和相對的一第二表面213的一半導體晶片210。關於半導體晶片210的總體配置,也可參考先前第1圖中所討論的半導體晶片110。
此外,在所示實施例中,第二表面213可代表一“功能”或“主動”表面,其可包括多個接觸墊(未示出),其可代表提供與半導體晶片210中的電子組件進行電性連接的一金屬化系統(未示出)的各個“端點”。半導體晶片210可由一封裝材料220(例如基於環氧樹脂的塑料材料、聚合物材料等)包圍,以使半導體晶片210具有機械強度、電氣完整性等。如圖所示,封裝材料220可包括一第一部分或第一介電材料220A,其可包圍半導體晶片210並與之接觸,而以第二部分或一第二介電材料220B可在第一部分220A的“上方”形成。在一些說明性實施例中,第一和第二部分220A,220B可代表相同的封裝材料,因此在射頻行為、機械穩健性、熱行為等方面具有基本相同的特徵。所以,在這種情況下,封裝材料220作為一個整體對任何熱、機械、電氣和其他刺激的“響應”可以有效預測。應當瞭解的是,可通過製備任何類型的複合材料而輕易地調整封裝材料220的材料特性,例如通過將各自的基材(例如,環氧樹脂或任何其他聚合物材料)與其他組分(例如二氧化矽等形式的無機組分)混合。
顯而易見的是,在一些說明性實施例中,半導體晶片210、封裝材料的第一部分220A以及第二部分220B形成一堆疊配置,其中,堆疊方向也可稱為一高度方向,如202所示,即第2A圖中的垂直方向。
一第一重分佈層221可形成在半導體晶片210的第一表面212“上方”的封裝材料220中。
一般應當瞭解的是,關於沿高度方向202的一相對位置的任何參考應理解為參考半導體晶片210的第一表面212。例如,在這方面,第二表面213位於第一表面212的“下方”。
第一重分佈層221可代表具有在其中形成一適當圖案的一導電材料層,以便在需要時提供接觸區域,其中,可提供至少一個或多個接底層或接地板222,以便與半導體晶片210橫向重疊。接地板222可理解為二維導電區域,其可形成以對抗形成一金屬化結構230的一部分的各自的天線結構或貼片235A,235B,235C,235D。也就是說,金屬化結構230形成在封裝材料220內,尤其是第二部分220B內。金屬化結構230可另外包括各自的金屬線或區域236,其可用於將各自的天線結構235A-235D與相應的接觸元件237連接,接觸元件237還可提供與形成於第二表面213下方的一第二重分佈層223的連接,並提供形成在第二表面213中或第二表面213附近的各晶片內部接觸墊(未示出)的電性連接。金屬線或區域236以及接觸元件可稱為金屬化結構230的一連接結構。因此,天線結構235A-235D可以通過金屬化結構的相應的金屬線236和接觸元件237以及第二重分佈層223電性連接到半導體晶片210的晶片內部組件,從而實現針對各天線結構235A-235D的單獨控制。
此外,第二重分佈層223被適當地配置,以便與一接觸結構240電性連接,接觸結構240可包括焊球、金屬柱等,以便提供與另一載體基板(未示出)或印刷電路板(未示出)的連接。因此,在第2A圖所示的實施例中,半導體晶片210被定位為“正面朝下”,這意味著是通過第二表面213和第二重分佈層 223與半導體晶片210的外圍建立電性接觸,其還提供與第一重分佈層221的電性連接,例如,連接至接地板222以及金屬化結構230,從而連接至天線結構235A-235D。在這種配置中,提供了從晶片210到接觸結構240的短連接,這在需要快速I/O(輸入/輸出)功能的快速操作電子組件的環境中可能是有利的。另一方面,由於至少部分或全部天線結構235A-235D在高度方向202上與半導體晶片210的輪廓重疊和/或在半導體晶片210的輪廓內,天線結構235A-235D仍可以高空間效率的方式予以提供,正如針對第1圖的半導體裝置100中所討論的。
第2B圖示意性的示出了半導體裝置200A,除了半導體晶片210沿高度方向202的方向不同之外,該裝置的結構基本上與第2A圖中的半導體裝置200相同。因此,各組件將使用相同的參考數字予以標識,任何此類組件的冗餘解釋將被省略。
因此,半導體裝置200A包括具有第一部分和第二部分220A,220B、以及形成於半導體晶片210的一第一表面212上方的第一重分佈層221的封裝材料220,與第2A圖所示的半導體裝置200相反,其在表面212中以及表面212的附近形成各自的晶片內部接觸墊(未示出)。所以,包括一個或多個接地板222的第一重分佈層221可進一步構造,以適當地連接至第一表面212。另一方面,形成在半導體晶片210的第二表面213下方的第二重分佈層223可以通過適當地構造和佈線的導電線而連接至接觸結構240,並可通過各自的接觸元件237而連接至金屬化結構230。另一方面,接觸元件237還提供與天線結構235A-235D的電性連接,其中,可在半導體晶片210中的各個組件和天線結構235A-235D之間建立更短的長度,從而進一步減少天線結構235A-235D的饋電線可能引起的任何負面影響。
參考第3A圖至第3D圖以及第4A圖至第4E圖,其描述了各製造技術和策略,這些技術和策略可用於形成一封裝的半導體裝置,例如,先前在第1圖、第2A圖和第2B圖中所討論的裝置100,200,200A。
第3A圖示意性地示出了在一製造階段中的一半導體裝置300的一橫截面圖,其中,一半導體晶片310嵌設於一封裝材料的一第一部分320A。關於部分320A的特性,可以參考半導體裝置100,200和200A。此外,一第一重分佈層321形成在第一部分320A中或其上,並具有適當的結構以連接至半導體晶片310的一第一表面312。如先前在第2B圖中所討論的,半導體晶片310可具有“正面朝上”的配置,因此,從半導體晶片310到外圍的各個電性連接,尤其是到各個封裝材料中的電性連接,可以通過連接至第一表面312的第一重分佈層321來完成。另外,當沿著一高度方向302觀察時,第一重分佈層321可包括與半導體晶片310的輪廓重疊和/或橫向包含在半導體晶片310的輪廓內的至少一接地板322。此外,可在第一部分320A中提供各通孔337A,其可被理解為仍將形成的各接觸元件的一第一部分,以便連接到第一重分佈層321。
如第3A圖所示的半導體裝置300可根據以下工藝策略形成。在一些方法中,重分佈層321可以與任何適當的犧牲材料(未示出)而形成,例如,一類材料等,其中,可應用已知的圖案化技術以提供各自的溝槽和開口,所述溝槽和開口可以填充適當的導電材料,例如,銅、銅合金、銀、鋁等。在這方面,也可以形成通孔337A,例如,通過形成各自的開口並根據適當的沉積配方(例如電鍍技術等)填充該開口。在建立了第一重分佈層321和通孔337A之後,可通過任何適當的技術將半導體晶片310附接至第一重分佈層321,例如,通過使用膠帶等。應當理解的是,半導體晶片310的附接是在晶圓級上進行的,也就是 說,一相應的晶圓包括附接至一相應結構的多個半導體晶片,且該相應結構包括多個第一重分佈層321。
此外,可例如通過使用適當的前體(precursor)材料(例如環氧樹脂、聚合物材料等)的成型工藝形成適當的介電材料,即,封裝材料的部分320A,其中,可通過引入其他材料(例如二氧化矽等形式的無機組件)而調整適當的材料特性。例如,在形成部分320A後,通過成型工藝(例如注射成型的類型),可將半導體晶片310可靠地嵌入材料320A中,同時各空腔也可被填充至材料320A內,例如,導電線和第一重分佈層321和通孔337A的區域之間的各“空間”。
第3B圖示意性地說明了處於進一步先進製造階段中的半導體裝置300。也就是說,在形成部分320A之後,例如,通過一第一成型工藝,在第二表面313附近的材料320A的表面可以接地,因此,可以對其進行平坦化和圖案化(如果需要的話),例如,通過光刻,從而形成基於任何適當導電材料的第二重分佈層323。通過這種方式,可通過通孔337A在第一重分佈層321和第二重分佈層323之間建立一連接。可能需要相應的電性連接,以在表面313下方提供用於連接外部組件的一適當的接觸結構。
第3C圖示意性地說明了處於進一步先進製造階段的半導體裝置300,其中,可在第一重分佈層321之上或第一重分佈層321的上方形成一封裝材料的一第二部分320B。為此,可給予任何適當的前體材料實施進一步的成型工藝。如上所述,在一些實施例中,第一部分320A和第二部分320B可具有基本相同的材料特性,從而基於具有良好的可控性和可預測的材料特性的材料系統提供經濟高效的製造工藝。
在一些說明性實施例中,封裝材料320A,320B在裝置300的某些操作條件下的熱響應和機械響應可在生產工藝之前或期間確定,並且可以獲得一個或多個材料參數(例如,材料成分、材料厚度等)之間的相關性,以便能夠預測給定操作條件下封裝材料320A,320B中的機械應力條件。此外,可以確定第一和/或第二重分佈層321,323的厚度與封裝材料320A,320B中的相應應力條件之間的相關性,從而提供一種在各種操作條件下的半導體裝置300的運行期間,控制封裝材料320A、320B中的應力的有效技術。也就是說,在確定相關關係後,可以通過調整重分佈層321和323中的一個或兩個的厚度來控制封裝材料320A,320B的應力行為。由於封裝材料320A,320B可通過兩步工藝(例如成型工藝)提供,因此,一給定材料成分的最終厚度和平面度可以非常精確地方式進行調整,從而有助於該完成的半導體裝置300的優異性能。
在提供第二部分320B之後,例如,可應用圖形化工藝以基於雷射鑽孔技術等形成通過材料320B的開口,從而建立與通孔337A的連接。然後可以用適當的導電材料(例如銅、銅合金等)填充各個開口,其可基於電鍍技術等完成。
第3D圖示意性地說明了處於進一步先進製造階段的半導體裝置300。如圖所示,一金屬化結構330可形成於具有各接觸元件337B的第二部分320B中,其可通過雷射鑽孔和電鍍而形成。如上所述,各天線結構335A,335B可設置在第二部分320B的頂面。天線結構335A,335B和相應的其他金屬線和區域可通過適當的圖案化第二部分320B而形成,例如,通過光刻等,隨後進行沉積工藝以沉積一導電材料和移除其不需要的部分。為此,可以應用已知工藝策略。
此後,可對包括半導體裝置300在內的整個系統進行處理以接收一接觸結構340,其可通過已知的工藝策略來完成,所述工藝策略用於在提供一介電材料,如果需要的話,並以焊球、金屬柱等的形式形成適當的接觸元件,正如倒裝晶片接觸機制中所知的。因此,接觸結構340通過第二重分佈層323、通孔337A和第一重分佈層321來與半導體晶片310電性連接,半導體晶片310進一步包括接地板322(參見第3A圖)。
第4A圖示意性地說明了在形成一封裝的半導體裝置的各製造階段期間的半導體裝置400的橫截面圖,其中封裝材料包括各自的天線結構。應當理解的是,除了前導數字為“4”而非“3”或“2”或“1”之外,半導體裝置400的任何與先前描述的組件相似或相同的組件均用相同的參考符號表示。而任何這些組件的相應的冗餘解釋均予以省略。
在第4A圖中,半導體裝置400與第3A圖中的半導體裝置300具有基本相同的配置,因此,包括與其第一表面412連接到第一重分佈層421的半導體晶片410,第一重分佈層421形成於封裝材料的第一部分420A中及第一部分420A上方。如第4A圖所示的裝置400可根據先前第3A圖中所討論的工藝策略形成。
第4B圖示意性地說明了處於進一步先進製造階段的半導體裝置400,其中,第二重分佈層423可形成在半導體晶片410的第二表面413的下方,並且可通過通孔437A連接到第一重分佈層421。關於形成第4B圖所示的配置的任何工藝策略,可參考半導體裝置300。
第4C圖示意性地說明了半導體裝置400,其中,接觸元件437B可以適當的高度和尺寸形成在第一重分佈層421上,以便將仍將形成的天線結 構電性連接到第一重分佈層421。接觸元件437B可在一犧牲材料406的基礎上形成,其可以厚膜抗蝕劑的形式提供,該厚膜抗蝕劑具有基本上對應於接觸元件437B的一所需高度的一厚度。犧牲材料406可基於一光刻工藝而被圖案化,其中,材料406本身可以是一輻射敏感材料,或者可以基於一輻射敏感抗蝕材料被圖案化。因此,通過應用用於至少對接觸元件437B進行圖案化的一光刻工藝,可以以非常精確地方式調整尺寸、位置,尤其是沿高度方向的形狀。也就是說,在犧牲材料406中形成的各個開口可以得到任何適合的形狀,例如,在圖案化工藝期間,可以通過應用具有一各向異性蝕刻行為的已知蝕刻技術獲得非常陡峭的側壁。此外,與例如雷射鑽孔開口相比,側壁的表面粗糙度可能降低,這也可以降低傳輸損耗,因為高頻電流通常在導體的表面區域(即接觸元件)傳導。因此,接觸元件的側壁的光滑配置可能會降低這種“皮膚效應(skin effect)”。所以,根據接觸元件437B的形狀和/或表面特性,可以很好地控制接觸元件437B的電性特性,因為這些接觸元件代表了仍要形成的各自天線結構的饋電線的一個重要部分。
此後,可基於已知的移除技術(例如電漿灰化、濕化學蝕刻等)移除犧牲材料406。
第4D圖示意性地說明了處於進一步先進製造階段的半導體裝置400,其中,第二部分420B可例如通過進一步的成型工藝予以提供,其中,在這種情況下,材料特性、厚度等也可以在沉積工藝期間和/或一後續平坦化工藝(例如研磨工藝等)期間進行適當的選擇。
第4E圖示意性地說明了將具有金屬化結構430的半導體裝置400,金屬化結構430包括天線結構435A-435D以及用於提供天線結構435A- 435D與第一重分佈層421之間電性連接並因此連接半導體晶片410的任何其他金屬線和接觸元件437B。此外,接觸結構440設置在半導體晶片410的下方,並通過第二重分佈層423、通孔437A和第一重分佈層421來與半導體晶片410連接,如上所述。
應瞭解的是,在第3A圖至第3D圖以及第4A圖至第4E圖中所示的工藝策略已在各自半導體晶片的“正面朝上”的配置中予以描述。需要注意的是,上文所討論的工藝技術也可應用於“正面朝下”的配置,例如,第2A圖所示的半導體裝置200。在這種情況下,必須以適當的配置形成相應的第一重分佈層和第二重分佈層。同樣的,在這種情況下,第二重分佈層223(第2A圖)和相應的天線結構235A-235D之間的連接的至少一部分可基於雷射鑽孔所形成的通孔或接觸元件而建立,或基於關於一光刻工藝以及一相應的各向異性蝕刻工藝的高度可控的圖案化策略而建立。
因此,本申請提供了一種製造技術以及相應的封裝半導體裝置,其中,所述封裝可以基於可在兩步工藝中應用的一封裝材料而在晶圓級上完成,以在調整整體材料參數方面提供卓越的靈活性。此外,可將各自的天線結構設置於半導體晶片的上方,從而在晶圓級上實現有效封裝,並確保半導體晶片與天線結構之間的非常短的電性連接。
以上所公開的具體實施例僅為說明性實施例,本發明可以不同但等效的方式進行修改和實施,對於受益於本文教示的本領域技術人員來說,這些方式是顯而易見的。例如,上述工藝步驟可按不同的順序執行。此外,除申請專利範圍中所述外,本文所示的實施例或設計細節不受任何限制。因此,很明顯,上述所公開的具體實施例可能被修改或修改,並且所有這些變化都被納入本發 明的範圍和精神範圍內。請注意,在本說明書和所附申請專利範圍中,使用諸如“第一”、“第二”、“第三”或“第四”等術語來描述各種工藝或結構,僅用作對這些步驟/結構的簡短參考,並不一定意味著這些步驟/結構需按順序執行/形成。當然,根據具體的聲明語言,可能需要或可能不需要此類流程的有序序列。因此,本文所尋求的保護範圍應如所附的申請專利範圍所述。
200/200A:半導體裝置
202:高度方向
210‧‧‧半導體晶片
212‧‧‧第一表面
213‧‧‧第二表面
220‧‧‧封裝材料
220A‧‧‧第一介電材料(第一部分)
220B‧‧‧第二介電材料(第二部分)
221‧‧‧第一重分佈層
222‧‧‧接地板
223‧‧‧第二重分佈層
230‧‧‧金屬化結構
235A/235B/235C/235D‧‧‧天線結構(貼片)
236‧‧‧金屬線(區域)
237‧‧‧接觸元件
240‧‧‧接觸結構

Claims (19)

  1. 一種形成半導體裝置的方法,包括:形成一第一重分佈層於一半導體晶片的一第一表面的上方,該第一重分佈層包括至少一天線接地板;形成一第一介電材料,以包圍該半導體晶片並將該半導體晶片機械地連接到該第一重分佈層;形成一第二重分佈層,以連接至該半導體晶片的一第二表面,該第二表面與該第一表面相對;形成一第二介電材料於該第一重分佈層之上和該第一重分佈層上方;藉由以下步驟對該第一介電層及該第二介電層執行應力控制,包括:確定該第一重分佈層和該第二重分佈層的至少一個的一厚度與該第一介電材料和該第二介電材料中的機械應力的一相關性;以及使用確定的該相關性調整該第一重分佈層和該第二重分佈層的至少一個的該厚度;以及形成一金屬化結構於該第二介電材料中,該金屬化結構包括一個或多個天線結構以及一連接結構,該連接結構將該一個或多個天線結構電性連接到該第一重分佈層和該第二重分佈層中的至少一個。
  2. 如申請專利範圍第1項所述的方法,其中,該一個或多個天線結構中的至少一個為橫向設置,以便在沿以高度方向觀察時位於該半導體晶片的一輪廓內。
  3. 如申請專利範圍第1項所述的方法,其中,形成該金屬化結構包括在形成該第二介電材料之前形成該金屬化結構的一第一部分,以及在形成該第二介電材料之後形成該金屬化結構的一第二部分。
  4. 如申請專利範圍第3項所述的方法,其中,形成該金屬化結構的該第一部分包括圖案化形成於該第一重分佈層上方的一犧牲材料,以及在圖案化的該犧牲材料存在的情況下執行一沉積工藝,以便在該連接結構中沉積用於形成接觸元件的一導電材料。
  5. 如申請專利範圍第4項所述的方法,其中,圖案化該犧牲材料包括執行一光刻工藝。
  6. 如申請專利範圍第4項所述的方法,其中,形成該金屬化結構的該第二部分包括在該接觸元件存在的情況下形成該第二介電材料,以及形成至少該一個或多個天線結構於該第二介電材料中。
  7. 如申請專利範圍第1項所述的方法,其中,形成該金屬化結構包括形成開口於該第二介電材料中,以便連接至該第一重分佈層,並在該開口中填充一導電材料。
  8. 如申請專利範圍第7項所述的方法,其中,至少一些該開口是通過鑽孔形成的。
  9. 如申請專利範圍第1項所述的方法,其中,該第一介電材料通過一第一成型工藝而形成。
  10. 如申請專利範圍第9項所述的方法,其中,該第二介電材料由相對於該第一成型工藝獨立執行的一第二成型工藝而形成。
  11. 如申請專利範圍第1項所述的方法,其中,該方法是在分離成獨立晶片之前,在一整體半導體晶圓上予以執行。
  12. 一種射頻(RF)半導體裝置,包括:一半導體晶片,被配置成用於處理射頻信號,該半導體晶片具有一第一表面以及相對的一第二表面;一介電封裝材料的一第一部份,包圍該半導體晶片;一第一重分佈層,形成在該半導體晶片的該第一表面的上方,該第一重分佈層包括至少一天線接地板,該天線接地板為橫向設置,以便當沿著高度方向觀察時,至少部分地位於該半導體晶片的一輪廓內;該介電封裝材料的一第二部分,形成在該第一重分佈層之上和該第一重分佈層上方;一第二重分佈層,形成於該半導體晶片的該第二表面的下方,以及一金屬化結構,形成於該第一表面的上方,並沿該高度方向與該第一重分佈層隔開,並包括一個或多個天線結構,當沿該高度方向觀察時,該一個或多個天線結構中的至少一個位於該半導體晶片的該輪廓內,其中,該第一重分佈層和該第二重分佈層的至少一個的一厚度是藉由基於該第一重分佈層和該第二重分佈層的至少一個的實施厚度與該介電封裝材料的該第一部份和該第二部分的機械應力之間的一相關性的應力控制確定。
  13. 如申請專利範圍第12項所述的射頻半導體裝置,其中,該半導體晶片的該第一表面包括與該第一重分佈層電性連接的接觸墊。
  14. 如申請專利範圍第13項所述的射頻半導體裝置,進一步包括在該第一重分佈層和該第二重分佈層之間延伸的接觸元件,該接觸元件將該接觸 墊電性連接至一封裝接觸結構,以用於連接到一基板以及一印刷電路板中的一個。
  15. 如申請專利範圍第12項所述的射頻半導體裝置,其中,該半導體晶片的該第二表面包括電性連接至該第二重分佈層的接觸墊。
  16. 如申請專利範圍第15項所述的射頻半導體裝置,進一步包括在該第二重分佈層和該一個或多個天線結構之間延伸的接觸元件,以用於將該一個或多個天線結構與該半導體晶片電性連接。
  17. 如申請專利範圍第12項所述的射頻半導體裝置,其中,提供兩個或多個天線結構,且當沿著該高度方向觀察時,該兩個或多個天線結構中的每一個至少部分地橫向定位於該半導體晶片的該輪廓內。
  18. 一種射頻半導體裝置,包括:一半導體晶片,包括至少一射頻組件,該半導體晶片具有一第一表面以及相對的一第二表面;一介電封裝材料的一第一部份,包圍該半導體晶片;一第一重分佈層,形成於該半導體晶片的該第一表面的上方,該第一重分佈層包括至少一天線接地板,該天線接地板為橫向設置,以便當沿一高度方向觀察時,至少部分地位於該半導體晶片的一輪廓內;該介電封裝材料的一第二部分,形成在該第一重分佈層之上和該第一重分佈層上方;一第二重分佈層,形成於該半導體晶片的該第二表面的下方;以及 一金屬化結構,沿該高度方向形成於該第一表面以及該第一重分佈層的上方,該金屬化結構包括多個天線結構,當沿該高度方向觀察時,該多個天線結構中的每一個至少部分地位於該半導體晶片的該輪廓內,其中,該第一重分佈層和該第二重分佈層的至少一個的一厚度是藉由基於該第一重分佈層和該第二重分佈層的至少一個的實施厚度與該介電封裝材料的該第一部份和該第二部分的機械應力之間的一相關性的應力控制確定。
  19. 如申請專利範圍第18項所述的射頻半導體裝置,其中,該半導體晶片的該第一表面包括與該第一重分佈層電性連接的接觸墊,該半導體裝置進一步包括在該第一重分佈層和該第二重分佈層之間延伸的接觸元件,且其中,該接觸元件將該接觸墊電性連接至一封裝接觸結構,以用於連接到一基板以及一印刷電路板中的一個。
TW108131324A 2018-08-31 2019-08-30 具有整合天線結構之晶圓級封裝及其形成方法 TWI712150B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/118,791 2018-08-31
US16/118,791 US10580745B1 (en) 2018-08-31 2018-08-31 Wafer level packaging with integrated antenna structures

Publications (2)

Publication Number Publication Date
TW202025438A TW202025438A (zh) 2020-07-01
TWI712150B true TWI712150B (zh) 2020-12-01

Family

ID=69526979

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108131324A TWI712150B (zh) 2018-08-31 2019-08-30 具有整合天線結構之晶圓級封裝及其形成方法

Country Status (4)

Country Link
US (1) US10580745B1 (zh)
CN (1) CN110875289B (zh)
DE (1) DE102019212931A1 (zh)
TW (1) TWI712150B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102499038B1 (ko) * 2018-12-06 2023-02-13 삼성전자주식회사 안테나 모듈
KR102561724B1 (ko) * 2018-12-07 2023-07-31 삼성전자주식회사 안테나 모듈 및 그를 포함하는 전자 장치
US10818588B2 (en) * 2019-01-31 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, package structure and method of fabricating the same
WO2023070033A1 (en) * 2021-10-22 2023-04-27 Adeia Semiconductor Technologies Llc Radio frequency device packages

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170236776A1 (en) * 2016-02-17 2017-08-17 Infineon Technologies Ag Semiconductor device including an antenna
US20180012851A1 (en) * 2015-07-29 2018-01-11 STATS ChipPAC Pte. Ltd. Antenna in Embedded Wafer-Level Ball-Grid Array Package

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7785932B2 (en) * 2005-02-01 2010-08-31 Nagraid S.A. Placement method of an electronic module on a substrate and device produced by said method
US8263437B2 (en) * 2008-09-05 2012-09-11 STATS ChiPAC, Ltd. Semiconductor device and method of forming an IPD over a high-resistivity encapsulant separated from other IPDS and baseband circuit
US7989270B2 (en) * 2009-03-13 2011-08-02 Stats Chippac, Ltd. Semiconductor device and method of forming three-dimensional vertically oriented integrated capacitors
US8952521B2 (en) * 2012-10-19 2015-02-10 Infineon Technologies Ag Semiconductor packages with integrated antenna and method of forming thereof
US10312112B2 (en) * 2017-06-20 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package having multi-band antenna and method of forming the same
US10186492B1 (en) * 2017-07-18 2019-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10157834B1 (en) * 2017-09-18 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Electronic apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180012851A1 (en) * 2015-07-29 2018-01-11 STATS ChipPAC Pte. Ltd. Antenna in Embedded Wafer-Level Ball-Grid Array Package
US20170236776A1 (en) * 2016-02-17 2017-08-17 Infineon Technologies Ag Semiconductor device including an antenna

Also Published As

Publication number Publication date
CN110875289A (zh) 2020-03-10
US20200075513A1 (en) 2020-03-05
US10580745B1 (en) 2020-03-03
DE102019212931A1 (de) 2020-03-05
TW202025438A (zh) 2020-07-01
CN110875289B (zh) 2023-08-29

Similar Documents

Publication Publication Date Title
TWI712150B (zh) 具有整合天線結構之晶圓級封裝及其形成方法
US11996372B2 (en) Semiconductor device and method of manufacture
US11527486B2 (en) Semiconductor device with shield for electromagnetic interference
TWI708292B (zh) 半導體封裝結構
EP2965353B1 (en) A substrate-less interposer
US11270953B2 (en) Structure and formation method of chip package with shielding structure
US8987050B1 (en) Method and system for backside dielectric patterning for wafer warpage and stress control
US9397081B2 (en) Fabrication method of semiconductor package having embedded semiconductor elements
TW202038420A (zh) 晶片封裝結構及其製造方法
KR20140111936A (ko) 3d 실딩 케이스 및 그 형성 방법
TWI666766B (zh) 具有增強高頻能力的半導體裝置及其製造方法
US9589908B1 (en) Methods to improve BGA package isolation in radio frequency and millimeter wave products
TWI720839B (zh) 晶片封裝結構及其製造方法
US10770432B2 (en) ASICS face to face self assembly
US11373932B2 (en) Semiconductor packages including through holes and methods of fabricating the same
KR101341436B1 (ko) 반도체 패키지 및 그 제조 방법
CN113258256A (zh) 天线模块
US20130334675A1 (en) Package structure having lateral connections
US11862584B2 (en) High dielectric constant carrier based packaging with enhanced WG matching for 5G and 6G applications
US20230178500A1 (en) Waveguide Launcher in Package Based on High Dielectric Constant Carrier
US20220359328A1 (en) Radar package with optical lens for radar waves
TWI629764B (zh) 封裝結構及其製作方法
CN115064527A (zh) 一种多射频芯片的3d扇出封装结构及其制作方法
CN117747552A (zh) 一种降低翘曲的扇出封装结构及其形成方法